KR101944393B1 - 3d 메모리 어플리케이션을 위한 pecvd 산화물-질화물 및 산화물-실리콘 스택들 - Google Patents

3d 메모리 어플리케이션을 위한 pecvd 산화물-질화물 및 산화물-실리콘 스택들 Download PDF

Info

Publication number
KR101944393B1
KR101944393B1 KR1020137011625A KR20137011625A KR101944393B1 KR 101944393 B1 KR101944393 B1 KR 101944393B1 KR 1020137011625 A KR1020137011625 A KR 1020137011625A KR 20137011625 A KR20137011625 A KR 20137011625A KR 101944393 B1 KR101944393 B1 KR 101944393B1
Authority
KR
South Korea
Prior art keywords
substrate
silicon
plasma
layer
deposited
Prior art date
Application number
KR1020137011625A
Other languages
English (en)
Other versions
KR20130129930A (ko
Inventor
나가라잔 라자고팔란
신하이 한
제이 애 박
츠토무 키요하라
소현 박
복 헌 김
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130129930A publication Critical patent/KR20130129930A/ko
Application granted granted Critical
Publication of KR101944393B1 publication Critical patent/KR101944393B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Non-Volatile Memory (AREA)

Abstract

상이한 재료들의 층 스택이, 진공을 유지하면서 단일의 플라즈마 강화 화학기상 증착 프로세싱 챔버 내에서 기판 상에 증착된다. 기판이 프로세싱 챔버에 배치되고, 제 1 프로세싱 가스가 기판 상에 제 1 재료의 제 1 층을 형성하기 위해 사용된다. 제 2 프로세싱 가스가 기판 상에 제 2 재료의 제 2 층을 형성하기 위해 사용되기 전에, 플라즈마 퍼지 및 가스 퍼지가 수행된다. 플라즈마 퍼지 및 가스 퍼지가 반복되고, 제 1 및 제 2 재료들의 부가적인 층들이 층 스택 상에 증착된다.

Description

3D 메모리 어플리케이션을 위한 PECVD 산화물-질화물 및 산화물-실리콘 스택들{PECVD OXIDE-NITRIDE AND OXIDE-SILICON STACKS FOR 3D MEMORY APPLICATION}
관련 출원들에 대한 상호참조
본 출원은, "PECVD OXIDE-NITRIDE AND OXIDE-SILICON STACKS FOR 3D MEMORY APPLICATION"의 명칭으로 2010년 10월 6일자로 출원된 미국 특허출원 제12/899,401호에 대해 우선권을 주장하는, "PECVD OXIDE-NITRIDE AND OXIDE-SILICON STACKS FOR 3D MEMORY APPLICATION"의 명칭으로 2011년 9월 28일자로 출원된 PCT 출원 번호 PCT/US2011/053730 호에 대해 우선권을 주장한다. PCT 출원 번호 PCT/US2011/053730 호 및 미국 특허출원 제12/899,401호의 내용들은 그 전체가 인용에 의해 본원에 포함된다.
본 발명은 반도체 기판 프로세싱 시스템들에 관한 것으로서, 더 상세하게는, 재료들의 계층화된(layered) 스택들을 증착하기 위한 프로세스에 관한 것이다.
반도체 기판 프로세싱 시스템들은 일반적으로, 프로세싱 영역에 근접한 챔버 내에서 반도체 기판을 지지하기 위한 페디스털을 갖는 프로세스 챔버를 포함한다. 챔버는 프로세스 영역을 부분적으로 정의하는 진공 인클로저(vacuum enclosure)를 형성한다. 가스 분배 어셈블리 또는 샤워헤드는 하나 또는 둘 이상의 프로세스 가스들을 프로세스 영역에 제공한다. 그 후, 가스들은 가열되고 및/또는 에너지를 공급받아, 기판 상에서 특정 프로세스들을 수행하는 플라즈마를 형성한다. 이들 프로세스들은 기판 상에 막(film)을 증착하기 위한 플라즈마 강화 화학 기상 증착(PECVD)을 포함할 수 있다.
3D 메모리는, 기판 상에 증착된 교번하는 막 재료들의 층 스택으로부터 제조될 수 있다. 예를 들어, 3D 메모리는 산화물 막과 질화물 막의 교번하는 층들 또는 산화물 막과 실리콘 막의 교번하는 층들을 포함할 수 있다. 이들 스택들은 제 1 재료와 제 2 재료의 다중 층들을 포함할 수 있다. 이들 계층화된 스택들을 형성하기 위해, 기판은 제 1 PECVD 챔버에 배치되고, 진공이 챔버에 인가된다. 전구체 가스들이 플라즈마를 생성하는데 사용되고, 제 1 재료가 기판 상에 증착된다. 챔버는 질소와 같은 비활성 가스로 퍼징될 수 있고, 그 후, 기판은 제 1 PECVD 챔버로부터 제거된다. 그 후, 기판은 제 2 PECVD 챔버로 이송된다. 진공이 제 2 프로세싱 챔버에 인가되고, 전구체 가스들이 플라즈마를 생성하는데 사용되며, 제 2 재료가 기판 상에서 제 1 재료 위에 증착된다. 기판이 제거되고, 요구되는 개수의 층들이 기판 상에 형성될 때 까지 프로세스가 반복된다.
상기 설명된 프로세스의 문제점은, 상이한 프로세싱 챔버 내에서 각각의 재료 층을 증착하는 것이 매우 비효율적일 수 있다는 것이다. 프로세싱 챔버의 진공을 깨뜨릴 필요없이 단일 프로세싱 챔버 내에서 상이한 재료들의 다중 층들을 형성하기 위한 방법이 필요하다.
일 실시예에서, 교번하는 재료들의 계층화된 스택을 제조하기 위한 프로세스는 단일 PECVD 프로세싱 챔버에서 인시츄로 수행된다. 기판은 PECVD 프로세싱 챔버 내에서 접지된 페디스털 상에 배치된다. 프로세싱 챔버에 대한 도어(door)는 챔버를 밀봉하기 위해 폐쇄되고, 진공이 챔버에 인가된다. 일 실시예에서, 전극이 용량적으로 커플링된 구성으로 페디스털 위에 장착될 수 있다. 교류 무선 주파수(RF) 전력이 전극에 인가될 수 있으며, 이에 의해 기판과 전극 사이에 전계를 생성한다. 프로세스 가스들의 제 1 그룹이 프로세싱 챔버 내로 유동되고, PECVD 챔버 내에서 제 1 플라즈마로 활성화된다(energized). 플라즈마는, 상당한 비율의 이온화되는 원자들 또는 분자들을 가지며, 이러한 원자들 또는 분자들은 전자들을 방출(release)한다. 이들 활성(energetic) 전자들은 제 1 프로세스 가스 분자들의 해리 및 다량의 자유 라디칼(free radical)들의 생성을 유도할 수 있다. 이는 기판 상에 제 1 재료의 증착을 초래할 수 있다.
제 1 재료 층이 증착된 후, 플라즈마 퍼지가 수행되어, PECVD 챔버를 세정하고, 증착될 다음 재료 층과의 양호한 계면을 위해 제 1 재료 층의 표면을 컨디셔닝(conditioning)한다. 그 후, 가스 퍼지가 수행되어 잔여 가스를 세정한다. 프로세싱 가스들의 제 2 그룹이 프로세싱 챔버 내로 유동되고, PECVD 챔버 내에서 제 2 플라즈마로 활성화된다. 제 2 재료 층이 제 2 플라즈마로부터 제 1 재료 위에 증착된다. 플라즈마 퍼지는 PECVD 챔버를 세정하고, 제 2 재료 층의 표면을 컨디셔닝한다. 그 후, 가스 퍼지가 수행되어, 제 2 프로세스 가스들을 제거한다.
제 1 및 제 2 재료 층들을 증착하는 상기 설명된 프로세스는, 요구된 수의 층들이 기판 상에 증착될 때 까지 반복된다. 상이한 재료들의 증착이 동일한 PECVD 프로세싱 챔버에서 수행되고, 증착 프로세스들 전반에 걸쳐서 PECVD 프로세싱 챔버 상에서 진공이 유지되기 때문에, 프로세스의 효율이 개선된다. 따라서, 상이한 재료 층들을 증착하기 위해 2개의 상이한 PECVD 프로세싱 챔버들 사이에서 기판을 이송해야 할 필요가 없게 됨으로써, 상당한 양의 시간이 절약된다.
증착되는 재료 층 스택들은, 제조되고 있는 3D 메모리의 타입에 의존할 수 있다. 계층화된 스택들을 형성할 수 있는 재료들의 예들은 실리콘 산화물/실리콘 질화물, 실리콘 산화물/실리콘, 실리콘/도핑된 실리콘, 실리콘/실리콘 질화물 및 다른 재료들을 포함한다. 층 스택이 증착된 후, 기판은 PECVD 프로세싱 챔버로부터 제거될 수 있고, 부가적인 프로세싱이 3D 메모리 디바이스들을 제조하기 위해 수행될 수 있다. 일부 실시예들에서, 메모리 디바이스들은 8x, 16x, 24x 또는 그 보다 많은 재료들의 층들을 요구할 수 있다.
기판 상에 재료 층들을 증착함으로 인한 잠재적인 문제점은, 각각의 층이 기판에 인가되는 인장 응력 또는 압축 응력을 생성할 수 있다는 것이다. 기판은 증착된 층으로부터의 응력에 대항(oppose)할 수 있으며, 이에 의해 기판의 스트레인 변형(strain deformation)을 초래하는 바, 이러한 스트레인 변형은 기판의 상부면이 구부러지게(bow) 할 수 있다. 기판의 상부면의 이러한 변형은 후속하는 리소그래피 프로세싱에 있어서 에러들을 초래할 수 있다. 기판의 변형을 최소화하기 위해, 증착되는 재료 층들은, 기판 상에서의 순 응력(net stress)이 낮아지도록 조정(tune)될 수 있다. 보다 구체적으로, 2개의 재료들의 응력들은 이들이 실질적으로 같은 크기들 및 반대 방향들을 갖도록 조정될 수 있다. 층들의 쌍들이 서로의 근처에 증착될 때, 같지만 반대의 인장 또는 압축 응력들은 서로를 상쇄시키는 경향이 있을 것이며, 이에 따라 기판의 순 응력이 매우 낮아지게 되거나, 기판이 어떠한 변형도 갖지 않게 될 것이다.
순 응력이 제로에 근접할 수 있기는 하지만, 인접하는 층들 간의 응력은 매우 높을 수 있는데, 왜냐하면 응력들이 반대이기 때문이다. 응력들이 너무 높으면, 인접하는 층들 간의 결합들을 깨뜨리는 것이 가능하여, 층 스택의 일부의 박리(delamination)를 초래하게 된다. 박리를 피하기 위해, 인접하는 재료들의 결합이 매우 강해야 한다. 일 실시예에서, 재료가 증착된 후, 인접하는 층들 간의 결합 계면을 개선하고 박리를 방지하기 위해 재료의 노출된 표면에 대해 플라즈마 처리가 적용될 수 있다.
도 1은 기판 상에 증착된 층 스택을 도시한다.
도 2는 PECVD 프로세싱 챔버를 도시한다.
도 3은 기판 상에 증착된, 인장 응력을 갖는 층의 단면도를 도시한다.
도 4는 기판 상에 증착된, 압축 응력을 갖는 층의 단면도를 도시한다.
도 5는 인장 응력 및 압축 응력의 교번하는 층들을 갖는 층 스택의 단면도를 도시한다.
도 6은 인장 응력 및 압축 응력의 교번하는 층들을 갖는 층 스택의 평면도를 도시한다.
도 7은 기판 상에 실리콘 산화물/실리콘 질화물 층 스택을 증착하기 위한 프로세스 단계들의 흐름도를 도시한다.
도 8은 기판 상에 실리콘 산화물/실리콘 층 스택을 증착하기 위한 프로세스 단계들의 흐름도를 도시한다.
도 9는 기판 상에 실리콘/도핑된 실리콘 층 스택을 증착하기 위한 프로세스 단계들의 흐름도를 도시한다.
도 10은 기판 상에 실리콘/도핑된 실리콘 층 스택을 증착하기 위한 프로세스 단계들의 흐름도를 도시한다.
도 11은 기판 상에 실리콘/실리콘 질화물 층 스택을 증착하기 위한 프로세스 단계들의 흐름도를 도시한다.
도 12는 기판 상에 제 1 재료/제 2 재료 층 스택을 증착하기 위한 프로세스 단계들의 흐름도를 도시한다.
도 1을 참조하면, 일 실시예에서, 제 1 재료 층(103) 및 제 2 재료 층(105)을 갖는 다중 층 스택(101)이 기판(100) 상에 형성된다. 후속 층들은 제 1 재료 층(103)과 제 2 재료 층(105)의 이러한 교번하는 패턴을 반복할 수 있다. 일 실시예에서, 제 1 재료는 산화물일 수 있고, 제 2 재료는 질화물일 수 있다. 다른 실시예들에서, 제 1/제 2 재료 스택들은 산화물/실리콘, 실리콘/도핑된 실리콘, 또는 실리콘/질화물일 수 있다. 재료들의 이러한 조합들 모두는 BiCS(Bit-Cost Scalable), TCAT(Terabit Cell Array Transistor) 및 다른 3D 메모리 구조들에서 사용될 수 있다. 다른 실시예들에서, 제 1/제 2 재료 스택들은 재료들의 다른 조합들일 수 있다. 또한, 기판 상에서의 제 1 및 제 2 재료 층들의 증착 순서는 반대로 될 수 있다.
층들의 개수는 제조되는 메모리 디바이스에 의존할 수 있다. 일 실시예에서, 스택 개수들은 8X, 또는 16X, 또는 24X, 또는 심지어 더 많을(higher) 수 있으며, 여기서, 8, 16, 24 또는 더 많은 층들의 각각의 스택은 하나의 메모리 디바이스에 대응한다. 상이한 재료들의 2개의 층들은 각각의 스택을 형성하며, 이에 따라 8x 스택 개수에 대한 층들의 대응하는 개수는 16개일 수 있고, 16x 스택 개수는 32개 층들을 가질 수 있고, 24x 스택 개수는 48개 층을 가질 수 있으며, 그리고 그 보다 많은 스택 개수는 더 많은 개수의 층들을 가질 수 있다.
도 2를 참조하면, PECVD 프로세싱 챔버(201)가 도시된다. 프로세싱 챔버(201)는 페디스털(211), 프로세스 가스 매니폴드(213), 프로세스 가스 소스(215), 전극(217), 교류 RF 전력을 방출하는 RF 전력 소스(219), 히터(221) 및 퍼지 가스 소스(222)를 포함한다. 일 실시예에서, 전극(217)은, 용량적으로 커플링된 구성으로 전기적으로 접지되는 페디스털(211) 바로 위에 있을 수 있다. 일 실시예에서, 전극(217)은, 프로세스 가스들에 대한 유동 경로들을 갖는 샤워헤드 구조일 수 있다. 프로세스 및 퍼지 가스들은 매니폴드(213) 및 전극(217)을 통해 페디스털 위의 프로세스 챔버(201) 내로 유동될 수 있다.
프로세싱 동안, 기판(100)은 페디스털(211) 상에 배치되고, 진공이 PECVD 프로세싱 챔버(201)에 인가된다. 기판(100)을 가열하기 위해, 히터(221)에 에너지가 인가될 수 있다. 프로세싱 가스들의 제 1 그룹이 매니폴드(213)를 통해 프로세싱 챔버(201) 내로 전달된다. 전극(217)이 RF 전력 소스(219)에 의해 활성화되어, 전극(217)과 접지된 페디스털(211) 사이에 전계를 생성한다. 일 실시예에서, 페디스털(211)은, 기판(100)의 상부와 전극(217) 간의 간격이 제어될 수 있게 하는 가변 높이 조정기 상에 있을 수 있다. 프로세스 가스들의 제 1 그룹은 RF 전계에 의해 활성화되어, 플라즈마(225)를 생성한다. 플라즈마(225)는, 상당한 비율의 이온화되는 원자들 또는 분자들을 가지며, 이러한 원자들 또는 분자들은 전자들을 방출한다. 이들 활성 전자들은 전구체 분자들의 해리 및 다량의 자유 라디칼들의 생성을 유도할 수 있다. 이는 기판(100) 상에 재료의 증착을 초래한다. 원하는 두께의 제 1 재료가 증착되면, 증착은 중지될 수 있다. 제 1 재료의 층 두께는 100Å 내지 1000Å 일 수 있다.
제 1 재료가 기판(100) 상에 증착된 후, PECVD 프로세싱 챔버(201)는 플라즈마 퍼지된다. 퍼지 가스는 퍼지 가스 소스(222)로부터 매니폴드(213) 및 프로세싱 챔버(201) 내로 유동될 수 있다. 전극(217) 및 페디스털(211)이 활성화되어, 퍼지 가스 플라즈마를 생성한다. NH3, N2, N2O, H3, Ar 및 다른 적절한 플라즈마 퍼지 가스들을 포함하는 다양한 퍼지 가스들이 사용될 수 있다. 퍼지 프로세스 동안, 프로세싱 챔버 내에서 열 및 압력이 유지될 수 있다. 플라즈마 퍼지는, 부가적인 증착들을 위해, 노출된 층의 표면을 컨디셔닝한다. 컨디셔닝된 표면은 층들 간의 매끄러운(smooth) 계면 및 층들 간의 보다 우수한 접합 뿐 아니라, 보다 우수한 입자 제어를 초래한다. 일부 실시예들에서는, 보다 우수한 층 결합을 위해 보다 거친 계면이 바람직할 수 있으며, 상이한 또는 부가적인 플라즈마 퍼지 프로세스가 수행될 수 있다. 플라즈마 퍼지가 완료된 후, 전극(217) 및 페디스털(211)에 대한 에너지가 턴오프될 수 있고, 가스 퍼지 소스(222)로부터의 가스 퍼지가 매니폴드(213) 및 프로세싱 챔버(201) 내로 유동되어 모든 가스 오염물질(contaminant)들을 제거한다. 일 실시예에서, 전구체 가스의 하나 또는 둘 이상의 성분(component)들은 퍼지 프로세스 동안 중지된다. 예를 들어, 프로세스 가스가 SiH4와 N2O의 혼합물을 포함한다면, 퍼지 가스는 오직 N2O 만을 포함할 수 있고, SiH4의 유동은 정지(shut off)된다. 다른 실시예들에서는, 상이한 퍼지 가스 또는 퍼지 가스들이 사용될 수 있다.
플라즈마 및 가스 퍼지들이 완료된 후, 제 2 재료가 기판 상에 증착될 수 있다. 전극(217)이 RF 전력 소스(219)에 의해 활성화되어, 전극(217)과 페디스털(211) 사이에 전계를 생성하며, 그리고 프로세스 가스들의 제 2 그룹이 이러한 전계에 의해 활성화되어 플라즈마(225)를 생성한다. 원하는 두께의 제 2 재료가 증착되면, 증착은 중지될 수 있다. 제 2 재료의 층 두께는 100Å 내지 1000Å 일 수 있다. 제 2 재료 층이 증착된 후, PECVD 챔버는 상기 설명된 바와 같이 플라즈마 퍼지될 수 있다. 그 후, 전극(217)에 대한 에너지가 제거될 수 있고, PECVD 챔버는 상기 설명된 바와 같이 가스 퍼지된다. 일단 플라즈마 및 가스 퍼지들이 완료되면, 제 1 재료 및 제 2 재료를 증착하는 프로세스는 요구되는 층들이 증착될 때 까지 반복될 수 있다. 그 후, 기판(100)은 부가적인 프로세싱을 위해 PECVD 챔버(201)로부터 제거될 수 있다.
상기 설명된 제 1 재료 및 제 2 재료 층 증착 프로세스는, 상이한 재료들의 증착이 동일한 PECVD 프로세싱 챔버 내에서 일어나기 때문에, 시간 및 에너지에 있어서 매우 효율적이다. 제 1 및 제 2 재료 층들을 증착하는 2개의 PECVD 프로세싱 챔버들 사이에서 웨이퍼가 이송되지 않기 때문에, 상당한 양의 시간이 절약된다. 예를 들어, 개시된 프로세스의 시간 절약은, 2개의 PECVD 프로세싱 챔버들을 이용하는 동일한 증착 프로세스들 보다, 50% 초과로(즉, 50% 보다 많이) 더 빠를 수 있다. 또한, 오직 단일의 PECVD 프로세싱 챔버 만이 요구되고, 프로세싱 챔버에 인가되는 진공이, 증착되는 각각의 층에 대해 깨뜨려지고 재인가될 필요가 없기 때문에, 상기 설명된 층 스택 증착 프로세스는 더 에너지 효율적이다.
발생할 수 있는 다른 문제점은, 상이한 재료들의 증착은 기판 상에 증착된 이후 압축 또는 인장 응력을 유도할 수 있다는 것이다. 이러한 응력은 기판의 만곡(bending)을 초래할 수 있다. 도 3을 참조하면, 재료의 층(291)이 기판(100) 상에 증착될 수 있다. 그 후, 제 1 재료(291)는 팽창하여 압축 응력(295)을 야기할 수 있다. 제 1 재료 층(291)의 압축 응력(295)은 기판(100)에 의해 대항되어, 기판(100)의 에지들이 아래로 구부러지게 한다. 유사하게, 도 4를 참조하면, 제 2 재료의 층(293)이 기판(100) 상에 증착될 수 있고, 제 2 재료(293)는 인장 응력(297)을 유도할 수 있다. 인장 응력(297) 또한 기판(100)에 의해 대항될 것이며, 이에 의해 기판(100)의 에지들이 위쪽으로 만곡되도록 초래한다. 제조 허용오차들이 매우 정확해야 하기 때문에, 기판의 임의의 만곡 또는 기판에서의 범프들은, 후속하는 리소그래피 프로세싱이 수행될 때 정렬 문제들을 초래할 수 있다. 이러한 오정렬은 제조 에러들 및 결함있는 디바이스 구성을 초래할 수 있다.
이러한 문제점을 없애기 위해, 일 실시예에서, 각각의 증착되는 층에 의해 기판에 가해지는 응력을 조정하는 것이 가능하다. 응력은 증착되는 재료에 의해 결정될 수 있다. 응력은 또한, 프로세스 온도, 전구체 가스들의 유량들, 가스 압력 및 플라즈마 밀도를 포함하는 증착 프로세싱 조건들을 조절함으로써, 제한된 범위 내에서 조정될 수 있다. 예를 들어, 더 차가운 프로세싱 온도는 압축 응력을 생성할 수 있고, 더 뜨거운 프로세싱 온도는 인장 응력을 생성할 수 있다. 더 낮은 플라즈마 압력은 반응 종(reaction species)에 대한 이온 충격을 증가시킬 수 있고, 그에 따라 압축 응력을 초래할 수 있으며, 반대로, 더 높은 플라즈마 압력은 인장 응력을 초래할 수 있다. RF 전력을 증가시킴으로써 또는 기판 위에서의 간격을 감소시킴으로써 플라즈마 밀도가 증가될 수 있는데, 이러한 플라즈마 밀도의 증가는 반응 종에 대한 더 많은 이온 충격을 생성할 수 있고 압축 응력을 초래할 수 있으며, 그리고 더 낮은 플라즈마 밀도는 인장 응력을 초래할 수 있다. 온도 및 플라즈마 밀도를 제어함으로써, 증착되는 재료들의 응력이 예측될 수 있다.
도 5를 참조하면, 다중층 스택(101)의 단면이 도시되고, 도 6은 다중층 스택(101)의 평면도를 도시한다. 제 1 재료 층(291)의 인장 응력(295)은, 제 1 재료 층(291) 위에 증착되는 제 2 재료 층(293)의 압축 응력(297)과 실질적으로 같을 수 있다. 이러한 응력들(295, 297)은 반대 방향들이기 때문에, 이들은 서로를 효과적으로 상쇄시킨다. 같은 개수의 인장 층 및 압축 층이 존재하기 때문에, 기판(100)의 총 순 응력은 제로에 근접한다.
예를 들어, 제 1 재료 층(291)은 압축 응력을 생성하는 실리콘 산화물일 수 있다. 제 2 층(293)은 인장 응력을 생성하는 실리콘 질화물 층일 수 있다. 실리콘 질화물 제 2 층(293)에 의해 생성되는 인장 응력의 크기는 실리콘 산화물 제 1 층(291)의 압축 응력과 실질적으로 같을 수 있다. 층들(291, 293)의 이러한 쌍들은 서로의 상부에 증착되어, 150MPa 미만의 낮은 총 순 응력으로 밸런싱된 응력을 갖는 층 스택(101)을 초래한다. 이는, 증착된 층들의 응력으로 인해 기판(100)이 스트레인 변형되지 않도록 초래한다. 응력들이 밸런싱되기 때문에, 변형이 최소화되고, 기판(100)의 상부면은 매끄럽고 평탄해질 것이다. BiSC 3D 메모리와 같은 반도체 디바이스들을 형성하기 위해 층들(291, 293)이 증착된 이후 수행되는 정확한 리소그래피 프로세싱을 위해서는 평탄한 기판 표면이 요구된다. 구부러지거나 또는 다르게 변형된 기판(100)은 리소그래피 에러들을 초래할 것이다.
논의된 바와 같이, 재료들의 다양한 조합들이 기판(100) 상에 층들로서 증착될 수 있다. 이들 재료들 각각은 특정 프로세스 가스들 및 특정 PECVD 동작 조건들로 증착될 수 있다. 층 스택들을 증착하기 위한 프로세스들은, 상이한 조합들의 재료들을 기판 상에 다중 층들로 증착하는 데에 이용될 수 있는 증착 프로세스들에 대한 흐름도들인 도 7 내지 도 10에 도시된다.
도 7을 참조하면, 기판 상에 실리콘 산화물과 실리콘 질화물의 층 스택을 인시츄 증착하기 위한 흐름도가 도시된다. 기판이 PECVD 프로세싱 챔버에 배치되고, 진공이 챔버에 인가된다(301). 챔버 내의 진공 압력은 약 0.5Torr-10Torr 일 수 있다. 프로세싱 챔버는 약 180℃-650℃ 로 가열된다. 전극에 인가되는 고 주파수 또는 RF 전력은 약 45와트(W)-1000W 일 수 있고, 기판과 전극 간의 간격은 약 200mils-800mils 일 수 있다. 제 1 프로세스 가스들은 SiH4 및 N2O, 또는 다른 실리콘 함유 분자들 및 산소 함유 분자들을 포함할 수 있다. SiH4는 약 20sccm(standard cubic centimeters per minute) 내지 1,000sccm의 유량을 가질 수 있고, N2O의 유량은 약 1,000sccm 내지 20,000sccm일 수 있다. SiH4 및 N2O는 활성화되어, Si 및 O 이온들을 함유하는 플라즈마로 변환될 것이다. 이러한 이온들의 반응은 실리콘 산화물의 층이 기판 상에 증착되게 한다(303). 요구되는 두께의 실리콘 산화물이 증착된 후, 증착은 중지된다.
일 실시예에서, 표면 거칠기는, SiH4를 프로세싱 챔버 내에 도입(introduce)하기 전에 플라즈마를 턴온시킴으로써, 실리콘 산화물 표면 상에서 감소될 수 있다. SiH4 종의 상주 시간(residence time)을 감소시킴으로써, 웨이퍼 표면이 더 매끈하게 될 것이며, 이에 의해, 증착되는 후속 층들과의 계면 결합을 개선시킬 수 있다.
실리콘 산화물이 증착된 후, PECVD 프로세싱 챔버는 플라즈마 퍼지되고 가스 퍼지된다. 온도는 약 180℃-650℃ 일 수 있고, 진공 압력은 약 0.5Torr-10Torr 일 수 있다. 기판과 전극 사이의 간격은 약 200mils-800mils 일 수 있다. N2O의 퍼지 가스는 약 2,000sccm-30,000sccm의 유량으로 매니폴드를 통해 프로세싱 챔버 내로 유동될 수 있다(305). 전극 및 페디스털이 100W 내지 1,000W 의 전력으로 활성화되어, 퍼지 가스 플라즈마를 생성한다. 플라즈마 퍼징 및 N2O 퍼징은 프로세싱 챔버를 세정하고, 층들 간의 매끄러운 계면, 증착되는 층들 간의 보다 우수한 접합 및 보다 우수한 입자 제어를 초래한다.
퍼지가 완료된 후, 실리콘 질화물의 층이 실리콘 산화물 층 상에 증착될 수 있다. 챔버 내의 압력은 약 0.5Torr-10Torr 일 수 있다. 프로세싱 챔버는 약 180℃-650℃ 로 가열된다. 전극에 인가되는 고 주파수 또는 RF 전력은 약 50W-700W 일 수 있고, 기판과 전극 간의 간격은 약 200mils-800mils 일 수 있다. 제 2 프로세스 가스들은 SiH4, NH3, 및 N2, 또는 다른 실리콘 함유 분자들 및 질소 함유 분자들을 포함할 수 있다. SiH4는 약 20sccm 내지 1,000sccm의 유량을 가질 수 있고, NH3의 유량은 약 50sccm 내지 1,000sccm 일 수 있으며, N2의 유량은 약 2,000sccm 내지 30,000sccm 일 수 있다. SiH4, NH3, 및 N2는 활성화되어, Si 및 N 이온들을 함유하는 플라즈마로 변환될 것이며, 이러한 이온들이 반응하여 기판 상에 실리콘 질화물의 층을 증착할 것이다(307). 요구되는 두께의 실리콘 질화물이 증착된 후, 증착은 중지된다. 그 후, 시스템은, 부가적인 층들이 증착될 필요가 있는 지를 결정할 것이다(309).
실리콘 질화물이 증착된 후, 실리콘 질화물 상에서의 결합 계면 표면을 개선하여 이러한 실리콘 질화물 상에 실리콘 산화물 층이 단단히 증착될 수 있도록 하기 위해, 실리콘 질화물 층에 대해 플라즈마 처리가 수행될 수 있다(311). 기판의 순 응력이 제로에 근접할 수 있다고 하더라도, 임의의 인접하는 층들 간의 계면 결합이 약하다면, 인접하는 층들 간의 개별적인 응력들은 박리를 야기할 수 있다. 층 스택의 단일 부분의 박리는 디바이스 결함들을 초래할 것이다. 따라서, 박리를 막기 위해서는, 인접하는 층들 간의 강한 계면 결합이 요구된다. 일 실시예에서, 실리콘 질화물 층에 대해 수행되는 플라즈마 처리는 또한 표면 거칠기를 개선할 수 있으며, 이에 따라 실리콘 질화물 층 상에 증착되는 재료와의 강한 결합이 존재할 것이다.
인접하는 층들 간의 계면 결합들이 강하며 그리고 이러한 층들의 응력들이 크기가 같고 방향이 반대이면, 기판에 대한 순 응력은 150MPa 미만일 수 있고, 기판은 이러한 층들에서의 응력들에 의해 변형되지 않을 것이다. 기판이 변형되지 않기 때문에, 층 스택이 증착된 후, 상부면은 매끄럽고 평탄해질 것이다. BiSC 및 TCAT 3D 메모리와 같은 반도체 디바이스들을 형성하기 위해 산화물 및 질화물 층들이 증착된 이후 수행되는 정확한 리소그래피 프로세싱을 위해서는 매끄러운 표면이 요구된다. 층 스택(101)의 상부면에 있어서의 범프들 또는 만곡들은 리소그래피 에러들을 초래할 수 있으며, 이러한 리소그래피 에러들은 제조되는 디바이스들에 결함들을 야기할 수 있다.
일 실시예에서, 플라즈마 처리 가스들은 NH3 및 N2를 포함할 수 있다. 프로세싱 챔버는 약 180℃-650℃ 로 가열되고, 진공 압력은 약 0.5Torr-10Torr 일 수 있다. 전극에 인가되는 고 주파수 또는 RF 전력은 약 200W-2000W 일 수 있고, 기판과 전극 간의 간격은 약 200mils-800mils 일 수 있다. NH3는 약 50sccm 내지 1,000sccm 의 유량을 가질 수 있고, N2의 유량은 약 2,000sccm 내지 30,000sccm 일 수 있다. NH3 및 N2는 플라즈마로 활성화되는 바, 이러한 플라즈마는 실리콘 질화물 층의 표면을 프로세싱하여, 요구되는 표면 거칠기를 제공함으로써 계면을 개선한다. 컨디셔닝된 표면은, 증착될 실리콘 산화물 층과의 양호한 계면 결합을 제공한다. 층들 간의 강한 결합들은 박리를 방지하고 기판의 만곡 또는 변형을 막을 것이다.
실리콘 질화물 플라즈마 처리가 수행된 후, 프로세싱 챔버는 퍼지된다(313). 단계(313)의 퍼지 프로세스는, 약 2,000sccm-30,000sccm의 유량의 N2 퍼지 가스를 사용하는 상기 설명된 단계(305)를 참조하여 위에서 설명된 것과 동일한 프로세스일 수 있다. 그 후, 요구되는 개수의 산화물 층 및 질화물 층이 기판 상에 증착될 때 까지(309), 프로세스 단계들(303-313)이 반복될 수 있다.
3D 메모리 디바이스들에 있어서, 상기 설명된 방식으로 증착되는 층들의 개수는 수직으로 적층되는 메모리 트랜지스터들의 개수에 비례한다. 일 실시예에서는, 8, 16, 24개 또는 그 초과의 트랜지스터들이 기판 상에 수직으로 배열될 수 있다. 각각의 트랜지스터는 인접하는 층들의 쌍을 요구할 수 있다. 따라서, 층들의 요구되는 개수는 16, 32 또는 48, 또는 그 초과일 수 있다. 요구된 재료 층들이 기판 상에 증착된 후, PECVD 프로세싱 챔버는 주위 압력(ambient pressure)에 이르게 되고, 기판은 추가의 프로세싱이 수행될 수 있도록 PECVD 챔버로부터 제거된다(315).
도 8을 참조하면, 기판 상에 실리콘 산화물과 실리콘의 층 스택을 인시츄 증착하기 위한 흐름도가 도시된다. 기판이 PECVD 프로세싱 챔버에 배치되고, 진공이 챔버에 인가된다(401). 실리콘 산화물 재료는, 동일한 동작 조건들로 상기 도 7의 단계(303)를 참조하여 상기 설명된 것과 동일한 방식으로 증착될 수 있다. 프로세스 가스들은 활성화되어, Si 및 O 이온들을 함유하는 플라즈마로 변환될 수 있으며, 이러한 이온들이 반응하여 실리콘 산화물의 층이 기판 상에 증착되게 한다(403). 실리콘 산화물이 증착된 후, 챔버는 플라즈마 퍼지되고 가스 퍼지된다(405). 도 7의 단계(305)에서 상기 설명된 것과 동일한 퍼지 프로세스가 사용될 수 있다.
프로세싱 챔버가 플라즈마 및 가스 퍼지된 후, 실리콘 층이 실리콘 산화물 층 위에 증착될 수 있다(407). 챔버 내의 압력은 약 0.5Torr-10Torr 일 수 있다. 프로세싱 챔버는 약 400℃-650℃ 로 가열된다. 전극에 인가되는 고 주파수 또는 RF 전력은 약 50W-700W 일 수 있고, 기판과 전극 간의 간격은 약 200mils-800mils 일 수 있다. 프로세스 가스들은 SiH4, 또는 다른 실리콘 함유 분자들 및 He를 포함할 수 있다. SiH4는 약 50sccm 내지 2,000sccm 의 유량을 가질 수 있고, He의 유량은 약 1,000sccm 내지 20,000sccm 일 수 있다. 프로세스 가스들은 활성화되어 실리콘 이온들을 형성하는 바, 이러한 실리콘 이온들은 전자들과 반응하여 실리콘의 층을 증착한다. 시스템은 부가적인 층들이 요구되는지를 결정할 것이고(409), PECVD 챔버는 부가적인 증착에 대한 준비로 퍼지될 수 있다(415). 실리콘 산화물 층과 실리콘 층 모두가 증착될 때 까지, 프로세스 단계들(403-411)이 반복될 수 있다. 층들이 증착된 후(409), PECVD 챔버는 주위 압력에 이르게 되고, 기판이 제거된다(415). 3D 메모리를 위해, 적어도 8개의 재료 층들이 기판 상에 증착되어야 한다. 부가적인 프로세싱이 다른 프로세싱 챔버들에서 수행될 수 있다.
도 9를 참조하면, 일 실시예에서, 실리콘과 도핑된 실리콘의 층 스택이 기판 상에 증착될 수 있다. 기판이 PECVD 프로세싱 챔버에 배치되고, 진공이 인가된다(501). 실리콘 재료가 기판 상에 증착된다(503). 도 8의 단계(403)를 참조하여 상기 설명된 실리콘 증착 프로세스가 실리콘 층을 증착하는 데에 사용될 수 있다. 실리콘 재료가 증착된 후, 프로세싱 챔버는 플라즈마 퍼지되고 가스 퍼지될 수 있다(505). 도 7의 단계(305)에서 상기 설명된 퍼지 프로세스가 PECVD 챔버를 퍼지하는 데에 사용될 수 있다.
그 후, 실리콘 및 도펀트 전구체들 양자 모두를 프로세싱 챔버 내로 보냄으로써, 도핑된 실리콘 재료가 실리콘 층 상에 증착될 수 있다(507). 도핑된 실리콘은 실리콘, 및 실리콘의 전기 전도성을 증가시키는 불순물들을 포함한다. 전기 전도성은, 불순물 원자들의 개수 뿐 아니라, 불순물 원자들의 타입에 의해 달라질 수 있다. 도핑된 실리콘 증착 프로세싱은, 상기 설명된 도 8의 단계(407)에서 위에서 설명된 실리콘 증착과 유사하다. 프로세스 가스들은, SiH4 및 He 뿐 아니라, p형 또는 n형 도핑된 실리콘 층들을 생성하기 위한 다른 도펀트 가스들을 포함한다. 도펀트 전구체 가스들은 SiH4 및 He와 혼합되어, 증착된 실리콘 결정 격자 내에 불순물들을 부가한다.
p형 도핑된 실리콘을 생성하기 위해, B 도펀트 전구체 가스가 요구된다. 적절한 도펀트 전구체 가스들은 B(CH3)3 트리메틸붕소(TMB) 및 B2H6, 디보란 또는 다른 붕소 함유 분자들을 포함하는 바, 이들은 SiH4 및 He와 함께 프로세싱 챔버 내로 유동될 수 있다. 다른 실시예들에서는, 붕소를 포함하는 다른 프로세싱 가스들이 사용될 수 있다. p형 도펀트 가스는 SiH4 및 He와 혼합되어, 증착된 실리콘 결정 격자 내에 불순물들을 부가한다. 이들 B 도펀트 전구체 가스는 약 1sccm 내지 50sccm의 유량을 가질 수 있다. B 도펀트 전구체 가스의 유량은 p형 도핑된 실리콘 층 내에서의 B 도펀트의 농도에 비례할 수 있다. 요구되는 두께의 p형 실리콘이 증착된 후, 증착은 중지된다. 일 실시예에서, p형 도핑된 실리콘 층으로부터 붕소가 확산될 수 있다. 붕소 확산을 최소화하기 위해, 질소 풍부(rich) 재료의 얇은 층이 p형 도핑된 실리콘 층 근처에 증착될 수 있다. 질소 풍부 재료는 도핑된 실리콘 층으로부터 붕소의 확산을 막을 수 있으며, 이에 따라, 도핑된 실리콘 내에 요구되는 양의 붕소가 남게 될 것이다.
n형 도핑된 실리콘을 생성하기 위해, P 도펀트 전구체 가스가 요구된다. 적절한 P 도펀트 전구체 가스들은 PH3 포스핀 및 다른 인 함유 분자들을 포함하는 바, 이들은 약 1sccm 내지 50sccm 의 유량으로 SiH4 및 He와 함께 프로세싱 챔버 내로 유동될 수 있다. 다른 실시예들에서는, 다른 인 함유 분자들이 사용될 수 있다. PH3의 유량은 n형 도핑된 실리콘 층 내에서의 P 도펀트의 농도에 비례할 수 있다. 요구되는 두께의 n형 실리콘이 증착된 후, 증착 프로세싱은 중지된다.
도핑된 실리콘이 증착된 후, 시스템은 부가적인 층들이 요구되는지를 결정할 수 있고(509), PECVD 프로세싱 챔버는 퍼지될 수 있으며(511), 그리고 4개 또는 그 초과의 층들일 수 있는 요구되는 개수의 층들이 증착될 때 까지(509), 단계들(503-511)이 반복될 수 있다. 층들 모두는 동일한 PECVD 프로세싱 챔버에서 증착되며, 그 프로세싱 챔버에 대한 진공은 이러한 층들의 증착 동안 유지된다. 실리콘 및 도핑된 실리콘 층들이 증착된 후, PECVD 프로세싱 챔버로부터 진공이 제거될 수 있고, 기판이 제거될 수 있다(515). 그 후, 부가적인 프로세싱이 웨이퍼 상에서 수행될 수 있다.
다른 실시예에서는, 상이한 프로세스를 사용하여, 웨이퍼 상에 실리콘과 도핑된 실리콘의 층 스택을 증착할 수 있다. 실리콘 플라즈마 및 도핑된 실리콘 플라즈마는 도펀트 전구체들의 유량을 턴온 및 턴오프시킴으로써 연이어(back to back) 구동될 수 있다. 도 10을 참조하면, 기판이 PECVD 프로세싱 챔버에 배치되고, 진공이 인가된다(501). RF 전력이 전극에 인가된다. 플라즈마를 생성하기 위해 실리콘 전구체 가스들이 프로세싱 챔버 내로 유동되고, 도 9와 관련하여 상기 설명된 바와 같이 실리콘의 층이 웨이퍼 상에 증착된다. 요구되는 두께의 실리콘이 증착된 후, 도핑된 실리콘이 증착된다. 플라즈마 및 가스 퍼지들을 수행하는 것이 아니라, 도펀트 전구체들이 실리콘 전구체들에 부가되고(506), 도핑된 실리콘이 실리콘 층 상에 증착된다(507). 요구되는 두께의 도핑된 실리콘이 증착될 때, 도펀트 전구체들이 중지되고(512), 실리콘의 부가적인 층이 웨이퍼 상에 증착된다(503). 실리콘/도핑된 실리콘 층들 모두가 증착될 때 까지(509), 프로세스 단계들(503-512)이 반복된다. 실리콘 및 도핑된 실리콘 층들이 증착된 후, PECVD 프로세싱 챔버로부터 진공이 제거될 수 있고, 기판이 제거될 수 있으며(515), 그 후, 부가적인 프로세싱이 웨이퍼 상에서 수행될 수 있다. 플라즈마 및 가스 퍼지 단계들이 요구되지 않기 때문에, 상기 설명된 방식의 웨이퍼의 실리콘/도핑된 실리콘 층 스택 증착 프로세싱이 훨씬 더 효율적일 수 있다.
일 실시예에서, 실리콘 및 도핑된 실리콘 층들의 수소 함유량을 감소시키는 것이 바람직할 수 있다. 감소된 수소 함유량은 웨이퍼의 후속 어닐링 프로세싱 동안 아웃개싱(outgassing)을 감소시킬 수 있다. 더 낮은 수소 함유량은 또한, 탄소계 막들, 금속 질화물들 및 유전체 질화물들을 함유할 수 있는 하드마스크 구조 또는 층 스택에서의 계면 박리를 막을 수 있다.
도 11을 참조하면, 일 실시예에서, 실리콘 및 도핑된 실리콘의 층 스택이 기판 상에 증착될 수 있다. 기판이 PECVD 프로세싱 챔버에 배치되고, 진공이 인가된다(801). 실리콘 재료가 기판 상에 증착된다(803). 도 8의 단계(403)를 참조하여 상기 설명된 실리콘 증착 프로세스가 실리콘 층을 증착하는 데에 사용될 수 있다. 실리콘 재료가 증착된 후, 프로세싱 챔버는 퍼지될 수 있다(805). 도 7의 단계(305)에서 상기 설명된 퍼지 프로세스가 PECVD 챔버를 퍼지하는 데에 사용될 수 있다.
실리콘 질화물 층을 실리콘 층 상에 직접 증착하는 것이 아니라, RF 전력이 전극에 인가되는 동안 실리콘 산화물 프로세스 가스들을 PECVD 프로세싱 챔버 내에 도입함으로써, 실리콘 산화물의 얇은 층이 실리콘 층 상에 증착될 수 있다(807). 실리콘 산화물은, 후속 어닐링 프로세스 동안 계면 박리를 막기 위해 실리콘 층과 실리콘 질화물 층 간의 결합을 개선하는 접착제로서 기능할 수 있다. 실리콘 산화물의 박막이 실리콘 층 상에 증착된다. 실리콘 산화물 증착 프로세스는 도 7의 단계(303)를 참조하여 설명된다. 그 후, 챔버는 플라즈마 및 가스 퍼지될 수 있고(809), 실리콘 질화물 층이 실리콘 산화물 층 상에 증착된다(811). 표면 거칠기를 개선하기 위해 실리콘 질화물에 대해 실리콘 질화물 처리가 수행될 수 있으며, 이에 따라 계면이 개선되고(815), 플라즈마 및 가스 퍼지(817) 프로세스들이 반복될 수 있다. 일 실시예에서, 단계들(807, 809, 811, 815 및 817)은 각각 도 7의 단계들(303, 305, 307, 311 및 313)을 참조하여 설명된다.
재료들의 증착은 혼합되고 정합(match)될 수 있다. 하기의 표 1을 참조하면, 층 스택을 위한 가능한 제 1 및 제 2 재료들이 리스트된다. 제 1 및 제 2 재료 층들의 증착 순서는 어플리케이션 요건들에 의존하여, 변경, 즉 반대로 될 수 있다.
제 1 재료 실리콘 산화물 실리콘 산화물 실리콘 실리콘
제 2 재료 실리콘 질화물 실리콘 도핑된 실리콘 실리콘 질화물
도 12를 참조하면, 기판 상에 제 1 재료와 제 2 재료의 층 스택을 인시츄 증착하기 위한 흐름도가 도시된다. 기판이 PECVD 챔버에 배치되고(601), 제 1 재료가 기판 상에 증착된다(603). PECVD 챔버가 플라즈마 퍼지되고 가스 퍼지된다(605). 필요하다면, 플라즈마 처리가 제 1 재료 층에 대해 수행될 수 있다(606). 제 2 재료가 제 1 재료 위에 증착된다(607). 부가적인 층들이 기판 상에 증착된다(609). 필요하다면, 플라즈마 처리가 제 2 재료 층에 대해 수행될 수 있다(611). PECVD 챔버가 다시 퍼지되고(613), 기판 상에 부가적인 층들을 증착하도록 프로세스 단계들(603-613)이 반복된다. 모든 층들이 증착된 후, PECVD 챔버는 주위 압력에 이르게 되고, 기판이 제거된다(615). 기판 상에 3D 메모리 디바이스를 생성하기 위해, 부가적인 에칭 및 증착 프로세싱이 수행될 수 있다.
본 발명의 시스템이 특정 실시예들을 참조하여 설명되었지만, 본 발명의 시스템의 범위를 벗어나지 않으면서 이러한 실시예들에 대한 부가들, 삭제들 및 변경들이 행해질 수 있다는 것이 이해될 것이다. 설명된 시스템들이 다양한 컴포넌트들을 포함하지만, 이러한 컴포넌트들 및 설명된 구성은 다양한 다른 구성들로 변형되고 재배열될 수 있음이 잘 이해된다.

Claims (20)

  1. (a) 기판을 PECVD 챔버에 배치하는 단계;
    (b) 제 1 프로세스 가스들을 제 1 플라즈마로 활성화(energize)하는 단계;
    (c) 상기 제 1 플라즈마로부터 상기 기판 상에 제 1 재료의 층을 증착하는 단계 ― 상기 제 1 재료의 층은 제 1 방향으로 제 1 응력을 가짐 ―;
    (d) 상기 PECVD 챔버를 플라즈마 퍼지(plasma purging)하고, 상기 플라즈마 퍼지에 의해 증착을 위해 컨디셔닝될 상기 제 1 재료의 표면을 노출시키는 단계;
    (e) 모든 가스 오염물질들을 제거하기 위해 상기 PECVD 챔버를 가스 퍼지하는 단계;
    (f) 제 2 프로세스 가스들을 제 2 플라즈마로 활성화하는 단계;
    (g) 상기 제 2 플라즈마로부터 상기 기판 상에 제 2 재료의 층을 증착하는 단계 ― 상기 제 2 재료의 층은 상기 제 1 방향과 반대인 제 2 방향으로 제 2 응력을 가짐 ―;
    (h) 상기 PECVD 챔버를 플라즈마 퍼지하고, 상기 플라즈마 퍼지에 의해 증착을 위해 컨디셔닝될 상기 제 2 재료의 표면을 노출시키는 단계;
    (i) 가스 오염물질들을 제거하기 위해 상기 PECVD 챔버를 가스 퍼지하는 단계;
    (j) 상기 단계 (b) 내지 단계 (i)를 통해 상기 PECVD 챔버 내에서 진공을 유지하는 단계; 및
    (k) 상기 제 1 재료 및 상기 제 2 재료의 층들의 미리결정된 개수가 상기 기판 상에 증착될 때 까지 그리고 상기 기판의 상부 표면이 평탄해질 때까지, 상기 단계 (b) 내지 단계 (j)를 반복하는 단계를 포함하고,
    상기 제 1 재료는 실리콘 질화물이고, 상기 제 1 프로세스 가스들은 실리콘 함유 분자 및 질소 함유 분자를 포함하고,
    상기 단계 (c)와 단계 (d) 사이에서, 상기 실리콘 질화물의 표면 처리를 위해 제 3 프로세스 가스들을 제 3 플라즈마로 활성화하는 단계를 더 포함하는,
    방법.
  2. 제 1 항에 있어서,
    상기 제 1 재료의 층들의 미리결정된 개수는 8개 또는 8개 초과이고, 상기 제 2 재료의 층들의 미리결정된 개수는 8개 또는 8개 초과인,
    방법.
  3. 제 1 항에 있어서,
    상기 PECVD 챔버를 가스 퍼지하는 단계는, NH3, N2, N2O, 및 이들의 혼합물들로 이루어진 가스들의 그룹으로부터 선택되는 퍼지 가스에 상기 PECVD 챔버의 일부를 노출시키는 단계를 포함하는,
    방법.
  4. 제 1 항에 있어서,
    상기 제 1 재료는 실리콘이고, 상기 제 1 프로세스 가스들은 실리콘 함유 분자를 포함하는,
    방법.
  5. 제 1 항에 있어서,
    상기 제 1 재료는 실리콘 산화물이고, 상기 제 1 프로세스 가스들은 실리콘 함유 분자 및 산소 함유 분자를 포함하는,
    방법.
  6. 삭제
  7. 삭제
  8. 제 1 항에 있어서,
    상기 제 3 프로세스 가스들은 NH3 및 N2를 포함하는,
    방법.
  9. (a) 진공 하에 있는 PECVD 챔버에 기판을 배치하는 단계;
    (b) 제 1 프로세스 가스들을 제 1 플라즈마로 활성화하는 단계;
    (c) 상기 제 1 플라즈마로부터 상기 기판 상에 제 1 방향으로의 제 1 응력을 갖는 제 1 재료의 층을 증착하는 단계;
    (d) 상기 PECVD 챔버를 세정하기 위해 플라즈마 퍼지하고 그리고 증착을 위해 컨디셔닝될 상기 제 1 재료의 표면을 노출시키는 단계;
    (e) 가스 오염물질들을 제거하기 위해 상기 PECVD 챔버를 가스 퍼지하는 단계;
    (f) 제 2 프로세스 가스를 제 2 플라즈마로 활성화하는 단계;
    (g) 상기 기판 상의 상기 제 1 재료의 상기 제 1 방향으로의 상기 제 1 응력과 크기가 동일하고, 방향이 반대인 제 2 방향으로 제 2 응력을 갖는 제 2 재료의 층을 증착하는 단계;
    (h) 상기 PECVD 챔버를 플라즈마 퍼지하고 그리고 증착을 위해 컨디셔닝될 상기 제 2 재료의 표면을 노출시키는 단계;
    (i) 가스 오염물질들을 제거하기 위해 상기 PECVD 챔버를 가스 퍼지하는 단계;
    (j) 상기 단계 (b) 내지 단계 (i)을 통해 상기 PECVD 챔버 내의 진공을 유지하는 단계; 및
    (k) 상기 제 1 재료 및 상기 제 2 재료의 층들의 미리결정된 개수가 상기 기판 상에 증착될 때 까지 그리고 상기 기판의 상부 표면이 평탄해질 때까지, 상기 단계 (b) 내지 단계 (j)를 반복하는 단계를 포함하고,
    상기 제 1 재료는 실리콘 질화물이고, 상기 제 1 프로세스 가스들은 실리콘 함유 분자 및 질소 함유 분자를 포함하고,
    상기 단계 (c)와 단계 (d) 사이에서, 상기 실리콘 질화물의 표면 처리를 위해 제 3 프로세스 가스들을 제 3 플라즈마로 활성화하는 단계를 더 포함하는,
    방법.
  10. 제 9 항에 있어서,
    상기 제 1 재료의 층들의 미리결정된 개수는 8개 또는 8개 초과이고, 상기 제 2 재료의 층들의 미리결정된 개수는 8개 또는 8개 초과인,
    방법.
  11. 제 9 항에 있어서,
    상기 PECVD 챔버를 가스 퍼지하는 단계는, NH3, N2, N2O, 및 이들의 혼합물들로 이루어진 가스들의 그룹으로부터 선택되는 퍼지 가스에 상기 PECVD 챔버의 일부를 노출시키는 단계를 포함하는,
    방법.
  12. 제 9 항에 있어서,
    상기 제 1 재료는 실리콘이고, 상기 제 1 프로세스 가스들은 실리콘 함유 분자를 포함하는,
    방법.
  13. 제 9 항에 있어서,
    상기 제 1 재료는 실리콘 산화물이고, 상기 제 1 프로세스 가스들은 실리콘 함유 분자 및 산소 함유 분자를 포함하는,
    방법.
  14. 삭제
  15. 삭제
  16. 제 9 항에 있어서,
    상기 제 3 프로세스 가스들은 NH3 및 N2를 포함하는,
    방법.
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020137011625A 2010-10-06 2011-09-28 3d 메모리 어플리케이션을 위한 pecvd 산화물-질화물 및 산화물-실리콘 스택들 KR101944393B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/899,401 2010-10-06
US12/899,401 US8076250B1 (en) 2010-10-06 2010-10-06 PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
PCT/US2011/053730 WO2012047697A2 (en) 2010-10-06 2011-09-28 Pecvd oxide-nitride and oxide-silicon stacks for 3d memory application

Publications (2)

Publication Number Publication Date
KR20130129930A KR20130129930A (ko) 2013-11-29
KR101944393B1 true KR101944393B1 (ko) 2019-01-31

Family

ID=45092654

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137011625A KR101944393B1 (ko) 2010-10-06 2011-09-28 3d 메모리 어플리케이션을 위한 pecvd 산화물-질화물 및 산화물-실리콘 스택들

Country Status (6)

Country Link
US (1) US8076250B1 (ko)
JP (1) JP5808814B2 (ko)
KR (1) KR101944393B1 (ko)
CN (1) CN103109352B (ko)
TW (1) TWI498943B (ko)
WO (1) WO2012047697A2 (ko)

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9373675B2 (en) * 2012-02-06 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor structure and method of forming the same
KR102025441B1 (ko) 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN102856174B (zh) * 2012-09-19 2014-12-31 英利能源(中国)有限公司 氮化硅的膜制备方法、具有氮化硅膜的太阳能电池片及其制备方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140117511A1 (en) 2012-10-30 2014-05-01 Infineon Technologies Ag Passivation Layer and Method of Making a Passivation Layer
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160260602A1 (en) * 2013-11-04 2016-09-08 Applied Materials, Inc. Adhesion improvements for oxide-silicon stack
KR102275051B1 (ko) * 2014-01-21 2021-07-07 어플라이드 머티어리얼스, 인코포레이티드 3d 플래시 메모리 애플리케이션을 위한 유전체-금속 스택
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
GB201410317D0 (en) * 2014-06-10 2014-07-23 Spts Technologies Ltd Substrate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102578078B1 (ko) * 2017-04-27 2023-09-12 어플라이드 머티어리얼스, 인코포레이티드 3d 낸드 적용을 위한 낮은 유전율의 산화물 및 낮은 저항의 op 스택
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN109216154A (zh) * 2017-07-03 2019-01-15 上海新昇半导体科技有限公司 一种半导体器件及其制造方法、电子装置
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
EP3649670A4 (en) * 2017-07-06 2021-07-14 Applied Materials, Inc. PROCESSES FOR FORMING A STACK OF DEPOSITED MULTIPLE SEMICONDUCTOR LAYERS
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN107564800B (zh) * 2017-08-31 2020-02-18 长江存储科技有限责任公司 一种氮化硅层的制备方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN108376652B (zh) * 2018-03-05 2019-08-30 长江存储科技有限责任公司 晶圆键合方法、晶圆键合结构及调整晶圆变形量的方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
CN108425103A (zh) * 2018-03-30 2018-08-21 德淮半导体有限公司 炉管设备及其沉积方法
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7204348B2 (ja) * 2018-06-08 2023-01-16 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10515905B1 (en) 2018-06-18 2019-12-24 Raytheon Company Semiconductor device with anti-deflection layers
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11276569B2 (en) 2018-07-31 2022-03-15 Applied Materials, Inc. On stack overlay improvement for 3D NAND
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
JP2020191427A (ja) * 2019-05-23 2020-11-26 東京エレクトロン株式会社 ハードマスク、基板処理方法及び基板処理装置
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI809790B (zh) * 2022-03-29 2023-07-21 南亞科技股份有限公司 半導體設備的操作方法
GB202213794D0 (en) * 2022-09-21 2022-11-02 Spts Technologies Ltd Deposition of thick layers of silicon dioxide

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2737111B2 (ja) * 1987-03-27 1998-04-08 キヤノン株式会社 光起電力素子及びその製造方法
JPS6447032A (en) * 1987-08-18 1989-02-21 Oki Electric Ind Co Ltd Formation of surface protective film for semiconductor device
US5068124A (en) * 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
DE69323716T2 (de) * 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
JP3332467B2 (ja) * 1993-04-06 2002-10-07 三洋電機株式会社 多結晶半導体の製造方法
JP3782938B2 (ja) * 1999-04-20 2006-06-07 東京エレクトロン株式会社 IC製造におけるPECVD−TiフィルムとCVD−TiNフィルムの単一室処理方法
KR100422348B1 (ko) * 2001-06-15 2004-03-12 주식회사 하이닉스반도체 반도체소자의 제조방법
KR100920434B1 (ko) * 2001-07-24 2009-10-08 크리, 인코포레이티드 절연 게이트 갈륨 비소 질화물/갈륨 질화물계 고전자이동도 트랜지스터
JP2004006537A (ja) * 2002-05-31 2004-01-08 Ishikawajima Harima Heavy Ind Co Ltd 薄膜形成方法及び装置並びに太陽電池の製造方法並びに太陽電池
US6849509B2 (en) 2002-12-09 2005-02-01 Intel Corporation Methods of forming a multilayer stack alloy for work function engineering
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US20060172545A1 (en) * 2005-02-02 2006-08-03 Texas Instruments, Inc. Purge process conducted in the presence of a purge plasma
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
WO2008020267A2 (en) * 2006-08-16 2008-02-21 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US7670963B2 (en) 2007-05-25 2010-03-02 Cypress Semiconductor Corportion Single-wafer process for fabricating a nonvolatile charge trap memory device
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
CN100561682C (zh) * 2007-12-14 2009-11-18 电子科技大学 一种改变薄膜力学及光学性能的方法
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US7825479B2 (en) * 2008-08-06 2010-11-02 International Business Machines Corporation Electrical antifuse having a multi-thickness dielectric layer
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置

Also Published As

Publication number Publication date
US8076250B1 (en) 2011-12-13
CN103109352B (zh) 2016-05-04
KR20130129930A (ko) 2013-11-29
JP5808814B2 (ja) 2015-11-10
TW201222638A (en) 2012-06-01
CN103109352A (zh) 2013-05-15
WO2012047697A2 (en) 2012-04-12
JP2013546169A (ja) 2013-12-26
WO2012047697A3 (en) 2012-06-28
TWI498943B (zh) 2015-09-01

Similar Documents

Publication Publication Date Title
KR101944393B1 (ko) 3d 메모리 어플리케이션을 위한 pecvd 산화물-질화물 및 산화물-실리콘 스택들
KR102045674B1 (ko) 필름 스택의 인-시츄 증착
US11127589B2 (en) Method of topology-selective film formation of silicon oxide
CN110431661B (zh) 用于用非晶硅膜对高深宽比沟槽进行间隙填充的两步工艺
TWI522490B (zh) 利用微波電漿化學氣相沈積在基板上沈積膜的方法
KR101379089B1 (ko) 금속 하드 마스크 제조
CN101368267B (zh) 等离子体cvd装置、微晶半导体层、薄膜晶体管的制造
US8105957B2 (en) Method of producing semiconductor device
KR101050377B1 (ko) 반도체 박막 증착을 위한 개선된 공정
US8895415B1 (en) Tensile stressed doped amorphous silicon
US20160260602A1 (en) Adhesion improvements for oxide-silicon stack
KR20160131943A (ko) 박막들의 잔여 응력을 조절하는 방법들
KR20090085695A (ko) 원자층 증착을 위한 기법
KR102514466B1 (ko) 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층
JP2004006537A (ja) 薄膜形成方法及び装置並びに太陽電池の製造方法並びに太陽電池
US7029995B2 (en) Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
US7186663B2 (en) High density plasma process for silicon thin films
KR102493935B1 (ko) 비정질 실리콘막의 제조방법
CN116845027B (zh) 一种fd-soi衬底的制备方法及soi器件
JPH08144059A (ja) 連続成膜装置および連続成膜方法

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)