KR102045674B1 - 필름 스택의 인-시츄 증착 - Google Patents

필름 스택의 인-시츄 증착 Download PDF

Info

Publication number
KR102045674B1
KR102045674B1 KR1020180059081A KR20180059081A KR102045674B1 KR 102045674 B1 KR102045674 B1 KR 102045674B1 KR 1020180059081 A KR1020180059081 A KR 1020180059081A KR 20180059081 A KR20180059081 A KR 20180059081A KR 102045674 B1 KR102045674 B1 KR 102045674B1
Authority
KR
South Korea
Prior art keywords
film
plasma
deposition
reactant
process station
Prior art date
Application number
KR1020180059081A
Other languages
English (en)
Other versions
KR20180062442A (ko
Inventor
제이슨 하버캄프
프라모드 서브라모니엄
조 우맥
동 니우
케이스 폭스
존 알렉시
패트릭 브레이링
제니퍼 오'러프린
만디얌 스리람
조지 앤드류 안도넬리
바트 반 슈라벤디크
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20180062442A publication Critical patent/KR20180062442A/ko
Priority to KR1020190143172A priority Critical patent/KR102281521B1/ko
Application granted granted Critical
Publication of KR102045674B1 publication Critical patent/KR102045674B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Abstract

프로세스 도구에서 필름 스택을 인-시츄 증착(즉, 진공 파괴 또는 공기 노출 없이)하기 위한 방법 및 하드웨어가 설명된다. 일 실시예에서, 플라스마를 이용하여 프로세스 스테이션에서 서로 상이한 조성물로 구성된 필름을 포함하는 필름 스택을 기판 상에, 인-시츄 증착하는 방법이 설명된다. 이 방법은, 기판 상에 제 1 필름 조성물을 가지는 필름의 제 1 층을 증착하는, 제 1 플라스마-활성화 필름 증착 단계; 필름의 제 1 층 상에 제 2 필름 조성물을 가지는 필름의 제 2 층을 증착하는, 제 2 플라스마-활성화 증착 단계; 그리고 제 1 플라스마-활성화 필름 증착 단계에서 제 2 플라스마-활성화 필름 증착 단계로 플라스마 조성물을 전환하면서, 플라스마를 유지하는 단계를 포함하는 것을 특징으로 하는 인-시츄 증착 방법.

Description

필름 스택의 인-시츄 증착{IN-SITU DEPOSITION OF FILM STACKS}
이 출원은 미국 가특허 출원 번호 제61/317,656호(제목: 필름 스택의 인-시츄 플라스마-강화 화학적 기상 증착, 2010년 3월 25일 출원), 미국 가특허 출원 번호 제61/382,465호(제목: 필름 스택의 인-시츄 플라스마-강화 화학적 기상 증착, 2010년 9월 13일 출원), 미국 가특허 출원 번호 제61/382,468호(제목: 평탄한 실란-베이스의 필름, 2010년 9월 13일 출원), 미국 가특허 출원 번호 제61/394,707호(제목: 필름 스택의 인-시츄 플라스마-강화 화학적 기상 증착, 2010년 10월 19일 출원), 및 미국 실용 특허 출원 제12/970,846호(제목:필름 스택의 인-시츄 증착, 2010년 12월 16일 출원)을 근거로 우선권을 주장하며, 이들 전체는 모든 목적에 대해 참조문헌으로 이 명세서에 포함된다.
반도체 장치에 대한 다양한 박막 층이 화학적 기상 증착(CVD) 및/또는 플라스마-강화 화학적 기상 증착(PECVD) 프로세스에 의해 증착될 수 있다. 일부 증착 프로세스는 프로세스 중에 작은 기체 위상 입자를 발생하며, 이는 증착 표면에 발라지고, 디바이스를 오염시킬 가능성이 있다. 이러한 입자는 디바이스에 달라붙어, 후속 에치 및/또는 증착을 차단시킬 수 있으며, 이는 결국에는 디바이스 불량으로 이어진다. 나아가, 입자는 디바이스 다운스트림을 중지시켜, 다른 프로세스 도구를 오염시킬 가능성이 있다.
기체-위상 입자 발생을 처리하기 위한 일부 접근법은 반응 조건을 완화함으로써 입자 발생을 억제하는 시도를 할 수 있다. 그러나, 이러한 접근법은 필름 증착 율을 감소시킬 수 있어, 생산 라인을 지원하기 위한 추가 프로세스의 설치 및 관리를 요한다. 나아가, 이러한 접근법에 의해 생산된 필름은, 부적절한 디바이스 성능을 제공하는 물리적 또는 전기적 특성을 가질 수 있다. 또한, 추가로, 이러한 접근법은 프로세스 도구를 위한 다양한 소모성 하드웨어에 형성된 입자를 처리할 수 없으며, 이는 디바이스를 퇴보 및 오염시킬 수 있다. 이러한 입자는 증착 중에, 기판 표면으로 전달될 수 있다. 추가적인 필름 물질에 의해 코팅되면, 작은 사이즈의 입자가 확대될 수 있고, 필름 표면에서 리플(ripple) 및 뒤틀림을 일으킨다. 이러한 리플은 최종 필름을 패턴화하는 것을 어렵게 할 수 있다.
패턴화 문제는 거친 필름에 의해서도 발생할 수 있다. 필름 층을 증착하기 위한 일부 전통적인 원자 층 증착(ALD:atomic layer deposition), 화학적 기상 증착(CVD), 고-밀도 플라스마 화학적 기상 증착(HDP-CVD) 및 플라스마-강화 화학적 기상 증착(PECVD) 프로세스들은 수용될 수 없는 거친 필름을 생성할 수 있고, 필름 층들 사이의 수용할 수 없는 계면 혼합(interfacial mixing)을 일으키며, 연속적으로 증착된 필름 층들 사이의 진공 파괴에 의해 유발된 계면 결함을 가질 수 있다. 결과적인 거친 필름 계면들(interface) 및 계면 결함은 필름 스택(stack)이 형성됨에 따라 후속 증착된 층들에 의해 확대될 수 있고, 이에 따라 필름 스택의 상부 표면이 다운스트림 패터닝 프로세스에서 수용할 수 없게 거칠어 질 수 있다. 나아가, 필름 스택 내의 계면 결함은 최종 집적형 디바이스 내의 구조적 및/또는 전기적 결함으로 이어질 수 있다.
내용 중에 설명된 다양한 실시예는 플라스마-강화 화학적 기상 증착(PECVD)을 사용하여, 프로세스 도구에서 필름 스택을 인-시츄 증착시키는 것(즉, 진공 브레이크 또는 공기 노출 없이)에 관하여, 설명된다. 일 실시예에서, 플라스마를 사용하여, 프로세스 스테이션에서 서로 상이한 조성물로 이루어진 필름을 포함하는 필름 스택을, 기판 상에, 인-시츄 증착하기 위한 방법이 설명된다. 이 방법은 제 1 플라스마-활성화 필름 증착 단계에서, 기판 상에 제 1 필름 조성물을 가지는 필름의 제 1 층을 증착하는 단계; 제 2 플라스마-활성화 증착 단계에서, 필름의 제 1 층 상에 제 2 필름 조성물을 가지는 필름의 제 2 층을 증착하는 단계; 및 제 1 플라스마-활성화 필름 증착 단계의 제 1 플라스마 조성물로부터 제 2 플라스마-활성화 필름 증착 단계의 제 2 플라스마 조성물로 플라스마의 조성물을 전환하면서, 플라스마를 견디는 단계를 포함한다.
이러한 요약은 상세한 설명에서 이하에 추가로 설명된 간략화된 형태의 개념들의 선택물을 소개하기 위해 제공된다. 이러한 요약은 청구된 대상(subject matter)의 핵심 특징이나 주요 특징을 확인하기 위한 것이 아니며, 청구된 대상의 범위를 제한하기 위해 사용되는 것이 아니다. 나아가, 청구된 대상은 이러한 개시 내용의 일부분에서 언급된 임의의 또는 모든 단점을 해결하는 구현예에 한정되지 않는다.
도 1은 기판 상에 증착된, 교대되는 제 1 필름 및 제 2 필름의 층들을 포함하는 필름 스택에 대한 예시적인 실시예를 개략적으로 도시한다.
도 2는 11 쌍의 교대되는 SiN/ SiO2 층들을 포함하는 필름 스택의 상부에 증착된 예시적인 테트라에틸 오르토실리케이트 (TEOS)-베이스의 플라스마-강화 화학적 기상 증착(PECVD) SiO2 필름의 표면에 대한 AFM(atomic force microscopy) 이미지를 도시한다.
도 3은 11 쌍의 교대되는 SiN/ SiO2 층들을 포함하는 필름 스택의 상부에 증착된 예시적인 통상적인 실란-베이스의 PECVD SiO2 필름의 표면에 대한 AFM(atomic force microscopy) 영상을 도시한다.
도 4는 14 쌍의 교대되는 SiN/ SiO2 층들을 포함하는 필름 스택의 상부에서 본 발명의 실시예에 따라 증착된 예시적인 울트라-스무드 PECVD SiO2 필름의 표면에 대한 AFM(atomic force microscopy) 영상을 도시한다.
도 5는, 통상적인 PECVD 및 원자 층 증착(ALD) SiO2 필름 및 본 발명의 실시예에 따라 증착된 예시적인 울트라-스무드 PECVD SiO2 필름 사이의 절대 거칠기(absolute roughness)의 비교를 그래프로 도시된다.
도 6은 본 발명의 실시예에 따라 증착된 예시적인 울트라-스무드 PECVD SiO2 필름을 포함하는 필름 스택에 대한 실시예를 개략적으로 도시하며, 울트라-스무드 PECVD SiO2 필름들 사이에는 실리콘 나이트라이드 필름이 삽입된다.
도 7은 도 6에 개략적으로 도시된 필름 스택의 상부에 증착된 본 발명의 일 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름을 개략적으로 도시한다.
도 8은 도 6에 개략적으로 도시된 필름 스택의 상부에서 노출된 실리콘 나이트라이드 표면에 대한 AMF 이미지를 도시한다.
도 9는 도 7에 개략적으로 도시된 필름 스택의 상부에서 본 발명의 실시예에 따라 증착된 300-Å-울트라-스무드 PECVD SiO2 필름의 표면에 대한 AFM 이미지를 도시한다.
도 10은 도 7에 개략적으로 도시된 필름 스택의 상부에 본 발명의 실시예에 따라 증착된 3000-Å-울트라-스무드 PECVD SiO2 필름의 표면에 대한 AFM 이미지를 도시한다.
도 11은 본 발명의 실시예에 따라 증착된 예시적인 울트라-스무드 PECVD SiO2 필름을 포함하는 필름 스택에 대한 다른 실시예를 개략적으로 도시하며, 울트라-스무드 PECVD SiO2 필름들 사이에는 실리콘 나이트라이드 필름이 삽입된다.
도 12는 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름, 통상적인 TEOS-베이스의 PECVD SiO2 필름, 및 통상적인 실란-베이스의 PECVD SiO2 필름의 두께 사이의 예시적인 관계 및 이러한 필름들에 대한 절대 거칠기 측정값을 도시한다.
도 13은 도 11에 개략적으로 도시한 필름 스택의 상부에 증착된 실리콘 나이트라이드 필름을 개략적으로 도시한다.
도 14는 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름, 통상적인 TEOS-베이스의 PECVD SiO2 필름, 및 통상적인 실란-베이스의 PECVD SiO2 필름의 두께 사이의 예시적인 관계 및 이러한 SiO2 필름들 상에 각각 증착된 800-Å-실리콘 나이트라이드 필름에 대한 절대 거칠기 측정값을 도시한다.
도 15는 본 발명의 실시예에 따른 울트라-스무드 PECVD 실리콘-함유 필름을 증착하는 방법을 도시하는 흐름도이다.
도 16은 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름 및 통상적인 PECVD SiO2 필름에 대한 표면 거칠기 및 실란 플로우 레이트(flow rate) 사이의 예시적인 관계를 그래프 적으로 도시한다.
도 17은 도 7에 도시된 예시적인 필름에 대한 실란 플로우 레이트 및 SiO2 필름 증착 레이트 사이의 예시적인 관계를 그래프로 도시한다.
도 18은 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름에 대한 프로세스 스테이션 압력 및 SiO2 필름 증착 레이트 사이의 관계를 그래프로 도시한다.
도 19는 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름에 대한 필름 응력, SiO2 필름 증착 레이트, 및 실란 플로우 레이트 사이의 관례를 그래프로 도시한다.
도 20은 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름에 대한 필름 응력, 기판 휨(bow) 및 필름 두께 사이의 관계를 그래프로 도시한다.
도 21은 열-성장 SiO2 필름, TEOS-베이스의 PECVD SiO2 필름, 및 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름에 대한 Si-O 결합-스트레칭 모드 데이터를 도시하는 푸리에 변환 적외선 스펙트럼의 비교 값을 그래프로 도시한다.
도 22는 고주파수 플라스마의 전력 레벨 및 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름의 절대 거칠기 사이의 관례를 그래프로 도시한다.
도 23은 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름에 대한 프로세스 스테이션 압력 및 절대 거칠기의 의존성을 그래프로 도시한다.
도 24는 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름에 대한 프로세스 스테이션 압력 및 기판 범위 내 불균일도 사이의 관계를 그래프로 도시한다.
도 25는 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름에 대한 증착 레이트와 아르곤 플로우 레이트 사이의 관계를 그래프로 도시한다.
도 26은 본 발명의 실시예에 따라 증착된 울트라-스무드 PECVD SiO2 필름에 대한 절대 거칠기와 아르곤 플로우 레이트 사이의 관계를 그래프로 도시한다.
도 27은 본 발명의 실시예에 따라 증착된 예시적인 울트라-스무드 PECVD 실리콘 옥사이드 필름 및 실리콘 옥시나이트라이드 필름에 대한 Si-O 결합-스트레칭 모드 데이터를 도시하는 푸리에 변환 적외선 스펙트럼의 비교값을 그래프로 도시한다.
도 28은, 예시적인 통상적인 실리콘 나이트라이드 필름 및 본 발명의 실시예에 따라 증착된 예시적인 울트라-스무드 PECVD 실리콘 나이트라이드 필름에 대한 Si-N 결합-스트레칭 모드 데이터를 도시하는 푸리에 변환 적외선 스펙트럼의 비교값을 그래프로 도시한다.
도 29는 예시적인 통상적인 실리콘 나이트라이드 필름 및 본 발명의 실시예에 따라 증착된 예시적인 울트라-스무드 PECVD 실리콘 나이트라이드 필름에 대한 N-H 결합-스트레칭 모드 데이터를 도시하는 푸리에 변환 적외선 스펙트럼의 비교값을 그래프로 도시한다.
도 30은 예시적인 통상적인 실리콘 나이트라이드 필름 및 본 발명의 실시예에 따라 증착된 예시적인 울트라-스무드 PECVD 실리콘 나이트라이드 필름에 대한 Si-H 결합-스트레칭 모드 데이터를 도시하는 푸리에 변환 적외선 스펙트럼의 비교값을 그래프로 도시한다.
도 31은 본 발명의 실시예에 따라 복수의 플라스마-활성화 필름 증착 단계를 이용하여 필름 스택을 인-시츄 증착하는 방법을 도시하는 흐름도이다.
도 32는 본 발명의 실시예에 따라 예시적인 PECVD 프로세스에 대한 타이밍도를 개략적으로 도시한다.
도 33은 본 발명의 실시예에 따라 예시적인 PECVD 프로세스에 대한 다른 타이밍도를 개략적으로 도시한다.
도 34는 본 발명의 실시예에 따라 퍼지 단계가 개입된 상태에서, 제 1 필름 증착 프로세스에서 제 2 필름 증착 프로세스로, 인-시츄로, 이행하는 방법을 도시하는 흐름도이다.
도 35A는 본 발명의 일 실시예에 따라 제 2 필름 증착 단계의 프로세스 반응물과 양립할 수 없는 제 1 필름 증착 단계의 프로세스 반응물과 공유된 반응물 이동 라인의 하나 이상의 부분의 퍼지 방법의 제 1 부분을 도시하는 흐름도이다.
도 35B는 도 35A에 도시된 제 2 필름 증착 단계의 프로세스 반응물과 양립할 수 없는 제 1 필름 증착 단계의 프로세스 반응물에 의해 공유된 반응물 이동 라인의 하나 이상의 퍼지 방법의 제 2 부분을 도시하는 흐름도이다.
도 36은 본 발명의 일 실시예에 따라 예시적인 PECVD 프로세스 스테이션을 개략적으로 도시한다.
도 37은 본 발명의 일 실시예에 따라 예시적인 듀얼-플레늄 샤워헤드를 개략적으로 도시한다.
도 38은 본 발명의 일 실시예에 따라 예시적인 다중-스테이션 프로세스 도구의 예를 개략적으로 도시한다.
도 39는 본 발명의 실시예에 따라 다중-스테이션 프로세스 도구의 다른 예를 개략적으로 도시한다.
도 40은 본 발명의 일 실시예에 따라 다중-스테이션 프로세스 도구의 다른 예를 개략적으로 도시한다.
도 41은 본 발명의 일 실시예에 따라 다중-스테이션 프로세스 도구의 다른 예를 개략적으로 도시한다.
도 42는 본 발명의 일 실시예에 따라 다중-스테이션 프로세스 도구의 다른 예를 개략적으로 도시한다.
도 43은 본 발명의 일 실시예에 따라 다중-스테이션 프로세스 도구의 다른 예를 개략적으로 도시한다.
NAND 플래시 메모리와 같은, 일부 메모리 디바이스가 이차원 어레이로 배열된다. 이러한 메모리 디바이스는 평면적 배열에 한정되기 때문에, 다이 사이즈 및 메모리 밀도 파라미터가 디바이스의 전체 메모리 용량을 제약할 수 있다. 다음으로, 메모리 용량을 확장하기 위해 더 큰 다이 사이즈로 이전하는 것은 메모리 디바이스의 비용을 상대적으로 증가시키고, 이는 보다 큰 메모리 디바이스의 채택을 지연시킬 수 있다. 최근에, 메모리 게이트를 삼차원(3D) 어레이로 배열하기 위한 일부 접근법이 제안되었다. 이러한 접근법의 일부는 필름 조성물이 교대되는 스택을 패턴화함으로써 형성된 트랜지스터를 포함한다. 도 1은 기판(106) 상의 제 1 필름(102) 및 제 2 필름(104)으로 이루어진 교대되는 막들을 포함하는 예시적인 필름 스택(100)을 개략적으로 도시한다.
이러한 필름 스택을 패턴화하는 것은 매우 어려울 수 있다. 예를 들어, 증착 중에 유발된 거친 필름 표면 및/또는 증착 이벤트 사이에 진공 파괴에 의해 발생된 필름 크랙(crack, 균열)은 후속 증착된 층들에서 리플을 일으킬 수 있다. 나아가, 리플 및 표면 불규칙성은 또한 필름이 증착됨에 따라, 필름 내에 포함된 입자에 의해 발행할 수 있다. 이러한 작은 입자는 증착 중에, 하나의 필름 증착 프로세스에서 다른 하나로의 인-시츄 이행 중에, 및/또는 엑스-시츄 증착 프로세스에서의 웨이퍼 취급 동작 중에, 양립할 수 없는 프로세스 기체의 상호작용으로부터 발생될 수 있다. 필름 스택이 만들어짐에 따라, 이러한 거칠기- 및 결합-기복(undulation)은 사이즈 면에서 성장할 수 있고, 다운스트림 패턴화 동작 시에 초점, 노출 및 에치 문제를 일으킬 수 있다. 따라서, 각각의 층은 고도로 평탄한 것이 바람직하다.
따라서, 매끄러운 필름 표면을 제공하는 것과 관련된 다양한 실시예가 내용 중에 설명된다. 예를 들어, 실시예는 이하에서 울트라-스무드 증착 화학 및 프로세스에 관련되며, 이는 또한 울트라-스무드 필름 표면을 생성할 수 있다. 나아가, 이하에 설명된 실시예는 저 결함 도구 하드웨어 및 개입된 진공 파괴 없이 필름 스택을 증착하기 위한 프로세스에 관련되며, 이는 또한 울트라-스무드 필름 스택 표면을 생성할 수 있다.
많은 플라스마-강화 화학적 기상 증착(PECVD) 또는 화학적 기상 증착(CVD) 프로세스가 이러한 박막(thin film)을 증착하는데 사용될 수 있으나, 다중 막들로 얇은 스택을 세우는 것은 제조상의 도전과제를 제공할 수 있다. 이러한 실리콘 다이옥사이드 필름을 증착하기 위한 일부 접근법은 테트라에틸 오르토실리케이트(Si(OC2H5)4) 또는 TEOS)-베이스 플라스마-강화 화학적 기상 증착(PECVD) 프로세스 또는 실란-베이스 PECVD 프로세스를 사용하는 것을 포함한다. 그러나, 이러한 통상적인 PECVD 프로세스는 수용 가능하지 않은 거친 필름을 생성할 수 있다. 예를 들어, SiO2 를 증착하기 위한 통상적인 실란-베이스 PECVD 프로세스는 베어 실리콘 기판에 증착된 1000Å 필름에 대해 7.2Å의 절대 거칠기(roughness)(Ra)를 나타내나, SiO2 를 증착하기 위한 통상적인 TEOS-베이스 PECVD 프로세스는 베어 실리콘 기판상에 증착된 1000Å 필름에 대해 405Å의 거칠기(roughness)를 나타낸다.
거친 필름을 적층하는 효과는 누적될 수 있어, 필름 스택의 상부 표면은 개별적인 필름보다 더 거칠어질 수 있다. 도 2 및 3은 AFM(atomic force microscopy)에 의해 측정된 예를 들면 SiN/SiO2 필름에 대한 표면 거칠기 이미지를 도시한다. 도 2는 TEOS-베이스 PECVD SiO2 증착 프로세스를 사용하여, 11 쌍의 SiN/SiO2 필름을 가지는 필름 스택에 대한 AFM 이미지(200)를 나타낸다. 참고로, 베어 실리콘 기판 상에 증착된 1000Å 실리콘 나이트라이드 필름의 거칠기는 5.1Å Ra이다.
도 2에 도시된 실시예에서, 필름 스택의 상부에 위치한 TEOS-베이스 SiO2 필름은 약 9.9 내지 10.6 Å Ra의 거칠기를 나타낸다. 도 3은 실란-베이스의 PECVD SiO2 프로세스를 사용하여(예, 약 500 내지 600 sccm의 플로우 레이트의 실란을 사용하여), 교대되는 11 쌍의 SiN/SiO2 필름을 가지는 필름 스택의 AFM 이미지(300)를 나타낸다. 도 3에 도시된 예에서, SiO2 필름은 약 17 내지 19Å Ra의 거칠기를 가진다. 따라서, 통상적인 PECVD SiO2 프로세스는 하부 필름보다 상대적으로 거친 필름을 증착할 수 있다는 것을 알 것이다.
스무드 실리콘 다이옥사이드 필름을 증착하기 위한 일부 다른 접근법은 고밀도 플라스마 화학적 기상 증착(HDP-CVD) 프로세스를 이용한다. 그러나, HDP-CVD 프로세스는 2 x 1010 ions/cm3 보다 큰 이온 밀도를 이용하는 것이 일반적이다. 이러한 고밀도 이온 증착 환경은 SiO2 막이 증착됨에 따라 비선택적으로 하부 필름을 스퍼터링할 수 있다. 이는 수용할 수 없는 층간 산화물로 이어질 수 있으며, 잠재적으로 필름 인터페이스에서 전기적 결함 또는 구조적 결함으로 이어질 수 있다. 나아가, HDP-CVD 프로세스는 전형적으로 유도-결합 플라스마 소스를 사용하며, 이는 PECVD 프로세스에서 사용된 용량-결합 플라스마 소스에 비하여, 프로세스 중에 상대적으로 결함을 발생하기 쉽다.
나아가, HDP-CVD 프로세스 설비는 하나 이상의 필름 조합의 인-시츄 증착에 적합하지 않을 수 있기 때문에, 프로세스 중에 진공 파괴(vaccuum break)가 필요할 수 있고, 층간 결함의 삽입으로 이어질 가능성이 있다. 예를 들어, 하나의 시나리오에서, 필름 균열(cracking)은 기판이 별개의 도구들 사이에 교환됨에 따라 진공 파괴 조건으로부터 유래될 수 있다. 다른 시나리오에서, 필름은 진공 파괴 중에 대기중의 습기를 흡수할 수 있다. 엑스-시츄(ex-situ) 프로세스를 사용하여 필름 스택을 세우는 것은 추가 프로세싱 설비 확장으로도 이어질 수 있다. 왜냐하면, 필름-특화 도구가 필요할 수 있고, 필름-특화 도구 사이에 추가된 기판 취급 시간이 생산량(fab throughput)을 감소시킬 수 있다.
따라서, 플라스마-강화 화학적 기상 증착(PECVD) 프로세스 및 중간 진공 파괴 없이 필름 스택을 인-시츄 증착하는데 사용된 설비에 관련된 다양한 실시예가 내용 중에 설명된다. 나아가, 다양한 실시예가 울트라-스무드 실리콘-함유 필름(실리콘 옥사이드(예, SiO2 및 이들의 서브-옥사이드), 실리콘 옥시나이트라이드, 및 실리콘 나이트라이드와 같은 절연 필름, 그리고 다결정 및 비정형 실리콘과 같은 전도성 필름 포함)을 증착하기 위해 내용 중에 설명된다. 예시적인 필름 스택(이는 내용 중에 설명된 실시예를 사용하여 인-시츄에 구성될 수 있음)은 실리콘 다이옥사이드 및 실리콘 나이트라이드가 교대되는 층, 다결정 실리콘 및 실리콘 다이옥사이드가 교대되는 층, 다결정 실리콘과 실리콘 나이트라이드가 교대되는 층, 및 도핑되거나 도핑되지 않은 비정형 및/또는 다결정 실리콘이 교대되는 층을 포함하나 이에 제한되는 것은 아니며, 일부 실시예에서, 이는 인-시츄 증착될 수 있다. 나아가, 다양한 실시예에서, 위에 열거된 예시와 같은 층 및 필름 스택의 증착 시, 통상적인 PECVD 프로세스 및 설비에 관련된 결함 발생을 상대적으로 줄일 수 있는 신규한 인-시츄 PECVD 프로세스 및 설비에 관하여 다양한 실시예가 설명된다.
예로서, 도 4는 실리콘 나이트라이드 필름 및 울트라-스무드 실리콘 다이옥사이드 필름(본 발명의 실시예의 울트라-스무드 PECVD 프로세스의 일 예에 따라 증착된 실리콘 필름 및 울트라-스무드 실리콘 다이옥사이드 필름이 교대되는 14 쌍의 필름을 가지는 예시적인 필름 스택의 AFM 이미지(400)를 도시한다. 도 4에 도시된 예에서, 울트라-스무드 PECVD SiO2 상부 층은 약 4.6Å Ra의 거칠기를 가지며, 실리콘 나이트라이드 표면에 증착된 일부 울트라-스무드 PECVD 실리콘 다이옥사이드 필름(이하에 상세히 설명됨)이 약 3.6Å Ra의 거칠기 값을 나타내는 데 반해, 이는 전술한 그리고 도 2 및 3에 도시된 통상적인 PECVD SiO2 프로세스에 비교하여 표면 거칠기 면에서 2 배 이상의 향상 결과를 나타낸다. 나아가, 전술한 것과 같이, 베어 실리콘 기판에 증착된 1000Å 실리콘 나이트라이드 필름의 거칠기는 3.6Å Ra이다. 따라서, 일부 실시예에서, 울트라-스무드 PECVD SiO2 프로세스는 하부 필름의 거칠기 미만의 상부 표면 거칠기를 제공할 수 있다는 것을 알 것이다. 예를 들어, 일부 실시예에서, 울트라-스무드 PECVD SiO2 필름은 하부 필름의 거칠기보다 약 90% 또는 그 미만인 절대 거칠기를 가질 수 있다.
이론에 의한 경계가 정해지길 원하지 않으나, 일부 실시예에서, 울트라-스무드 PECVD 필름의 울트라-스무드 혹성은 표면, 흡착, 재배열 및/또는 어셈블리 반응이 기체-상태 중합화 및 흡착 반응보다 실질적으로 빠른 속도 및/또는 더 풍부한 양으로 발생하는 조건에서 유래할 수 있다고 생각된다. 이러한 조건하에서, 플라스마에서 발생된 라디칼은 상대적으로 기판에 흡착되기 쉬우며, 이들이 기판 상부에 기체 상태로 반응하는 것보다 기판 표면상에 연결(link)되기 쉽다.
따라서, 본 발명에 따른 울트라-스무드 PECVD 프로세스는 필름 두께에 실질적으로 독립된 절대 거칠기를 가지는 필름을 제공할 수 있다. 예를 들어, 일부 실시예에서, 울트라-스무드 PECVD SiO2 필름은 실리콘 기판 상에서 측정된 것과 같이 3000Å까지의 필름 두께에 대해 4.5Å과 동일하거나 그 미만의 표면 거칠기를 나타낼 수 있다. 예를 들어, 도 5는 다양한 프로세스에 의해 베어 실리콘 기판에 증착된 실리콘 다이옥사이드 필름에 대한 필름 두께의 함수로서 표면의 절대 거칠기와 비교하는 그래프(500)를 도시하며, 이는 통상적인 실란-베이스 PECVD 프로세스 예(포인트 502), 통상적인 TEOS-베이스 PECVD 프로세스 예(포인트 504) 및 본 발명에 따른 예시적인 울트라-스무드 PECVD 프로세스에 의해 증착된 예시적인 울트라-스무드 실리콘 다이옥사이드 필름(포인트 506)을 포함한다. 도 5에 도시된 통상적인 PECVD 프로세스에 의해 제조된 예시적인 필름은 표면 어셈블리 및 재배열 반응보다 더 빠른 속도로 발생하는 기체-상태 중합 반응을 가지는 것과 같이 특성화될 수 있다. 따라서, 포인트(502) 및 포인트(50)는 필름 두께를 늘리면서, 절대 거칠기를 증가시키는 방향으로 흐른다. 반면에, 예시적인 울트라-스무드 PECVD 실리콘 다이옥사이드 필름(도 5에 도시됨)은, 실질적으로 일정한 절대 거칠기를 가지면서, 3000Å까지의 필름 두께에 대해 약 2.5Å Ra의 표면 거칠기를 나타낸다.
또한 도 5는 고밀도 플라스마 화학적 기상 증착(HDP-CVD) 프로세스에 의해 증착된 예시적인 실리콘 다이옥사이드 필름 및 전술한 울트라-스무드 PECVD-증착 실리콘 다이옥사이드 필름 예 사이의 비교를 도시한다. 도 5에 도시된 것과 같이, 예시적인 울트라-스무드 PECVD 실리콘 다이옥사이드 필름은 예시적인 HDP-CVD 실리콘 다이옥사이드 필름과 거의 동일한 절대 표면 거칠기를 가진다(포인트(508)). 그러나, 전술한 것과 같이, HDP-CVD프로세스는 하부 필름을 손상시킬 수 있으며, 인-시츄 필름 스택을 생성하는 것이 불가능할 수 있다. 반면에, 이하에 상세히 설명한 것과 같이, 울트라 스무드 PECVD 필름은 고밀돈 이온(예, 2 x 1010 ions/cm3의 이온 밀도) 를 사용하지 않고 증착될 수 있으며, 이에 따라 상대적으로 가파른 하부 필름과의 계면 조성물 바운더리를 유지할 수 있다. 나아가, 울트라-스무드 PECVD 필름은 필름 스택을 세울 때, 잠재적으로 진공 파괴를 방지하면서, 다른 필름 프로세스와 인-시츄 증착될 수 있다.
또한, 도 5는 원자 층 증착(ALD) 프로세스(포인트 501) 및 전술한 울트라-스무드 PECVD 필름 예 사이의 비교를 도시한다. 통상적인 PECVD 프로세스에서와 같이, ALD 프로세스에 의해 증착된 예시적인 필름은 절대 거칠기 면에서 두께-의존 증가 현상을 나타낸다. ALD 프로세스는 이론적으로 동시에 단층 필름을 증착하나, 다른 차별화된 증착 전구체의 흡착 면에서 차이점은 응축된 상 전구체 도메인의 형성으로 이어질 수 있다(예, 표면은 화학 흡착 및 물리 흡착 전구체 모두를 포함할 수 있음). 이러한 도메인은 필름의 비-화학양론적 영역의 형성으로 이어질 수 있으며, 이는 필름의 격자 결함 및 표면 거칠기를 유발할 수 있다. 후속-증착된 층은 표면 거칠기의 효과를 확대할 수 있다. 나아가, ALD에서 사용된 층별 증착 프로세스가 PECVD 프로세스보다 생산 비용 및 설비 비용 면에서 모두 상대적으로 더 비쌀 수 있다.
반면에, 그리고 이론에 의해 경계가 정해지지 않도록, 보다 평평한 표면(예, 열역학적으로 안정한 종단 표면의 고유 거칠기에 근접하는 표면) 상대인 저 표면 에너지는 표면 재배열 및 어셈블리 반응을 통해, 증착된 필름의 자가-평탄화를 가능하게 하는 구동력을 제공할 수 있다. 따라서, 일부 실시예에서, 울트라-스무드 PECVD 필름(상대적으로 보다 거친 필름 상에 증착됨)은 여전히 울트라-스무드 속성을 나타낼 수 있다. 이는 필름 스택이 상대적으로 보다 거친 하부 필름을 포함하는 경우에도, 필름 스택에 대한 고 평탄 표면을 제공할 수 있다.
예를 들어, 도 5은 약 2.3Å/sec의 증착 레이트를 가지는 예시적인 울트라-스무드 PECVD SiO2 필름을 포함하는 필름 스택(600)의 실시예를 개략적으로 도시한다. 도 6에 도시된 예는, 복수의 800Å 실리콘 나이트라이드 필름(602) 및 복수의 1000 Å 울트라-스무드 PECVD SiO2 필름(606)이 개재된 복수의 300Å 울트라-스무드 PECVD SiO2 필름(604)을 포함한다. 상부 표면(601)을 가지는 최종 800Å 실리콘 나이트라이드 필름(층(602A))이 필름 스택(600)의 상부에 증착된다. 도 7은 필름 스택(600)의 상부에 증착된 울트라-스무드 PECVD SiO2 필름(층 702)의 상부 층을 개략적으로 도시한다.
도 8은 도 6에 개략적으로 나타내는 필름 스택(600)의 상부에 노출된 실리콘 나이트라이드 표면(610)의 AFM 이미지(800)를 도시한다. AFM에 의해 측정된 것과 같이, 실리콘 나이트라이드 표면(610)은 약 6.9Å의 절대 거칠기를 나타낸다. 비교 목적으로, 800Å 실리콘 나이트라이드 필름(통상적인 TEOS-베이스 PECVD SiO2 필름이 울트라-스무드 PECVD SiO2 필름을 대체하는, 실리콘 다이옥사이드 및 실리콘 나이트라이드가 교대되는 층을 동일한 수로 가지는 필름 스택상에 증착됨)은 약 10Å의 절대 거칠기를 가진다. 따라서, 울트라-스무드 PECVD SiO2 필름은 PECVD SiO2 필름에 관하여 위에 놓인 실리콘 나이트라이드의 상부에 위치한 상대적으로 보다 평탄한 표면을 생성한다.
실리콘 나이트라이드 층의 상부에서의 울트라-스무드 PECVD SiO2 필름의 후속 증착은 실리콘 나이트라이드 층 거칠기에 관하여 상부 표면 거칠기 면에서 추가적인 개선 결과를 제공할 수 있다. 예를 들어, 도 9 및 10은, 도 7에 개략적으로 도시된 울트라-스무드 PECVD SiO2 필름 층(801)의 상부 표면(710)에 대한 AFM 이미지(900 및 10000)를 각각 도시한다. AFM에 의해 측정된 것과 같이, 층(702)이 300Å 두께에서 증착될 때(도 9에 도시됨), 울트라-스무드 PECVD SiO2 상부 표면(710)은 약 5.4Å의 절대 거칠기를 가지며, 하부에 놓인 실리콘 나이트라이드 필름에 의해 나타낸 것의 약 80%의 거칠기를 가진다. 나아가, 울트라-스무드 PECVD SiO2 필름의 상대적으로보다 두꺼운 층은 상대적으로 평탄한 상부 표면을 제공할 수 있다. 예를 들어, 층(702)이 3000Å 두께에서 증착될 때(도 10에 도시됨), 이는 약 3.6Å의 절대 거칠기를 나타내며, 하부에 놓인 실리콘 나이트라이드 필름이 나타내는 것의 약 505의 거칠기를 가진다. 반면에서, 통상적인 TEOS-베이스 PECVD SiO2 필름이 울트라-스무드 PECVD SiO2 필름을 대체할 때, 실리콘 나이트라이드 필름이 나타낸 것에 비하여 표면 거칠기가 감소되지 않는다. 구체적으로, 300Å-두께 및 3000Å-두께의 TEOS-베이스 PECVD SiO2 필름은 각각 약 10Å의 절대 거칠기를 나타낸다.
전술한 것과 같이, 일부 실시예에서, 울트라-스무드 PECVD 필름은 울트라-스무드 PECVD 필름의 두께가 증가함에 따라 감소하는 표면 거칠기를 나타낼 수 있다. 도 11 및 12은 이러한 실시예에 대한 다른 실시예를 도시한다. 도 11은 개략적으로, 기판(106) 상에 증착된 1000Å-두께의 통상적인 실란-베이스 PECVD SiO2 필름 층(1102)을 포함하는 필름 스택(1100)의 예를 도시한다. 800Å-두께의 실리콘 나이트라이드 층(1104)은 층(1102)의 상부에 증착된다. 참고로, 층(1104)의 거칠기는 약 16.3Å Ra이다. 또한 도 11은 예시적인 울트라-스무드 PECVD SiO2 필름 층1106)의 상부 표면(1108)을 도시하며, 층(1106)은 층(1104)의 상부에 증착된다. 도 12는 약 2.3Å/sec에서 증착된 예시적인 울트라-스무드 PECVD SiO2 필름에 대한 표면(1108)의 거칠기 및 층(1106)의 두께 사이의 예시적인 관계(1202)를 도시한다. 도 12에 도시된 것과 같이, 울트라-스무드 PECVD SiO2 필름은 약 3000Å까지의 울트라-스무드 PECVD SiO2 필름 두께에 대한 두께 및 표면 거칠기 사이의 반비례 관계를 나타낸다. 비교 목적으로, 곡선(1204 및 1205)은 통상적인 실란-베이스 및 TEOS-베이스 PECVD에 대한 두께 및 표면 거칠기 사이의 반비례 관계를 도시하지 않는다. 개별적으로 통상적인 PECVD 필름에 의한 두께 및 거칠기 사이의 직접적인 관계의 면에서 보면(도 5에 도시됨), 도 12에 도시된 데이터는 일부 예시적인 울트라-스무드 PECVD 필름의 두께를 증가시키는 통상적인 PECVD 필름이 하부 필름의 표면 거칠기에 관하여 필름 스택의 표면을 상대적으로 개선할 수 있다는 것을 제시한다.
일부 실시예에서, 도 13 및 14에 도시된 실시예에 나타낸 것과 같이, 울트라-스무드 PECVD의 두께를 증가시키는 것은 울트라-스무드 PECVD 필름의 상부에 증착된 필름의 두께를 증가시킬 수 있다. 도 13은 도 11의 필름 스택(1100)을 개략적으로 도시하며, 예시적인 울트라-스무드 PECVD SiO2 필름 층(1106)을 포함하며, 이의 상부에는 800Å-두께의 실리콘 나이트라이드 층(1304)이 증착된다. 도 14는 실리콘 나이트라이드 층(1304)의 표면(1308)의 거칠기 및 층(1106)의 두께 사이의 반비례 관계(1402)를 나타내는 그래프(1400)를 도시한다. 예를 들어, 실리콘 나이트라이드 층(1304) 하부에 놓인 1000Å 두께의 울트라-스무드 PECVD SiO2 필름 층(1106) 대해, 실리콘 나이트라이드 표면(1308)은 12.6Å의 절대 거칠기를 나타내거나, 실리콘 나이트라이드 층(1104)의 약 77%의 거칠기를 나타내며, 울트라-스무드 PECVD SiO2 필름(1106)과 거의 동일한 거칠기를 나타낸다. 비교를 위해, 포인트(1404, 1406)는 각각, 통상적인 실란-베이스 및 TEOS-베이스 PECVD 프로세스에 대해 두께-의존성 거칠기 데이터를 도시하며, 이들은 층(1304)의 거칠기의 약 92% 및 89%이다.
도 15는 울트라-스무드 PECVD 실리콘-함유 필름을 증착하기 위한 방법(1500)에 대한 예시적인 실시예를 도시하는 흐름도이다. 방법(1500)은 단계(1502)에서, 프로세스 스테이션으로 반응 기체 또는 반응 기체 혼합물을 공급하는 단계를 포함한다. 단계(1504)에서, 방법(1500)은 반응 기체 혼합물에 포함된 반응 기체 및/또는 비활성 기체의 활성 종 및 라디칼을 발생하기 위해 용량-결합 플라스마를 유지하는 단계를 포함한다. 단계(1506)에서, 방법(1500)은 기판 표면 상에 필름을 증착하면서, 이하에 상세히 설명될 것처럼, 필름 표면의 절대 거칠기를 제어하도록 프로세스 파라미터를 제거하는 단계를 포함한다. 예를 들어, 일부 실시예에서, 하나 이상의 프로세스 파라미터는, 필름 증착 단계 중에 제어될 수 있으며, 이에 따라 필름의 절대 거칠기가 필름의 두께 증가에 의해 감소된다. 다른 예에서, 일부 실시예에서, 하나 이상의 프로세스 파라미터가 필름 증착 단계에서 제어될 수 있으며, 이에 따라 절대 거칠기가 사전 지정된 임계값 이하로 제어된다. 하나의 시나리오에서, 예를 들면, 약 1.4 및 2.1 사이의 굴절률을 가지는 울트라-스무드 PECVD 실리콘-함유 필름의 절대 거칠기는 베어 실리콘 기판 상에서 측정된 것과 같이 4.5Å이하로 제어될 수 있다. 프로세스 파라미터 제어는, 프로세스 도구에 포함된 임의의 적합한 컨트롤러에 의해 수행될 수 있다는 것을 알 것이다. 이하에서 예시적인 컨트롤러가 보다 상세히 설명된다.
방법(1500)은 울트라-스무드 PECVD 필름의 단일 층을 증착하는 방법에 관한 것이나, 일부 실시예에서, 방법(1500)은 인-시츄 필름 스택 증착 프로세스의 울트라-스무드 PECVD 필름 증착 단계를 나타낼 수 있다. 따라서, 일부 실시예에서, 방법(1500)의 적합한 수의 예가 필름 스택을 세우기 위해 수행될 수 있다. 일부 실시예에서, 울트라-스무드 PECVD 비-도핑(undoped) 실리콘 필름의 층들(이하에서 더 상세히 설명됨)은 비-도핑 실리콘/도핑 실리콘이 교대되는 울트라-스무드 필름 스택을 세우기 위해 울트라-스무드 PECVD 도핑 실리콘 필름의 층과 교대될 수 있다. 다른 실시예에서, 울트라-스무드 PECVD 비-도핑 실리콘 필름의 층들은 울트라-스무드 PECVD 실리콘 다이옥사이드 필름의 층들과 교대될 수 있다. 따라서, 일부 실시예에서, 적합한 울트라-스무드 PECVD 프로세스가 필름 스택 내에서 각각의 층들을 증착하는데 사용될 수 있다. 하나의 시나리오에서, 예를 들어, 울트라-스무드 실리콘 옥사이드의 층은 울트라-스무드 실리콘 나이트라이드의 층과 교대될 수 있다. 다른 시나리오에서, 울트라-스무드 실리콘 옥사이드의 층은 통상적인 실리콘 나이트라이드 필름의 층과 교대될 수 있다.
택일적으로, 다른 실시예에서, 방법(1500)의 적합한 수의 예가, 1 이상의 적합한 간격으로, 인-시츄로 필름 스택을 세우기 위한 다른 적합한 증착 프로세스(예, PECVD, CVD, 또는 ALD 프로세스)와 함께 포함될 수 있다. 일 실시예에서, 울트라-스무드 PECVD 실리콘 다이옥사이드 필름은, 도 4에 도시된 것과 유사한, 실리콘 다이옥사이드/실리콘 나이트라이드가 교대되는 필름 스택을 형성하도록, PECVD 실리콘 나이트라이드 필름과 교대될 수 있다. 다른 실시예에서, 통상적으로-증착된 필름 스택은 적합한 두께의 울트라-스무드 PECVD 필름으로 씌워질 수 있다.
나아가, 일부 실시예에서, 필름 스택 증착 스킴을 조절하는 것은 인-시츄 베이스에서, 필름 스택(예, 웨이퍼 보우(bow))의 벌크 속성을 조정하기 위한 접근법을 제공할 수 있으며, 동시에 수용 가능한 상부 표면 거칠기를 제공하고, 그리고 일부 실시예에서는 하부에 놓인 층들에 대해 고속 증착을 실현하면서, 울트라-스무드 패터닝 표면을 제공하기 위한 접근법을 제공할 수 있다는 것을 이해할 것이다.
도 15에 계속하여, 필름 표면의 절대 거칠기를 제어하기 위한 하나 이상의 프로세스 파라미터를 제어하는 접근법의 다양한 예가, 예시적인 울트라-스무드 PECVD 실리콘 다이옥사이드 필름 프로세스에 관하여 이하에서 설명된다. 예를 들어, 울트라-스무드 실리콘 다이옥사이드 필름은 프로세스 도구의 하나 이상의 프로세스 스테이션에 실란 및 아산화 질소(N2O)를 사용하여 증착할 수 있다. 예시적인 4-스테이션 프로세스 도구(이하에서 이의 실시예가 보다 상세히 설명됨)를 사용하여 울트라-스무드 PECVD 실리콘 다이옥사이드 필름을 증착하기 위한 프로세스 조건에 대한 비-제한적인 예가 표 1에 제공된다.
표 1
파라미터(Parameter) 범위(Range)
압력 (torr) 0.5 내지 8.0
온도 (℃) 300 내지 600
He 플로우 레이트 (sccm) 0 내지 10000
Ar 플로우 레이트 (sccm) 0 내지 10000
실란(Silane) 플로우 레이트 (sccm) 10 내지 200
N2O 플로우 레이트 (sccm) 1000 내지 30000
질소 플로우 레이트 (sccm) 0 내지 20000
고주파수 플라스마 전력 (W) 500 내지 5000
저주파수 플라스마 전력 (W) 0 내지 2500
실란 흐름 시작점과 플라스마 점화 사이의 시간(sec) -3 내지 +3
실란 흐름 종료점과 플라스마 소화 사이의 시간(sec) -3 내지 10
일부 실시예에서, 필름 표면의 절대 거칠기를 제어하기 위해 프로세스 파라미터를 제어하는 단계는, 단계(1508)에서, 반응 기체 혼합물에 포함된 실리콘-함유 반응물의 농도의 적어도 150배의 농도로 프로세스 스테이션에, 하나 이상의 공반응물(co-reactant) 및/또는 희석제(diluent)를 포함하는 하나 이상의 프로세스 기체를 제공하는 단계를 포함할 수 있다. 이러한 실시예에서, 공반응물의 과잉 공급은 실리콘 라디칼 내에서 가는 플라스마를 생성할 수 있으며, 증착 레이트를 감소시킬 가능성이 있다. 증착 레이트를 임계 증착 레이트 미만으로 제어함으로써, 울트라-스무드 PECVD 필름은 생성될 수 있다.예를 들어, 전술한 예시적인 프로세스 파라미터를 사용하여 증착된 실리콘 옥사이드 필름의 경우에, N2O는 실란 플로우 레이트의 양의 약 5배 내지 실란 플로우 레이트의 양의 약 3000배의 플로우 레이트로 공급될 수 있다. 다른 프로세스 파라미터가 일정하게 유지되는 것으로 가정하면, 보다 빠른 N2O의 플로우 레이트는 10Å/sec 미만으로 실리콘 다이옥사이드 필름의 증착 레이트를 조절할 수 있다. 이러한 증착 레이트는 적합한 속도로 표면 재배열 및 어셈블리 반응이 이루어질 수 있도록 하여, 실리콘 기판 상에서 측정된 것과 같이 3000Å 이상의 두께까지의 필름에 대해 4.5Å Ra과 같거나 작은 거칠기를 가지는 울트라-스무드 실리콘 옥사이드 필름을 생성한다.
이전에, 통상적인 실란-베이스 PECVD 실리콘 다이옥사이드 증착 프로세스에서 실란의 플로우 레이트를 줄이는 것은 실리콘 다이옥사이드 필름 거칠기 면에서 유사한 감소를 일으키지 않는 것으로 여겨진다. 대신에, 증착된 필름의 표면은 실란 플로우 레이트가 감소됨에 따라, 더 거칠어지는 것으로 여겨진다. 도 16은 AFM에 의해 측정된 것과 같은 표면 거칠기와 실란 플로우 레이트 사이의 예시적인 관계(1600)를 도시한다. 도 17은 도 16에 도시된 관계(1600)에 대한 실란 플로우 레이트와 실란 다이옥사이드 필름 증착 레이트 사이의 예시적인 관계(1700)를 도시한다. 비교의 목적으로, 통상적인 실란-베이스 PECVD 프로세스에 의해 증착된 예시적인 필름이 도시된다(포인트(1602, 1702)). 도 16 및 17은 통상적인 실란-베이스 PECVD 프로세스로부터, 실란 플로우 레이트 및 증착 레이트가 감소됨에 따라 거칠기가 증가하는 것을 그래프로 도시한다.
그러나, 도 16의 가장 좌측 부분을 참조하면, 임계 레벨 이하의 증착 레이트를 제어하는 것은 실제로 표면 거칠기를 감소시킬 수 있다는 것을 알 수 있으며, 이는 울트라-스무드 실리콘-함유 표면이 획득될 수 있게 한다. 따라서, 도 16 및 17은 도시된 실시예에서, 100 sccm 이하의 실란의 플로우 레이트를 줄이는 것이 10Å/sec 이하로 실리콘 다이옥사이드 증착 레이트를 줄이며, 차례로 증착된 실리콘 다이옥사이드 필름의 표면 거칠기를 줄이는 것을 도시한다. 도 16 및 17에 도시된 것과 같이, 일부 실시예에서, 6Å/sec 미만의 실리콘 다이옥사이드 증착 속을 제공하는 프로세스는, 실리콘 기판 상에서 측정된 것과 같은 3000Å 이상의 두께까지의 필름에 대해 4.5 Å Ra보다 작거나 같은 거칠기를 가지는 필름을 생성할 수 있다.
전술한 예는 실란의 흐름 및 /또는 농도를 제어함으로써 증착 레이트를 제어하기 하는 것에 관한 것이나, 이러한 효과는 임의의 적합한 실리콘-함유 반응물의 흐름 및/또는 농도를 제어함으로써 획득될 수 있다는 것을 이해할 것이다. 울트라-스무드 실리콘-함유 필름을 생성할 수 있는, 적합한 실리콘-함유 반응물에 대한 비-제한적 예는 실란(예,실란 및 다이실란과 같은 SixHy), 할로겐-치환 실란(예,SixCly) 및 알킬-치환 실란(예, SixRy)을 포함한다.
일부 실시예에서, 기타 프로세스 기체(예, N2O, CO, 및 CO2 와 같은 공반응물 그리고 질소, 아르곤 및 헬륨과 같은 비활성 기체)의 흐름 및/또는 농도를 제어하는 것은 임계적인 양 미만으로 필름의 증착 레이트를 제어하는 데 사용될 수 있다. 예를 들어, 도 18은 프로세스 스테이션 압력과, 40sccm의 일정한 100% SiH4 플로우 레이트로 증착된, 그러나 서로 상이한 총 기체 플로우 레이트를 가지는, 예시적인 울트라-스무드 PECVD SiO2 필름에 대한 증착 레이트 사이의 관계(1800)를 도시한다(본 발명의 범위를 벗어나지 않은 한, 적절히 희석된 실란 공급원이 더 빠른 플로우 레이트를 가질 수 있다는 것을 이해할 것이다). 구체적으로, 포인트(1802)는 실란을 제외하고, 모든 기체의 플로우 레이트가 포인트(1804)에 도시된 예에 대응하는 플로우 레이트의 절반인 예에 대해 증착 레이트 흐름을 도시한다. 실란의 농도를 효과적으로 증가시킴에도 불구하고, 도 18에 도시된 예에서, 비활성 기체 및 비활성이 아닌 기체의 플로우 레이트를 줄이는 것은 포인트(1804)의 조건에서 포인트(1802)의 조건까지, 0.3Å Ra(도시되지 않음)에 이르는 필름의 거칠기 면에서의 감소 및 증착 레이트 면에서의 감소로 이어진다. 다른 예에서, N2O를 CO 또는 CO2 (이들 각각은 N2O에 관하여 서로 상이한 이온화 단면적(cross-section)을 가질 수 있음)로 치환하는 것은, 플라스마 내의 산소 라디갈의 농도를 줄일 수 있으며, 실리콘 다이옥사이드 필름의 증착 레이트를 줄일 수 있다.
전술한 것과 같이, 일부 실시예에서, 이론상 경계가 정해지지 않도록, 실란 흐름을 감소시키는 것은 다양한 실란 라디칼 종의 표면 이동 및 교차 결합(cross-linking)을 위한 추가적인 시간을 제공할 수 있다. 이러한 프로세스에 대한 시간을 증가시키는 것은, 상대적으로 소수 결함을 가지는 구조적으로 조밀한 격자를 제공할 수 있으며, 보다 부드러운 표면 모양을 만들 수 있다.
예를 들어, 도 19는 필름 응력, 증착 레이트 및 예시적인 실리콘 다이옥사이드 필름에 대한 실란 플로우 레이트 사이의 관계(1900)를 도시한다. 증착 레이트가 도 19에 도시된 예에서 감소됨에 따라, 필름 응력은 상대적으로 더 압축적이 되고, 이는 필름이 구조적으로 보다 조밀해진다는 것을 의미한다. 도 20은, 약 2.3Å/sec 에서 예시적인 울트라-스무드 증착된 PECVD SiO2 필름에 대한 필름 응력, 기판 보우 및 필름 두께 사이의 관계(2000)를 도시한다. 도 20에 도시된 예시적인 필름은 필름 두께에 대한 기판 보우의 선형 의존도(2002)를 나타낸다. 또한, 도 20에 도시된 예시적인 필름은 필름 응력 및 필름 두께 사이의 비-선형적 관계(2004)를 나타낸다. 도 20에 도시된 것과 같이, 예시적인 필름에 대한 필름 응력은 증착의 첫 번째 1000Å 내에의 벌크 필름 응력 레벨에 빠르게 접근한다. 따라서, 예시적인 울트라-스무드 PECVD 실리콘 다이옥사이드 필름(도 20에 도시됨)은 필름이 증착됨에 따라 구조적 안정성을 빠르게 획득할 수 있다.
기타 구조적인 분석은, 표면 재배열 및 어셈블리 반응을 위한 시간을 증가시키는 것은 상대적으로 소수인 결함을 가지는 구조적으로 조밀한 격자를 제공할 수 있으며, 보다 평탄한 표면 모양을 생성하는 것이 가능하다는, 시사(suggestion)를 추가적으로 지지한다. 예를 들어, 도 21은 예시적인 열적 성장 SiO2 필름(때때로 열 옥사이드라 불림)(스펙트럼(2102)), 약 2.3Å/sec의 증착 레이트를 가지는 예시적인 울트라-스무드 PECVD SiO2 필름(스펙트럼(2104)), 및 예시적인 통상적 TEOS-베이스 PECVD SiO2 필름(스펙트럼(2106))에 대한 푸리에 변환 적외선(FTIR) 스펙트럼의 비교를 도시한다. 도 21에 도시된 것과 같이, 예시적인 울트라-스무드 PECVD 필름은, TEOS-베이스 필름이 열 옥사이드와 유사한 것보다 더 열 옥사이드와 유사한 구조 및 조성물을 가진다. 예를 들어, 예시적인 울트라스무드 PECVD 필름에 대한 Si-O 본드 스트레치 모드의 피크 높이는 비교 가능한 필름 두께에 대한 TEOS-베이스 필름에 대한 Si-O 본드 스트레치 모드의 피크 높이보다 더 높고 더 좁다. 이는, TEOS-베이스 필름에 대한 예시적인 울트라-스무드 PECVD 필름 내의 결합 유형에 대한 상대적으로 보다 좁은 분포가 존재한다는 것을 암시한다. 나아가, 도 21에 도시된 울트라-스무드 PECVD 필름(1071 cm- 1)에 대한 Si-O 결합 스트레치 모드의 위치는, TEOS-베이스 필름(1063cm-1)에 대한 Si-O 결합 스트레치 모드보다, 열 옥사이드(1078cm-1)에 대한 Si-O 결합 스트레치 모드 위치에 더 가깝다.
표면 재배열 및 어셈블리 반응에 대한 시간을 증가시키는 것이 상대적으로 더 적은 결합을 가지는 구조적으로 밀집된 격자를 제공할 수 있고, 더 평탄한 표면 모양을 생성할 가능성이 있다는 암시를 추가 지지하는 내용으로서, 일부 울트라-스무드 PECVD SiO2 필름의 습식 식각 특성은 열 옥사이드의 습식 식각 특성에 근접한다. 표 2는 희석된 플루오르화 수소산 전해조(100:1 H2O:HF) 내에 다양한 PECVD SiO2 필름에 대한 시각 속도 비율(WERR, 열 옥사이드에 대해 1로 정의됨) 데이터를 포함한다. 또한, 비교를 위해, 통상적인 살란-베이스 및 TEOS-베이스 PECVD 프로세스에 대한 그리고 통상적인 HDP-CVD 프로세스에 대한 WERR 데이터가 표 2에 포함된다. 표 2에 도시된 것과 같이, 수 개의 울트라-스무드 PECVD SiO2 필름에 대한 WERR이 1.2 및 2.0 상에 존재한다.
표 2
필름 프로세스 증착 레이트 WERR
울트라-스무드 PECVD 2.3Å/sec 1.2
울트라-스무드 PECVD 6.1Å/sec 2.0
통상적인 TEOS-베이스 PECVD - 1.7
통상적인 실란-베이스 PECVD - 4.0
통상적인 HDP-CVD - 1.4
열 성장 옥사이드 - 1.0
도 15를 참조하면, 일부 실시예에서, 필름 표면의 절대 거칠기를 제어하기 위한 프로세스 파라미터를 제어하는 것은, 단계(1510)에서, 0.35 W/in2 이상의 전력 밀도로 플라스마를 생성하는 것을 포함할 수 있다. 일부 실시예에서, 이러한 전력 밀도는 250W 이상에서 동작하는 고주파수 플라스마 소스에 의해 생성될 수 있다. 여기에 사용된 것과 같이, "고주파수 플라스마"는 13.56㎒ 이상의 주파수에서 동작하는 플라스마를 가리킨다. 추가로 또는 선택적으로, 일부 실시예에서, 저 주파(예, 13.56㎒ 미만의 주파수) 전력 소스가 사용될 수 있다. 일부 기타 실시예에서, 듀얼-주파수 플라스마 사용될 수 있다.
표 3은 실리콘 기판 상에, 다양한 고주파수(HF) 플라스마 전력을 사용하여 섭씨 550도에서 증착된 복수의 울트라-스무드 PECVD SiO2 필름에 대한 예시적인 실리콘 다이옥사이드 필름 증착 및 표면 모양 데이터를 제공한다. 명세서에 설명된 예가 고주파수 플라스마를 나타내나, 임의의 적합한 플라스마 및/또는 전력이 본 발명의 범위를 벗어나지 않는 한, 이용될 수 있다.
표 3
HF 전력 (W) 100% SiH4 플로우 레이트
(sccm)
SiO2 두께
(Å)
증착 레이트 (Å/sec) 응력 (MPa) Ra
(nm)
1000 40 1031 3.26 -254 0.304
1500 40 1019 2.76 -258 0.278
2000 40 1024 2.49 -268 0.275
2500 40 1030 2.34 -268 0.263
3000 40 1023 2.24 -265 0.256
3500 40 1034 2.20 -267 0.252
4000 40 1015 2.19 -249 0.245
4500 40 1025 2.27 -267 0.245
도 22는, 표 3에 제공된 예시적인 울트라-스무드 PECVD 실리콘 다이옥사이드에 대한 고주파수 플라스마 전력 및 절대 두께 사이의 관계(2200)를 그래프로 도시한다. 도 22에 도시된 것과 같이, 저 실란 플로우 레이트에 대응하는 것과 같은 저 증착 레이트에서, 고주파수 플라스마의 전력은 울트라-스무드 PECVD SiO2 필름의 절대 거칠기를 감소시킬 수 있다. 도 22에 도시된 예에서, 일부 울트라-스무드 PECVD 실리콘 다이옥사이드 필름의 표면 거칠기는, 실리콘 기판 상에서 측정된 것과 같이 2.5Å미만일 수 있다. 전형적인 실리콘 기판의 고유의 표면 거칠기가 2.5Å에 근접함에 따라, 이는 이러한 필름은 자가-평탄화가 가능하다는 것을 추가로 암시할 수 있다.추가적으로 또는 선택적으로, 일부 실시예에서, 플라스마 조건은 플라스마의 이온 밀도를 제어하도록 선택될 수 있다. 도 15에 연속하여, 필름 표면의 절대 거칠기를 제어하기 위해 프로세스 파라미터를 제어하는 것은 단계(1512)에서, 2 x 1010 ions/cm3 미만의 이온 밀도를 유지하는 것을 포함할 수 있다. 예를 들어, 울트라-스무드 PECVD 실리콘 옥사이드 필름을 증착하기 위한 플라스마는 250 및 5000 와트 사이의 플라스마 전력에서 그리고 0.5 및 8 torr의 프로세스 스테이션 압력에서 공급될 수 있다. 일부 실시예에서, 이러한 플라스마 전력은, 공유된 플라스마 발생기에 의해 전원이 공급되는 4개의 15-인치 샤워헤드에서, 약 0.35 W/in2 및 7.1 W/in2 사이의 플라스마 밀도를 발생할 수 있다. 이는 필름 스택 응용예에서 잠재적인 스퍼터-유발 층간 믹싱(mixing) 현상을 방지할 수 있다.
도 15에 계속하여, 일부 실시예에서, 필름 표면의 절대 거칠기를 제어하기 위한 프로세스 파라미터를 제어하는 것은, 단계(1514)에서 약 8 torr 이하의 프로세스 스테이션 압력에서 플라스마를 생성하는 것을 포함한다. 이러한 실시예에서, 울트라-스무드 특성은 임계 속도 이하의 속도에서 증착 레이트를 유지하면서, 필름에 대한 증착 레이트가 조절되는 동안 실질적으로 유지될 수 있다. 다른 방식으로, 필름의 증착 레이트는, 증착된 필름의 울트라-스무드 표면 모양을 실질적으로 변경하지 않고 프로세스 스테이션 압력을 변경함으로써, 조절될 수 있다.
따라서, 표 4는 다양한 프로세스 스테이션 압력을 사용하여, 실리콘 기판 상에 섭씨 550도에서 증착된 복수의 울트라-스무드 PECVD SiO2 필름에 대한 예시적인 실리콘 다이옥사이드 필름 증착 및 표면 모양 데이터를 도시한다.
표 4
압력
(torr)
SiH4 플로우 레이트
(sccm)
HF 전력
(W)
두께
(Å)
증착 레이트
(Å/sec)
응력(MPa) Ra
(nm)
1.5 40 2500 985.2 6.22 -280 0.286
2 40 2500 978.5 5.66 -285 0.277
3 40 2500 1006.6 3.91 -286 0.263
4 40 2500 1018.4 2.84 -271 0.256
5 40 2500 1042.9 2.36 -268 0.271
6 40 2500 1030.9 2.11 -267 0.261
도 23은 표 4의 예시적인 데이터를 사용하여 프로세스 스테이션 압력에 대한 평탄도(smoothness)의 의존도(2300)를 나타낸다. 도 23에 도시된 것과 같이, 비록 절대 거칠기가 실리콘 기판 상에서 측정된 것처럼 3Å Ra 미만에서 여전히 유지될 수 있으나, 프로세스 스테이션의 압력을 감소시키는 것은 예시적인 울트라-스무드 PECVD 실리콘 다이옥사이드 필름에 대한 표면 거칠기 면에서의 다소의 증가와 상관된다. 도 23은, 약 4 torr에서 최소 표면 거칠기를 보이는 것과 같이, 표면 평탄도가 도시된 예시적인 프로세스 범위 중에 프로세스 스테이션 압력과 비-선형적 관계를 가질 수 있다는 것을 나타낸다. 도 24는 표 4에 도시된 예시적인 필름에 대한 기판 범위 내 불균일도 및 프로세스 스테이션 압력 사이의 비-선형적 관계를 나타내는 그래프(2400)를 도시한다. 따라서, 표 4 및 도 23, 24에서 제공된 예시적인 데이터로부터, 일부 실시예에서, 울트라-스무드 PECVD 실리콘 다이옥사이드 필름 특성은 8 torr 이하의 프로세스 스테이션 압력에서 플라스마를 발생함으로써 유지 및/또는 조절될 수 있다는 것을 이해할 것이다. 일 실시예에서, 증착 레이트는 3Å(실리콘 기판 상에 증착된 1000Å 필름 상에서 측정됨) 미안의 표면 거칠기를 유지하면서, 6Å/sec보다 크게 증가될 수 있다. 다른 예에서, 실리콘 기판 상에서 측정된 것과 같이 3Å 미만의 표면 거칠기를 유지하면서, 증착된 필름의 기판 내 비 균일성이 3% 미만으로 감소될 수 있다.
다른 예에서, 울트라-스무드 특성은, 프로세스 스테이션으로의 비활성 기체의 양을 변경함으로써 증착 레이트를 조절하면서, 실질적으로 유지될 수 있다. 따라서, 도 15에 계속하여, 일부 실시예에서, 필름 표면의 절대 거칠기를 조절하기 위해 프로세스 파라미터를 제어하는 것은, 단계(1516)에서, 플라스마로 비활성 기체를 공급하는 것을 포함할 수 있다. 예를 들어, 일부 실시예에서, 아르곤이 울트라-스무드 PECVD 실리콘 다이옥사이드 필름의 증착 레이트를 조절하기 위해 플라스마로 공급될 수 있다.
도 25는 실리콘 기판 상에 섭씨 550도에서 증착된 예시적인 울트라-스무드 PECVD SiO2 필름에 대한 프로세스 스테이션에 대한 증착 레이트 및 아르곤 플로우 레이트 사이의 관계(2500)를 도시한다. 도 25는 또한 프로세스 스테이션에 대한 SiO2 필름 응력 및 아르곤 플로우 레이트 사이의 관계(2502)를 도시한다. 도 25에 도시된 것과 같이, 프로세스 스테이션에 대한 아르곤의 플로우 레이트를 증가시킴으로써, 증착 레이트가 증가될 수 있고, SiO2 필름이 보다 압축적으로 만들어진다.
나아가, 일부 실시예에서, 비활성 기체를 프로세스 스테이션에 공급하는 것은 필름의 표면 거칠기를 실질적으로 손상시키기 않고, 증착 레이트를 조절할 수 있다. 예를 들어, 도 26은 도 25에 도시된 예시적인 울트라-스무드 PECVD SiO2 필름에 대한 절대 거칠기 및 아르곤 플로우 레이트 사이에 거의 일정한 관계(2600)를 도시한다. 따라서, 도 25 및 26에 도시된 예로부터, 일부 실시예에서, 울트라-스무드 PECVD 필름의 증착 레이트는 표면 거칠기를 증가시키지 않고 프로세스 스테이션으로의 아르곤의 플로우 레이트를 증가시킴으로써 증가될 수 있다는 것을 이해할 것이다. 일부 실시예에서, 기타 적합한 비활성 기체(예를 들면 질소 및 헬륨)의 플로우 레이트를 변경하는 것은 유사한 효과를 가질 수 있다는 것을 이해할 것이다.
하나 이상의 프로세스 파라미터(예를 들면 반응물 및 비활성물 공급 속도, 플라스마 전력, 이온 밀도, 및 프로세스 스테이션 압력)를 제어함으로써 표면 평탄도의 제어가 임의의 기타 적합한 프로세스 변수에 독립적으로 또는 이와 조합하여 관리될 수 있다는 것을 이해할 것이다. 예를 들어, 일부 실시예에서, 이온 충돌(예, 저주파수 플라스마 소스로부터 또는 플라스마에 인가된 DC 바이어스 소스로부터)은 적합한 울트라-스무드 실리콘-함유 필름을 제공할 수 있다. 다른 예에서, 울트라-스무드 PECVD 필름은 섭씨 400도 이상의 온도에서 증착될 수 있다. 하나의 시나리오에서, 울트라-스무드 PECVD 실리콘 다이옥사이드 필름은 섭씨 550도에서 증착될 수 있다. 이러한 필름은, 섭씨 400도 이하에서 증착된 필름보다 상대적으로 낮은 수소 농도를 가지면서, 이하에 설명된 울트라-스무드 표면을 나타낼 수 있다. 나아가, 이러한 필름은 후속 어닐링 단계의 도움없이, 기판 보우를 유지할 수 있다. 이러한 필름은 필름 스택으로 패턴이 이동되는 후속 리소그래피 단계 중에 울트라-스무드 및 고도로 평평한 표면을 나타낼 수 있다. 다른 프로세스 변수에 대한 기타 비-제한적 예는 프로세스 스테이션 온도, 플라스마 점화 시퀀싱, 플라스마 소화 시퀀싱, 및 프로세스 기체 분배 샤워헤드 및 증착 기판 표면 사이의 간격 배정(spacing)을 포함한다. 예를 들어, 하나의 시나리오에서, 고주파수 플라스마는 실란이 프로세스 스테이션으로 삽입되기 전에 점화될 수 있다. 이는 증착 시작 전에 증착을 위한 기판 표면의 상태를 조절할 수 있으며, 다음으로 표면 아일랜드(island) 또는 도메인의 형성을 줄일 수 있다. 다른 시나리오에서, 실란의 플로우 레이트가 증착 후에 정지된 후에, 고주파수 플라스마가 프로세스 스테이션 내의 잔여 실란 분자를 소모하기 위해 소화될 수 있다.
위의 예들은 울트라-스무드 PECVD 실리콘 다이옥사이드 필름의 증착에 관계되나, 임의 적합한 실리콘-함유 필름이 내용 중에 설명된 실시예에 따라 증착될 수 있다는 것을 이해할 것이다. 일부 실시예에서, 울트라-스무드 PECVD 실리콘 나이트라이드 필름은 암모니아 및 실란의 플라스마-활성 반응에 의해 증착될 수 있다. 나아가, 일부 실시예에서, 적합한 실리콘 옥시나이트라이드 필름이 질소 플라스마의 존재 시 실란 및 N2O의 플라스마-활성화에 의해 증착될 수 있다. 기타 적합한 질소-함유 반응물은 히드라진 및 질소/헬륨 혼합물을 포함하나 이에 한정되지는 않는다.
표 5는 약 1.4 내지 약 2.1의 범위의 굴절률을 가지는 다양한 울트라-스무드 실리콘 나이트라이드 함유 필름에 대한 거칠기, 굴절률 및 필름 응력 데이터를 요약한 것이다. 표 5에 나타낸 필름 데이터는 실리콘 기판 상에 증착된 1000Å 필름으로부터 측정되었으며, 각각의 필름은 개별적인 필름 레서피로, 일정한 실란 플로우 레이트, 질소 플로우 레이트(약 5000 sccm), 헬륨 플로우 레이트(약 8000 sccm), 압력(약 5 torr) 및 고주파수 플라스마 전력(약 4500W)에서, 질소 플라스마에 대한 아산화 질소 또는 암모니아의 표시량을 공급함으로써 생성되었다. 표 5에 나타낸 것과 같이, 플라스마에 공급된 아산화 질소의 플로우 레이트를 줄이는 것(레서피 A 내지 G에 도시됨)은 약 3.1Å 미만(많은 경우에, 약 2.7Å 미만임)의 절대 거칠기를 나타내는 넓은 범위의 실리콘 옥사이드 및 실리콘 옥시나이트라이드를 제공한다.
표 5
레서피 명(Recipe Name) 100% SiH4 플로우 레이트
(sccm)
N2O 플로우 레이트
(sccm)
NH3 플로우 레이트
(sccm)
응력 (MPa) 굴절률 Ra
(nm)
A 40 12000 0 -302 1.4617 0.235
B 40 8000 0 -313 1.4622 0.231
C 40 4000 0 -339 1.4615 0.249
D 40 1500 0 -348 1.4669 0.266
E 40 500 0 -355 1.4703 0.265
F 40 150 0 -314 1.5051 0.269
G 40 80 0 -347 1.6214 0.309
H 40 0 0 -1824 1.992 0.755
I 40 0 300 -1734 1.990 0.397
J 40 0 500 -1566 1.982 0.305
통상적인
PECVD SiN
980 0 7500 +200 내지
-200
1.90 내지 2.02 0.53
도 27은 표 5에 나타낸 예시적인 울트라-스무드 PECVD 실리콘 옥사이드 및 옥시나이트라이드 필름에 대한 Si-O 결합 스트레칭 모드 데이터를 도시하는 푸리에 변환 적외선 스펙트럼의 비교 결과를 나타내는 그래프(2700)를 도시한다. FTIR 측정 결과(도 27에 도시됨)는 프로세스 스테이션 내의 아산화 질소의 농도가 감소함에 따라, Si-O 결합 스트레치 피크 위치가 이동하는 것을 도시한다.또한 표 5에 나타낸 측정결과는 약 4Å 이하의 절대 거칠기 값을 가지는 울트라-스무드 PECVD 실리콘 나이트라이드 필름이 적합한 플로우 레이트에서 아산화 질소를 암모니아로 치환함으로써 증착될 수 있다는 것을 설명한다. 표 5에 나타낸 데이터는 필름 거칠기 및 필름 응력 및 암모니아 농도 사이의 반비례 관계를 제시한다. 비교를 위해, 또한 통상적인 PECVD 실리콘 나이트라이드 프로세스에 대한 필름 및 레서피 파라미터가 표 5에 제공된다. 도 28 내지 30은 FTIR 스펙트럼(2800, 2900, 및 3000)의 비교를 도시하며, 이들은 각각 표 5에 제공된 예시적인 울트라-스무드 PECVD 실리콘 나이트라이드 및 통상적인 PECVD 실리콘 나이트라이드 필름에 대한, Si-N, N-H 및 Si-H 결합 스트레칭 모드를 보여준다. 도 28 및 29에 도시된 것과 같이, 암모니아 농도를 증가시키는 것은, 통상적인 필름의 Si-N 피크 위치로부터 멀리 Si-N 피크 위치를 이동시키는 경향이 있으며, N-H 피크의 면적을 증가시키는 경향이 있다. 이는 표 5에 포함된 응력 데이터에 의해 지지되는 것처럼, 필름의 필름 응력 특성을 조절하기 위한 접근법을 제공하고, 필름 내의 추가 수소 삽입을 암시할 수 있다. 그러나, 도 30은, 통상적인 PECVD 필름과 달리, Si-H 결합 스트레칭 모드가 울트라-스무드 PECVD 실리콘 나이트라이드 필름에서 결여된다는 것을 나타낸다. 이는 통상적인 PECVD 실리콘 나이트라이드에 관련된 필름에 대한 항복 전압(breakdown voltage) 특성 면에서 상대적인 진전을 제공할 수 있다. 따라서, 일부 실시예에서, 울트라-스무드 PECVD 실리콘 나이트라이드 필름의 벌크 필름 응력 특성은 필름의 전기적 특성을 보호하면서, 조절될 수 있다.
다른 실시예에서, 울트라-스무드 PECVD 실리콘 필름(예, 비정형 실리콘 필름, 비-도핑 다결정 실리콘 필름 또는 도핑된 다결정 실리콘 필름)이 실란 단독의 플라스마 분해로부터 또는 헬륨, 아르곤 및/또는 수소 플라스마의 존재와 같은 적합한 환원 환경에서 증착될 수 있고, 그리고 적합한 도펀트 전구체(예, 보론-함유 전구체, 비소-함유 전구체 및/또는 인-함유 전구체)의 존재 상태에서 도핑된 다결정 실리콘이 증착되는 경우에 증착될 수 있다. 이러한 울트라-스무드 PECVD 실리콘 필름은 또한 필름 스택을 인-시츄로 구성하는 데 사용될 수 있으며, 또한 자가-평탄화 특성을 나타낼 수 있다. 나아가, 일부 실시예에서, 울트라-스무드 PECVD 실리콘 프로세스는 DC 바이어스 또는 이와 다른 적합한 이온 충돌 접근법을 부가하여 표면 재배열을 촉진하고 잠재적으로 표면 스무딩을 강화한다. 예시적인 4-스테이션 울트라-스무드 PECVD 비-도핑 실리콘 필름을 증착하기 위한 비-제한적인 예시적 프로세스 파라미터(이하에 상세히 설명됨)가 표 6에 도시된다.
표 6
파라미터 범위
압력 (torr) 0.5 내지 8.0
온도 (℃) 300 내지 650
He 플로우 레이트 (sccm) 0 내지 10000
Ar 플로우 레이트 (sccm) 0 내지 10000
100% 실란 플로우 레이트 (sccm) 0.1 내지 200
N2O 플로우 레이트 (sccm) 0 내지 30000
질소 플로우 레이트 (sccm) 0 내지 15000
고주파수 플라스마 전력 (W) 250 내지 5000
저주파수 플라스마 전력 (W) 0 내지 2500
실란 흐름의 시작점 및 플라스마 점화 사이의 시간 (sec) -3 내지 +3
실란 흐름의 종료점 및 플라스마 소화 사이의 시간 (sec) -3 내지 +10
나아가, 일부 실시예에서, 울트라-스무드 PECVD 실리콘 필름은 증착 중에 또는 후속-증착 처리 단계에서 적합한 도펀트를 공급함으로써 도핑도리 수 있다. 도펀트에 대한 비-제한적 예는 비소, 보론, 및 인을 포함한다. 일부 실시예에서, 도핑된 실리콘 필름은 적합한 열 어닐에 의해 인-시츄로 활성화될 수 있다. 예를 들어, 섭씨 550도에서 증착된 울트라-스무드 PECVD 보론-도핑 실리콘 필름은 진공 파괴 없이 섭씨 650도로 어닐될 수 있다. 일부 실시예에서, 도핑된 필름의 어닐링은 필름의 내성을 낮출 수 있고, 필름 및 필름 스택 내의 전도성을 개선하며, 및/또는 선택된 필름 전도성을 제공하는데 필요한 도펀트의 양을 줄인다.위에 설명한 것과 같이, 엑스-시츄 필름 프로세싱은 필름 스택 내에 하나 이상의 계면 바운더리에 결함을 내포로 이어질 수 있다. 그러나, 일부 시나리오에서, 인-시츄 필름 증착 프로세스 사이의 이동은 또한 결함 발생으로 이어질 수 있다. 예를 들어, 소형 입자 결함이 하나의 필름 증착 프로세스에서 다른 프로세스로의 인-시츄 이동 중에 양립할 수 없는 프로세스 기체의 개입에 의해 발생될 수 있다. 따라서, 필름 증착 화학, 하드웨어, 및 후속 증착된 필름 사이의 인-시츄 이동을 위해 사용된 퍼지 시퀀스(purge sequence)에 관련된 다양한 실시예가 내용 중에 설명된다.
CVD 프로세스와 대조적으로, 열 활성화된 기체-상태 또는 표면-흡착 분해 및/또는 이동 반응이 필름을 증착하는데 사용되는 경우에, PECVD 프로세스는 플라스마 에너지가 프로세스 활성화 에너지의 적어도 일부를 보충한다. 일반적으로, 플라스마 에너지는 전자, 이온, 여기 종 및 화학 라디칼과 연관된 에너지를 가리킬 수 있다. 일부 실시예에서, 플라스마-제공된 에너지는 저 증착 온도로 이어질 수 있으며, 이는 열 프로세스 버짓(budget)을 확장시킬 수 있다. 나아가, 일부 실시예에서, PECVD 프로세스는 고 증착 레이트를 제공할 수 있으며, 이는 프로세스 도구에 대한 기판 처리량을 증가시킬 수 있다.
일부 실시예에서, PECVD 프로세스에 대한 플라스마는 무선 주파수(RF) 필드를 용량성 결합된 두 개의 플레이트를 사용하여 저압 기체로 인가할 수 있다. RF 필드에 의한 플레이트 사이의 기체의 이온화는 플라스마를 점화하고, 플라스마 방전 영역에서 자유 전자를 생성한다. 이러한 전자는 RF 필드에 의해 가속되고, 기체-상태 반응물 분자와 충돌할 수 있다. 이러한 전자와 반응물 분자의 충돌은 증착 프로세스에 관여하는 라디칼 종을 형성할 수 있다. 일 예에서, 반응물 라디칼은 표면-흡착된 공반응물과 반응하여 필름 층을 증착한다. RF 필드는 임의의 적합한 전극을 통해 연결될 수 있다는 것을 이해할 것이다. 전극의 비-제한적 예는 프로세스 기체 분배 샤워헤드, 기판 지지대 등을 포함한다. 나아가, PECVD 프로세스를 위한 플라스마가 RF 필드를 기체에 용량 결합시키는 것과 다른 적합한 프로세스에 의해 형성될 수 있다는 것을 이해할 것이다.
플라스마 방전 구역이, 플라스마 방전 구역을 규정하는, 음의 전하로 충전된 시스(sheath)에 의해 둘러싸인다. 일부 실시예에서, 두 개의 무선 주파수 소스가 동시에 플라스마를 조정하기 위해 사용될 수 있다. 예를 들어, 저주파수 RF 소스는 이온 에너지를 제어하기 위해 사용될 수 있고, 고주파수 RF 소스는 플라스마 밀도를 제어하기 위해 사용될 수 있다.
일부 실시예에서, 플라스마가 기판 표면 상부에 형성되고, 이는 보다 큰 플라스마 밀도를 제공하고 필름 증착 레이트를 강화한다. 그러나, 소형 입자가 플라스마 내에 형성될 수 있다. 이러한 소형 입자는 전기적으로 "부유(float)"하여, 전자 및 이온 전류가 입자 표면에서 균형을 이룬다. 전자는 일반적으로 이온보다 높은 이동성을 가지기 때문에, 입자가 음의 전하로 충전될 수 있다. 결과적으로, 이러한 입자는 플라스마-시스 바운더리에서 트랩될 수 있으며, 여기서 증착 표면을 향하는 중성 및 이온화 종으로부터의 분자의 드래그 포스(drag force)는 플라스마 방전 구역을 향하는 증착 표면 밸런스 정전기력과 균형을 이룬다. 플라스마를 켄칭(quenching)하는 것은 정전기력을 소멸시키며, 이는 증착 표면 상에 입자가 안착하도록 할 수 있다. 증착 표면을 장식하는 입자는 인터페이스 거칠기 결함 또는 인터페이스 형태 결함으로 나타날 수 있으며, 궁극적으로 디바이스 성능 및 신뢰성을 줄인다.
플라스마-발생 입자에 의해 생성된 결함을 완화하기 위한 일부 접근법은 반응기 환경의 펌핑 및 퍼지(purging)를 교대하는 것을 포함한다. 그러나 이러한 접근법은 시간 소모적일 수 있고 도구 생산량을 감소시킬 수 있다. 따라서, 증착 표면 상의 입자 증착을 방지하기 위해 필름 스택의 인-시츄 PECVD 형성 전체에서 플라스마 안정성을 유지하는 것에 관련된, 다양한 실시예가 이하에 개시된다.
도 31은 복수의 플라스마-활성화 필름 증착 단계를 사용하여 필름 스택의 인-시츄 증착을 위한 방법(3100)을 도시하는 흐름도를 나타낸다. 단계(3102)에서, 방법(3100)은 플라스마를 점화하는 것을 포함한다. 단계(3104)에서, 방법(3100)은, 제 1 플라스마-활성화 필름 증착 단계에서, 제 1 필름 증착을 포함하는 필름의 제 1 층을 증착하는 것을 포함한다. 단계(3106)에서, 방법(3100)은, 제 1 플라스마-활성화 필름 조성물 단계의 제 1 플라스마 조성물로부터 제 2 플라스마-활성화 필름 증착 단계의 제 2 플라스마 조성물로, 플라스마의 조성물을 변경하는 동안, 플라스마를 유지하는 것을 포함한다. 단계(3108)에서, 방법(3100)은 제 2 플라스마-활성화 필름 증착 단계에서, 필름의 제 1 층의 상부에 제 2 필름 조성을 가지는 필름의 제 2 층을 증착하는 것을 포함한다. 단계(3110)에서, 방법(3100)은 플라스마를 켄칭(quenching)하는 것을 포함한다. 방법(3100)은 예시적인 2-층 인-시츄 필름 스택 증착 프로세스를 나타내나, 일부 실시예에서, 플라스마 조성물이 본 발명의 범주를 벗어나지 않는 범위에서, 플라스마 조성물이 변경되는 동안 플라스마가 유지되는 적절한 수의 필름 이동 단계(transition phase)를 포함함으로써 셋 이상의 층이 증착될 수 있다.
도 32는 실리콘 나이트라이드 및 실리콘 옥사이드 필름이 교대되는 층의 인-시츄 PECVD 증착에 대한 예시적인 프로세스 타이밍 다이어그램(3200)을 개략적으로 도시한다. 도 32에 도시된 예에서, 실리콘 나이트라이드는 제 1 필름 증착 단계 중에 플라스마의 존재 하에 실란(SiH4) 및 암모니아(NH3)의 반응에 의해 증착되며, 실리콘 다이옥사이드는 제 2 필름 증착 단계 중에, 플라스마의 존재 하에 실란 및 아산화 질소(N2O)의 반응에 의해 증착된다. 도 32에 나타낸 예에 도시된 것과 같이, 플라스마가 증착 단계들 사이의 이동 중에 유지된다. 플라스마를 유지하는 것은 전술한 전기적 부유 상태에서 플라스마-발생 입자를 트래핑할 수 있다. 유지된 플라스마 내의 입자를 트래핑(trapping)하는 것은 소형 입자 결함에 의해 표면의 데코레이션(decoration)을 감소시킬 수 있으며, 플라스마가 증착 단계들 사이에 켄칭되는 프로세스와 비교하여 수율(yield)을 증가시킬 수 있다.
일부 실시예에서, 플라스마는, 다른 및/또는 후속 증착 이벤트 사이에서 일정한 플라스마 볼륨을 유지하기 위해(예, 플라스마 볼륨이 가시적으로 관찰되는 것의 20% 미만의 변동) 프로세스 스테이션 조건을 제어함으로써 유지된다. 선택적으로 또는 추가로, 일부 실시예에서, 일정한 이온 에너지 분포 및/또는 일정한 흡수 RF 전력 분포가 후속 증착 이벤트 간에 유지된다. 일부 실시예에서, 이러한 제어는, 프로세스 스테이션 압력, 기체 농도, RF 소스 전력, RF 소스 주파수 및 플라스마 전력 펄스 타이밍의 하나 이상의 설정 포인트를 제어함으로써 획득될 수 있다. 하나의 비-제한적 예에서, 총 전달 플라스마 전력에 대한 설정 포인트는 서로 상이한 필름 증착 이벤트 사이에서 일정하게 고정될 수 있다. 다른 비-제한적 예에서, 저주파수 플라스마 전력은 고주파수 플라스마 전력 면에서의 감소량보다 비례적으로 큰 양만큼 감소시킬 수 있다. 이는 플라스마 밀도를 유지하면서, 증착 이벤트 사이에 기판 표면에 대한 이온 손상을 줄일 수 있다. 이러한 파라미터가 불연속적으로 또는 연속적으로 조정되어, 플라스마 안정성을 유지하고 플라스마 불안정성의 징후를 방지할 수 있다. 플라스마 불안정성의 징후에 대한 비-제한적 예는, 플라스마 깜박거림(flickering), 소화 및 로컬 플라스마 밝기 변화를 포함한다. 추가로, 플라스마 완전성 및 안정성을 유지하기 위한 임의의 적합한 방법이 인-시츄 PECVD 스택 프로세스의 다양한 증착 단계 사이에 이용될 수 있기 때문에, 이러한 특정한 실시예는, 예시를 목적으로 설명되며 제한을 하기 위한 것이 아니라는 것을 이해할 것이다.
도 32는 증착 이벤트 사이에서 유지되는 플라스마를 포함하여, 인-시츄 PECVD 프로세스에서 사용될 수 있는, 기체 플로우 레이트, 프로세스 압력 및 RF 전력 소스에 대한 고주파수 RF 전력 설정 및 저주파수 RF 전력 설정과 같은, 파라미터(3222)의 예시적인 목록을 도시한다. 또한 도 32는 프로세스 단계의 임시적인 진전을 도시하며, 여기서 파라미터(3222)의 하나 이상이 프로세스 단계에 대한 프로세스 조건을 얻도록 변경된다. 기타 적합한 프로세스 화학이 본 발명의 범주 내에서 프로세스 단계의 하나 이상의 태양을 변경할 수 있다는 것을 이해할 것이나, 예시적인 프로세스 단계들 각각이, 이하에서 상세히 설명될 것이다. 예시적인 4-스테이션 프로세스 도구(이하에 더 상세히 설명됨)를 사용하는, 예시적인 실란-베이스 실리콘 다이옥사이드 및 실리콘 나이트라이드 프로세스에 대한 파라미터 범위가 표 7A 및 7B에 제공된다. 그러나 다른 적합한 파라미터 범위 필름-형성 프로세스 화학의 다른 실시예에서 사용될 수 있다는 것을 이해할 것이다. 예를 들어, 다른 파라미터 범위가 Co 및/또는 CO2를 산소 소스로 사용하여 실란으로부터 형성된 실리콘 다이옥사이드 필름에 적용할 수 있고, N2 및/또는 N2/H2 플라스마로부터 획득된 질소 원자를 사용하여 실란으로부터 형성된 실리콘 나이트라이드 필름에 적용할 수 있다. 실란 및 암모니아를 사용하는 예시적인 실리콘 나이트라이드 프로세스 및 예시적인 4-스테이션 프로세스 도구가 표 8에 제공되며, 실란 및 아산화질소를 사용하는 예시적인 실리콘 다이옥사이드 프로세스 및 예시적인 4-스테이션 프로세스 도구가 표 9에 제공된다.
표 7A
단계(Phase) 실리콘 나이트라이드 증착 준비 단계 기판 1 상의 실리콘 나이트라이드 증착 기판 2 상의 실리콘 나이트라이드 증착 N/O 전환 단계
SiH4 (sccm) 1 내지 1000 1 내지 1000 1 내지 1000 0 내지 1000
N2
매니폴드 A (sccm)
0 내지 10000 0 내지 10000 0 내지 10000 0 내지 20000
NH3 (sccm) 10 내지 10000 10 내지 10000 10 내지 10000 0 내지 10000
N2O (sccm) 0 0 0 0 내지 30000
N2
매니폴드 B (sccm)
0 내지 20000 0 내지 20000 0 내지 20000 0 내지 20000
He (sccm) 0 내지 20000 0 내지 20000 0 내지 20000 0 내지 20000
Ar (sccm) 0 내지 30000 0 내지 30000 0 내지 30000 0 내지 30000
압력
(torr)
0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0
온도 (℃) 200 내지 650 200 내지 650 200 내지 650 200 내지 650
HF 전력 (W) 0 내지 5000 0 내지 5000 0 내지 5000 0 내지 5000
LF 전력 (W) 0 내지 2500 0 내지 2500 0 내지 2500 0 내지 2500
표 7B
단계 SiO2 증착 준비 단계 기판 1 상의 SiO2 증착 기판 2 상의 SiO2 증착 O/N 전환 단계
SiH4 (sccm) 0.1 내지 1000 0.1 내지 1000 0.1 내지 1000 0 내지 1000
N2
매니폴드 A (sccm)
0 내지 20000 0 내지 20000 0 내지 20000 1000 내지 10000
NH3 (sccm) 0 0 0 0 내지 10000
N2O (sccm) 1 내지 30000 1 내지 30000 1 내지 30000 0
N2
매니폴드 B (sccm)
0 내지 20000 0 내지 20000 0 내지 20000 0 내지 20000
He (sccm) 0 내지 20000 0 내지 20000 0 내지 20000 0 내지 20000
Ar (sccm) 0 내지 30000 0 내지 30000 0 내지 30000 0 내지 30000
압력 (torr) 0.5 내지 5.0 0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0
온도 (℃) 200 내지 650 200 내지 650 200 내지 650 200 내지 650
HF 전력(W) 0 내지 5000 0 내지 5000 0 내지 5000 0 내지 5000
LF 전력 (W) 0 내지 2500 0 내지 2500 0 내지 2500 0 내지 2500
표 8
SiH4
(sccm)
200
NH3
(sccm)
1040
N2 매니폴드 A
(sccm)
6000
N2 매니폴드 B
(sccm)
3000
He
(sccm)
0
Ar
(sccm)
0
압력
(torr)
2.8
온도
(C)
550
HF 전력
(W)
1000
LF 전력
(W)
100
표 9
SiH4
(sccm)
40
N2O
(sccm)
24000
N2 매니폴드 A
(sccm)
5000
N2 매니폴드 B
(sccm)
5000
He
(sccm)
8000
Ar
(sccm)
0
압력
(torr)
1.5
온도
(C)
550
HF 전력
(W)
2500
LF 전력
(W)
0
도 32의 실리콘 나이트라이드 증착 준비 단계(3224)에서, 실란의 플로우 레이트가 설정되고 실란이 프로세스 스테이션 바이패스 라인으로 공급된다. 이는 프로세스 스테이션으로 삽입되기 전에 실란 흐름이 안정화하는 시간을 제공할 수 있다. 도한, 도 32는 제어된 플로우 레이트에서 프로세스 스테이션으로 암모니아가 공급되는 것을 도시한다. 따라서, 실리콘 나이트라이드 증착 프로세스에 대한 각각 반응물이 증착을 시작하기 전에 흐름-안정화될 수 있으며, 이는 실리콘 나이트라이드 증착 프로세스 중에 증착 두께 제어를 제공할 수 있다. 또한 도 32는 실리콘 나이트라이드 증착 준비 단계(3224) 중에 프로세스 스테이션으로 질소가 공급되는 것을 도시한다. 질소는, 반응 기체가 양립할 수 없는 공반응물 등에 대한 배관으로 역확산(back-diffusion)하는 것을 방지하기 위한 스윕 기체(sweep gas)로서, 압력 및/또는 온도 제어를 보조하기 위한 희석 기체의 기능을 할 수 있다. 추가로 또는 선택적으로, 일부 실시예에서, 질소는 실란 나이트라이드 필름을 형성하기 위해 실란과 반응할 수 있다.또한 도 32는 실리콘 나이트라이드 증착 준비 단계(3224) 중에 기타 프로세스 변수가 설정 및 안정화되는 것을 도시한다. 예를 들어, 헬륨이 프로세스 스테이션으로 공급되고, 고주파수 RF 전력 소스가 헬륨 플라스마를 점화 및 안정화하기 위해 활성화된다. 나아가, 프로세스 스테이션 압력은 실리콘 나이트라이드 증착 준비 단계93224) 중에 안정화된다. 일부 실시예에서, 프로세스 스테이션 압력은 프로세스 단계에 공급되는 하나 이상의 기체 흐름을 변경함으로써, 프로세스 스테이션 조절판(throttle) 밸브 등을 조정함으로써 제어될 수 있다. 나아가, 이루 실시예에서, 기판 대(pedestal)의 온도가 증착 온도에서 안정화될 수 있다. 따라서, 임의의 적합한 프로세스 파라미터가 실리콘 나이트라이드 증착 준비 단계(3224)에서 조정 및/또는 안정화될 수 있다는 것을 이해할 것이다. 실리콘 나이트라이드 증착 준비 단계(3224)는 임의의 적합한 지속 시간을 가질 수 있다. 하나의 비-제한적 예에서, 실리콘 나이트라이드 증착 준비 단계(3224)는 약 3 초일 수 있다.
프로세스 스테이션은 실리콘 나이트라이드 증착 단계(3226)에서 실리콘 나이트라이드 증착을 시작한다. 도 32에 도시된 예에서, 실리콘 나이트라이드 증착 단계(3226)는 두 개의 하위 단계로 분할된다. 즉, 활성 증착 하위 단계를 나타내는 나이트라이드 증착 하위 단계(3226A) 및, 후속-증착 필름 처리 하위 단계를 나타내는 나이트라이드 증착 하위 단계(3226B)로 나뉜다.
도 1에 도시된 것과 같이, 실란(이는 프로세스 스테이션 바이패스 라인으로 사전에 전송되었음)이 프로세스 스테이션으로 공급되고, 저주파수 RF 전력이 나이트라이드 증착 하위 단계(3226A) 중에 증가된다. 결과적으로, 실리콘 나이트라이드 필름이 응집되고, 나이트라이드 증착 하위 단계(3226A) 전체에서 기판 표면 상에 연속적으로 증착된다. 필름 응집(nucleation)에 필요한 시간을 배제하고, 나이트라이드 증착 하위 단계(3226A)의 지속 시간은 증착된 필름의 두께와 거의 비례할 수 있다. 따라서, 나이트라이드 증착 하위 단계(3226A)의 지속 시간은 증착된 실리콘 나이트라이드 층의 두께를 변경하도록 조절될 수 있다. 하나의 비-제한적 예에서, 나이트라이드 증착 하위 단계(3226A)는 약 10초 길이일 수 있으며, 이는 약 300 옹스트롬 두께의 실리콘 나이트라이드 필름에 대응할 수 있다.
도 32에 도시된, 나이트라이드 증착 하위 단계(3226B)에서, 실란은 다시 프로세스 스테이션 바이패스 라인으로 향하고, 저주파수 RF 전력이 감소된다. 나아가, 프로세스 스테이션으로의 암모니아 흐름이 감소되고 총 프로세스 스테이션 압력이 줄어든다. 일부 실시P에서, 이러한 조정은 벌크 및/또는 증착된 실리콘 나이트라이드 필름의 표면-인접 부분의 후속-증착 처리를 제공할 수 있다. 예시적인 후속-증착 처리는 치밀화(densification) 처리 및 질소 풍부화 처리를 포함한다. 추가로 또는 선택적으로, 일부 실시예에서, 나이트라이드 증착 하위 단계(3226B)는 후속 필름 증착에 대한 실리콘 나이트라이드 표면을 준비하기 위한 표면 사전-처리를 제공할 수 있다. 예시적인 사전-처리는 후속 필름 응집 시간을 줄이도록 구성될 수 있다. 나이트라이드 증착 하위 단계(3226B)는 임의의 적합한 지속시간을 가질 수 있다. 예를 들어, 일 실시예에서, 나이트라이드 증착 하위 단계(3226B)는 약 5초 길이일 수 있다. 나아가, 추가적인 나이트라이드 증착 하위 단계가, 본 발명의 범주 내에서, 일부 실시예에 포함될 수 있다는 것이 이해될 것이다.
도 32에 도시된, 나이트라이드/옥사이드 전환 단계(3228)에서, 다양한 프로세스 파라미터가 조절되어 실리콘 나이트라이드 증착으로부터 실리콘 다이옥사이드 증착으로 프로세스 스테이션을 전환한다. 도 32에 도시된 예에서, 실란의 플로우 레이트(실란은 여전히 프로세스 바이패스 라인으로 전달됨)가 감소한다. 나아가, 암모니아 흐름이 차단되고, 나이트라이드/옥사이드 전환 단계(3228) 중에 N2O 흐름을 시작되게 한다. 나아가, 질소의 소스가 도 32에 도시된 것과 같은 기체 매니폴드(manifold) 사이에서 변경된다. 전술한 바와 같이, 질소 기체의 소스 및/또는 플로우 레이트는 역확산 방지, 압력 제어, 온도 제어 등을 제공하기 위해 변경될 수 있다. 일부 실시예에서, 질소 플로우 레이트가 나이트라이드/옥사이드 전환 단계(3228) 중에 변경되어, 원하지 않는 결함-형성 반응에 참여할 수 있는 프로세스 스테이션으로부터의 양립할 수 없는 반응 기체를 배출시킨다.
나이트라이드/옥사이드 전환 단계(3228) 전체에서, 파라미터(3222)가 플라스마를 유지하도록 변경된다. 예를 들어, 도 32는 나이트라이드/옥사이드 전환 단계(3228)에서, 헬륨 흐름이 증가하고 고주파수 플라스마 전력이 감소하는 것을 도시한다. 유사한 플라스마-안정화 접근법이 실리콘 다이옥사이드 증착 준비 단계(3230)에서 사용되고, 여기서 HF 전력이 실리콘 다이옥사이드 증착 단계(3232)를 위한 준비 시에 증가된다. 이러한 접근법은 실리콘 다이옥사이드 필름 증착에 대한 프로세스 조건으로의 전환 중에 플라스마 밀도 및/또는 볼륨의 완전성 및 안정성을 유지할 수 있다. 나이트라이드/옥사이드 전환 단계(3228) 및 실리콘 다이옥사이드 증착 준비 단계(30)의 적합한 지속 시간에 대한 비-제한적 예는 각각 약 3초이다.
도 32에 도시된 것과 같이, 실리콘 다이옥사이드 증착 단계(3232)가 두 개의 하위 단계로 분할된다. 옥사이드 증착 하위 단계(3232A)는 활성 증착 하위 단계를 나타낸다. 옥사이드 증착 하위 단계(3232B)는 후속-증착 필름 처리 하위 단계를 나타낸다. 도 32에 도시된 것과 같이, 프로세스 스테이션 바이패스 라인으로 사전에 전달되었던 실란이 옥사이드 증착 하위 단계(3232A) 중에 프로세스 스테이션으로 공급된다. 옥사이드 증착 하위 단계(3232A) 중에, 실리콘 다이옥사이드 필름이 응집되고, 기판 표면 상에 증착된다. 필름 응집에 필요한 시간을 제외하고, 옥사이드 증착 하위 단계(3232A)의 지속 시간은 증착된 필름의 두께에 거의 비례할 수 있다. 따라서, 옥사이드 증착 하위 단계(3232A)의 지속 시간은 실리콘 다이옥사이드 층의 두께를 변경하도록 조절될 수 있다. 하나의 비-제한적 예에서, 옥사이드 증착 하위 단계(3232A)는 약 8 분의 길이일 수 있으며, 이는 약 300 옹스트롬 두께의 증착된 다이옥사이드 필름에 대응할 수 있다.
옥사이드 증착 하위단계(3232B)에서, 실란은 프로세스 스테이션 바이패스 라인으로 전달되고, 고주파수 전력이 감소된다. 일부 실시예에서, 이러한 조절은 벌크 및/또는 증착된 실리콘 다이옥사이드 필름의 표면-인접 부분의 후속-증착 처리를 제공할 수 있다. 예시적인 후속-증착 처리는 치밀화 처리, 산소 풍부화 처리, 트랩 감소 처리 등을 포함할 수 있다. 추가로 또는 선택적으로, 산화 증착 하위 단계(3232B)는 후속 필름의 증착을 위한 준비 시 증착된 실리콘 다이옥사이드의 표면을 사전-처리할 수 있다. 하나의 예시적인 사전-처리가 후속 필름 응집 시간을 줄이기 위한 처리일 수 있다. 옥사이드 증착 하위단계(3232B)는 임의의 적합한 지속 시간을 가질 수 있다. 하나의 비-제한적 예에서, 옥사이드 증착 하위단계(3232B)는 약 2초 길이를 가진다. 나아가, 추가적인 옥사이드 증착 하위단계가 본 발명의 범주 내에서 제공될 수 있다는 것을 이해할 것이다.
도 32에 도시된, 옥사이드/나이트라이드 전환 단계(3234)에서, 다양한 프로세스 파라미터가 조절되어 실리콘 다이옥사이드 증착으로부터 실리콘 나이트라이드 증착으로 프로세스 스테이션을 전환한다. 도 32에 도시된 예에서, 실란의 플로우 레이트(실란은 여전히 프로세스 바이패스 라인으로 전달됨)가 증가한다. 나아가, 옥사이드/나이트라이드 전환 단계(3234) 중에 N2O 흐름을 차단된다. 더 나아가, 질소의 소스가 옥사이드/나이트라이드 전환 단계(3234) 중에 기체 매니폴드 사이에서 변경된다. 전술한 바와 같이, 질소 기체의 소스 및/또는 플로우 레이트는 역확산 방지, 압력 제어, 온도 제어 등을 제공하기 위해 변경될 수 있다. 일부 실시예에서, 질소 플로우 레이트가 옥사이드/나이트라이드 전환 단계(3234) 중에 변경될 수 있어, 원하지 않는 결함-형성 반응에 참여할 수 있는 프로세스 스테이션으로부터의 양립할 수 없는 반응 기체를 배출시킨다.
옥사이드/나이트라이드 전환 단계(3234) 전체에서, 파라미터(3222)가 플라스마를 유지하도록 변경된다. 예를 들어, 도시된 실시예에서, 옥사이드/나이트라이드 전환 단계(3234)에서, 헬륨 흐름이 감소하고 고주파수 RF 전력이 증가하는 것을 도시한다. 이는 실리콘 다이옥사이드 필름 증착에 대한 프로세스 조건으로의 전환 중에 플라스마 밀도 및/또는 볼륨의 완전성 및 안정성을 유지하게 할 수 있다. 옥사이드/나이트라이드 전환 단계(3234)는 임의의 적합한 지속 시간을 가질 수 있다. 하나의 비-제한적 예에서, 옥사이드/나이트라이드 전환 단계(3234)는 약 2초 길이이다.
일부 프로세스 조건에서, 고주파수 플라스마는 저주파수 플라스마에 비해 결합 분리 프로세스에서 상대적으로 더 효과적일 수 있다. 반면에, 일부 프로세스 조건하에서, 저주파수 플라스마는 기판 표면으로 상대적인 고 플럭스의 라디칼을 제공할 수 있다. 따라서, 일부 실시예에서, 단일 또는 듀얼-주파수 플라스마에 대한 플라스마 전력은 다양한 필름 증착 화학 및 조건에 대해 적합한 플라스마 조건을 생성하도록 선택될 수 있다. 도 32에 도시된 프로세스와 함께 사용하기에 적합한, 예시적인 실리콘 옥사이드 프로세스 조건 및 실리콘 나이트라이드 조건이 위의 표 7A, 7B, 8 및 9에 제공된다.
전술한 2-필름 프로세스가 실리콘 나이트라이드 필름보다는 실리콘 다이옥사이드 필름의 증착으로 시작될 수 있다는 것을 이해할 것이다. 나아가, 추가적인 교대되는 층들이 전술한 예시적인 2-필름 프로세스의 전부 또는 일부를 반복함으로써 형성될 수 있다. 예를 들어, 옥사이드/나이트라이드/옥사이드 필름이 실리콘 옥사이드/실리콘 나이트라이드/실리콘 옥사이드(ONO) 게이트 디바이스를 형성하도록 프로세스의 일부로 증착될 수 있다. 더 나아가, 일부 실시예에서, 다중 필름 유형이 인-시츄 증착될 수 있다. 예를 들어, 3-필름 프로세스가 세 개의 필름 유형을 가지는 인-시츄 증착을 위해 사용될 수 있다.
전술한 증착 프로세스가 3D 메모리 응용예의 내용에서 설명되었으나, 필름 스택의 인-시츄 증착은 집적형 디바이스 또는 집적 물질에서 임의의 적합한 목적으로 사용될 수 있다. 예를 들어, 탄소-베이스 AHM(ashable hardmask) 층이 리소그래피 패턴화 응용예에 관하여 반사 방지 층(ARL:antireflective layer)과 함께 인-신츄 증착될 수 있다. 하나의 시나리오에서, AHM 층은 약 200 Å 두께일 수 있고, 반사방지 층은 약 100 내지 600Å 두께일 수 있다.
다른 예에서, 탄소-도핑된 실리콘 다이옥사이드 필름은, 적합한 탄소-베이스 실리콘-함유 반응물을 사용하여, 실리콘 나이트라이드 필름과 함께 인-시츄 적층될 수 있다. 예를 들어, 탄소-도핑된 실리콘 다이옥사이드 필름은, 산소 플라스마의 존재 시, TEOS(및 또는 다른 적합한 알콕시실란)의 플라스마-강화 분해를 이용하여 증착될 수 있다. 일부 실시예에서, 플라스마에 의해 제공된 산소 라디칼의 농도를 변경하는 것은, 실리콘 다이옥사이드 필름에 남아있는 탄소의 양을 변경하는 데 사용될 수 있다. 따라서, TEOS- 베이스 프로세스에서 플라스마 조건을 변경하는 것은 증착된 실리콘 다이옥사이드 필름의 물리적 및 전기적 속성(실란-베이스의 실리콘 다이옥사이드 증착 프로세스에서는 불변임)을 변경하는 데 사용될 수 있다.
예시적인 4-스테이션 프로세스 도구(이하에서 더 상세히 설명함)를 사용하는, 예시적인 TEOS-베이스 실리콘 다이옥사이드 프로세스 및 예시적인 실란-베이스 실리콘 나이트라이드 프로세스에 대한 파라미터 범위가 표 10A 및 10B에 제공된다. 표 11은 예시적인 4-스테이션 프로세스 도구를 사용하는 TEOS-베이스 실리콘 다이옥사이드의 특정한 예를 나타낸다. 기타 적합한 파라미터 범위가 필름-형성 프로세스 화학에 대한 다른 실시예에서 사용될 수 있다는 것을 이해할 것이다. 예를 들어, 다른 파라미터 범위가, 산소 소스로서, N2O (이들의 예가 표12A, 12B, 및 13에 관하여 이하에 더 상세히 설명됨), CO, 및/또는 CO2를 사용하여 TEOS로부터 형성된 실리콘 다이옥사이드 필름에 적용될 수 있다.
표 10A
단계 실리콘 나이트라이드 증착 준비 단계 기판 1 상에 실리콘 나이트라이드 증착 기판 2 상에 실리콘 나이트라이드 증착 N/O 전환 단계
SiH4 (sccm) 1 내지 1000 1 내지 1000 1 내지 1000 0
TEOS (mL/min) 0 0 0 0 내지 20
N2
매니폴드 A (sccm)
0 내지 10000 0 내지 10000 0 내지 10000 0 내지 10000
NH3 (sccm) 10 내지 10000 10 내지 10000 10 내지 10000 0 내지 10000
O2 (sccm) 0 0 0 0 내지 20000
N2
매니폴드 B (sccm)
0 내지 20000 0 내지 20000 0 내지 20000 0 내지 30000
He (sccm) 0 내지 20000 0 내지 20000 0 내지 20000 0 내지 20000
Ar (sccm) 0 내지 30000 0 내지 30000 0 내지 30000 0 내지 30000
압력 (torr) 0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0
온도 (℃) 200 내지 650 200 내지 650 200 내지 650 200 내지 650
HF 전력 (W) 0 내지 5000 0 내지 5000 0 내지 5000 0 내지 5000
LF 전력 (W) 0 내지 2500 0 내지 2500 0 내지 2500 0 내지 2500
표 10B
단계 SiO2 증착 준비 단계 기판 1 상에 SiO2 증착 기판 2 상에 SiO2 증착 O/N 전환 단계
SiH4 (sccm) 0 0 0 0
TEOS (mL/min) 1 내지 20 1 내지 20 1 내지 20 0
N2
매니폴드 A (sccm)
0 0 0 0 내지 10000
NH3 (sccm) 0 0 0 0
O2 (sccm) 100 내지 20000 100 내지 20000 100 내지 20000 0
N2
매니폴드 B (sccm)
0 0 0 0 내지 20000
He (sccm) 0 내지 20000 0 내지 20000 0 내지 20000 0 내지 20000
Ar (sccm) 0 내지 30000 0 내지 30000 0 내지 30000 0 내지 30000
압력 (torr) 0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0
온도(C) 200 내지 650 200 내지 650 200 내지 650 200 내지 650
HF 전력 (W) 0 내지 5000 0 내지 5000 0 내지 5000 0 내지 5000
LF 전력 (W) 0 내지 2500 0 내지 2500 0 내지 2500 0 내지 2500
표 11
TEOS
(mL/min)
4.5
O2
(sccm)
10000
N2 매니폴드 A
(sccm)
0
N2 매니폴드 B
(sccm)
0
He
(sccm)
0
Ar
(sccm)
0
압력
(torr)
1.2
온도
(℃)
550
HF 전력
(W)
350
LF 전력
(W)
800
도 33은 실리콘 나이트라이드 및 실리콘 옥사이드 필름이 교대되는 층들의 인-시츄 PECVD 증착을 위한 예시적인 프로세스 타이밍 도(3300)를 개략적으로 도시한다. 도 33에 도시된 예에서, 제 1 필름 증착 단계 중에 플라스마의 존재 상태에서 실리콘 나이트라이드가 실란(SiH4) 및 암모니아(NH3) 의 반응에 의해 증착되고, 실리콘 다이옥사이드는 제 2 필름 증착 단계 중에 플라스마의 존재 상태에서 TEOS 및 산소의 반응에 의해 증착된다. 도 33에 도시된 예에서 나타낸 바와 같이, 그리고 이하에 더 상세히 설명되는 것과 같이, 플라스마는 실리콘 나이트라이드 및 실리콘 다이옥사이드 증착 단계 사이의 전환 중에 켄칭된다.도 33의 실리콘 나이트라이드 증착 준비 단계(3324)에서, 실란에 대한 플로우 레이트가 설정되고, 실란은 프로세스 스테이션 바이패스 라인으로 공급된다. 이는 프로세스 스테이션으로 삽입되기 전에 실란 흐름이 안정화하는 시간을 제공할 수 있다. 또한, 도 33은 제어된 플로우 레이트에서 프로세스 스테이션으로 암모니아가 공급되는 것을 도시한다. 따라서, 실리콘 나이트라이드 증착 프로세스에 대한 각각 반응물이 증착을 시작하기 전에 흐름-안정화될 수 있으며, 이는 실리콘 나이트라이드 증착 프로세스 중에 증착 두께 제어를 제공할 수 있다. 또한 도 33은 실리콘 나이트라이드 증착 준비 단계(3324) 중에 프로세스 스테이션으로 질소가 공급되는 것을 도시한다. 질소는, 반응 기체가 양립할 수 없는 공반응물 등에 대한 배관으로 역확산(back-diffusion)하는 것을 방지하기 위한 스윕 기체(sweep gas)로서, 압력 및/또는 온도 제어를 보조하기 위한 희석 기체의 기능을 할 수 있다. 추가로 또는 선택적으로, 일부 실시예에서, 질소는 실란 나이트라이드 필름을 형성하기 위해 실란과 반응할 수 있다. 다른 적합한 프로세스 기체가 실리콘 나이트라이드 증착 준비 단계(3324) 중에 실리콘 나이트라이드 증착을 위한 준비 시 프로세스 스테이션으로 공급될 수 있다. 예를 들어, 또한 도 33은 실리콘 나이트라이드 증착 준비 단계(3324) 중에 프로세스 스테이션으로 헬륨이 공급되는 것을 도시한다.
프로세스 압력과 같은 기타 프로세스 파라미터도 실리콘 나이트라이드 준비 단계(3324) 중에 조절될 수 있다. 일부 실시예에서, 프로세스 스테이션 압력은 프로세스 스테이션으로 공급되는 하나 이상의 기체 흐름을 변경하고, 프로세스 스테이션 조절판(throttle) 밸브 조정하는 등으로써 제어될 수 있다. 나아가, 일부 실시예에서, 기판 대(pedestal)의 온도가 증착 온도에서 안정화될 수 있다. 따라서, 임의의 적합한 프로세스 파라미터가 실리콘 나이트라이드 증착 준비 단계(3324)에서 조정 및/또는 안정화될 수 있다는 것을 이해할 것이다. 실리콘 나이트라이드 증착 준비 단계(3324)는 임의의 적합한 지속 시간을 가질 수 있다.
도 33에 도시된 예에서, 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스가 활성화되어 선택적인 나이트라이드 플라스마 점화 단계(3325)에서 플라스마를 점화 및 안정화하도록 활성화된다. 그러나 일부 실시예에서, 단일-주파수 RF 전력 소스가 본 발명의 범주를 벗어나지 않는 범위에서, 활성화될 수 있다는 것을 이해할 것이다. 실란을 프로세스 스테이션으로 삽입하기 전에 플라스마를 점화하는 것은 일부 프로세스 조건하에서 소형 입자 발생을 생성을 방지할 수 있다. 예를 들어, 플라스마를 일차로 점화하고 이후에 실란을 공급함으로써, 도 33에 도시된 것과 같이, 플라스마 점화 이벤트(이는 소형 입자를 발생시킬 수 있음)가 실란 플라스마를 점화하는 것에 비해 상대적으로 줄어들 수 있다. 그러나, 일부 실시예에서, 실란 플라스마는 실리콘 나이트라이드 증착 단계(3326) 중에 점화될 수 있다는 것을 이해할 것이다.
프로세스 스테이션은 실리콘 나이트라이드 증착 단계(3326)에서 실리콘 나이트라이드의 증착을 시작한다. 도 33의 예에서, 실리콘 나이트라이드 증착 단계(3326)는 두 개의 하위 단계로 분할된다. 즉, 활성 증착 하위 단계를 나타내는 나이트라이드 증착 하위 단계(3326A) 및, 후속-증착 필름 처리 하위 단계를 나타내는 나이트라이드 증착 하위 단계(3326B)로 나뉜다.
도 33에 도시된 것과 같이, 실란(이는 프로세스 스테이션 바이패스 라인으로 사전에 전송되었음)이 나이트라이드 증착 하위 단계(3326A) 중에, 프로세스 스테이션으로 공급된다. 결과적으로, 결과적으로, 실리콘 나이트라이드 필름이 응집되고, 나이트라이드 증착 하위 단계(3326A) 전체에서 기판 표면 상에 증착된다. 필름 응집(nucleation)에 필요한 시간을 배제하고, 나이트라이드 증착 하위 단계(3326A)의 지속 시간은 증착된 필름의 두께에 거의 비례할 수 있다. 따라서, 나이트라이드 증착 하위 단계(3326A)의 지속 시간은 증착된 실리콘 나이트라이드 층의 두께를 변경하도록 조절될 수 있다. 따라서, 나이트라이드 증착 하위 단계(3326A)의 지속 시간은 실리콘 나이트라이드 층의 두께를 변경하도록 조절될 수 있다.
도 33에 도시된, 나이트라이드 증착 하위 단계(3326B)에서, 실란이 프로세스 스테이션 바이패스 라인으로 전달된다. 도 33에 도시된 실시예에서, 고- 및 저주파수 전력이 나이트라이드 증착 하위 단계(3326B)의 종료 시에 꺼지며, 이는 프로세스 스테이션 내의 잔여 실란을 소모시킬 수 있으며, 잠재적으로 소형 입자 결함을 줄일 수 있다. 그러나, 다른 실시예에서, 하나 이상의 플라스마 전력 공급 장치가 나이트라이드 증착 하위 단계(3326B) 내의 임의의 적합한 시점에 꺼질 수 있다.
일부 실시예에서, 암모니아 플로우 레이트, 프로세스 스테이션 압력 및 프로세스 스테이션 온도와 같은 다른 프로세스 파라미터가, 나이트라이드 증착 하위단계(3326B) 중에 조절될 수 있어, 벌크 및/또는 증착된 실리콘 나이트라이드 필름의 표면-인접 부분의 후속-증착 처리를 제공한다. 예시적인 후속-증착 처리는 열-구동 치밀화 처리 질소 풍부화 처리를 포함하나, 이에 한정되지는 않는다. 추가로 또는 선택적으로, 일부 실시예에서, 나이트라이드 증착 하위 단계(3326B)는 후속 필름 증착을 위해 실리콘 나이트라이드 표면을 준비하기 위한 표면 사전-처리를 제공할 수 있다. 예시적인 사전-처리는 후속 필름 응집 시간을 줄이도록 구성될 수 있다. 나이트라이드 증착 하위 단계(3326B)는 임의의 적합한 지속시간을 가질 수 있다. 나아가, 추가적인 나이트라이드 증착 하위 단계가, 본 발명의 범주를 벗어나지 않는 한, 일부 실시예에 포함될 수 있다는 것이 이해될 것이다. 도 33에 도시된 예에서, 옥사이드 증착을 위한 준비 시, 나이트라이드 증착 하위 단계(3326B)의 끝 부분에서, 프로세스 스테이션으로의 실란, 암모니아, 및 헬륨의 흐름이 차단된다.
도 33에 도시된, 나이트라이드/옥사이드 전환 단계(3328)에서, 다양한 프로세스 파라미터가 조절되어 실리콘 나이트라이드 증착으로부터 실리콘 다이옥사이드 증착으로 프로세스 스테이션을 전환한다. 예를 들면, 도 33은 잔여 실란 및 나이트라이드 증착 부산물을 배출하는 것을 돕기 위해 프로세스 스테이션에서 질소가 지속적으로 흐르도록 하는 것을 나타낸다. 일부 실시예에서, 질소 플로우 레이트는, 바람직하지 않은 결함-생성 반응에 참여할 수 있는 프로세스 스테이션으로부터 양립할 수 없는 반응 기체를 제거하기 위해, 나이트라이드/옥사이드 전환 단계(3328) 중에 변경될 수 있다. 임의의 적합한 퍼지 기체가 본 발명의 범주를 벗어나지 않는 한 사용될 수 있으며, 일부 실시예에서, 교대되는 퍼지 및 배출 사이클이 나이트라이드/옥사이드 전환 단계(3328)에서 사용될 수 있어 인 시츄 실리콘 다이옥사이드 증착을 위해 프로세스 스테이션을 준비할 수 있다. 도 33에 도시된 예에서, 질소가 나이트라이드/옥사이드 전환 단계(3328)의 종료 시 차단된다.
실리콘 다이옥사이드 증착 준비 단계(3330)의 시작 시 산소가 공급된다. 이어서, 도 33에 도시된 예는, 선택적인 옥사이드 플라스마 점화 단계(3331) 중에, 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스가 모두 플라스마를 점화 및 안정화하기 위해 활성화된다는 것을 나타낸다. 그러나, 일부 실시예에서, 본 발명의 범주를 벗어나지 않는 한, 단일-주파수 전력 소스가 사용될 수 있다. 옥사이드 플라스마 점화 단계(3331)가 선택적이며, 일부 실시예에서, 플라스마는 실리콘 다이옥사이드 증착 단계(3332) 중에 점화될 수 있다는 것을 이해할 것이다. 일부 실시예에서, 헬륨 및 아르곤과 같은 다른 기체가, 실리콘 다이옥사이드 증착 준비 단계(3330), 선택적인 옥사이드 플라스마 점화 단계(3331) 및 후속 실리콘 다이옥사이드 증착 단계(3332)에 중 하나 이상에서, 프로세스 스테이션으로 공급될 수 있다.
프로세스 스테이션은 실리콘 다이옥사이드 증착 단계(3332)에서 실리콘 다이옥사이드의 증착을 시작한다. 도 33에 도시된 것과 같이, 실리콘 다이옥사이드 증착 단계(3332)가 두 개의 하위 단계로 분할된다. 옥사이드 증착 하위 단계(3332A)는 활성 증착 하위 단계를 나타낸다. 선택적인 옥사이드 증착 하위 단계(3332B)는 후속-증착 필름 처리 하위 단계를 나타낸다. 도 33에 도시된 것과 같이, 옥사이드 증착 하위 단계(3332A) 중에 TEOS가 프로세스 스테이션으로 공급된다. 옥사이드 증착 하위 단계(3332A) 중에, 실리콘 다이옥사이드 필름이 응집되고, 기판 표면 상에 증착된다. 필름 응집에 필요한 시간을 제외하고, 옥사이드 증착 하위 단계(3332A)의 지속 시간은 증착된 필름의 두께에 거의 비례할 수 있다. 따라서, 옥사이드 증착 하위 단계(3332A)의 지속 시간은 실리콘 다이옥사이드 층의 두께를 변경하도록 조절될 수 있다. 프로세스 스테이션으로의 TEOS 흐름은 옥사이드 증착 하위 단계(3332A)의 종료 시 중단된다.
도 33에 도시된 예에서, 고주파수 전력 공급 장치 및 저주파수 전력 공급 장치가 옥사이드 증착 하위 단계(3332B)의 종료 시 꺼진다. 그러나, 일부 실시예에서, 플라스마 전력 공급 장치 중 하나 이상이, 옥사이드 증착 하위 단계(3332B) 내의 임의의 적합한 시점에 꺼질 수 있다는 것을 이해할 것이다. 최종 플라스마는 프로세스 스테이션 내의 잔여 TEOS를 소모시킬 수 있고, 벌크 및/또는 증착된 실리콘 다이옥사이드 필름의 표면-인접 부분의 후속-증착 처리를 제공할 수 있다. 예시적인 후속-증착 처리는 치밀화 처리, 산소 풍부화 처리, 트랩 감소 처리 등을 포함할 수 있다. 프로세스 스테이션으로의 산소 흐름이 옥사이드 증착 하위 단계(3332B)의 종료 시 차단된다. 옥사이드/나이트라이드 전환 단계(3334)(도 33에 도시됨)에서, 질소 및 헬륨의 흐름은 실리콘 나이트라이드의 후속 증착을 위한 준비 시 시작된다.
추가적으로 또는 선택적으로, 일부 실시예에서, 양립할 수 없는 프로세스 기체에 의해 유발된 입자 발생은 실리콘 다이옥사이드 필름 형성하기 위한 플라스마-활성화 산소 대신에 플라스마-활성화된 아산화 질소와 TEOS가 반응함으로써 처리될 수 있다. N2O는 실란-베이스 실리콘 나이트라이드 프로세스와 거의 반응하지 않을 수 있다. 예를 들어, N2O는 하드웨어 및/또는 배관 표면에 거의 흡착되지 않을 수 있다. N2O- 및 TEOS-베이스 실리콘 다이옥사이드 프로세스는, 실란-베이스의 실리콘 나이트라이드 프로세스와 하드웨어를 공유할 대, 입자를 거의 발생시키지 않을 수 있다. 예시적인 4-스테이션 프로세스 도구(이하에서 더 상세히 설명함)를 사용하는, 예시적인 N2O- 및 TEOS- 베이스 실리콘 다이옥사이드 프로세스 및 예시적인 실란-베이스 실리콘 나이트라이드 프로세스에 대한 파라미터 범위가 표 12A 및 12B에 제공된다. 표 13은 예시적인 4-스테이션 도구를 사용하는 N2O- 및 TEOS-베이스 실리콘 다이옥사이드 프로세스의 특정한 예를 도시한다. 기타 적합한 파라미터 범위가 필름-형성 프로세스 화학의 다른 실시예로 구현될 수 있다는 것을 이해할 것이다. 예를 들어, 다른 파라미터 범위가 CO 및/또는 CO2를 산소 소스로 사용하여 TEOS로부터 형성된 실리콘 다이옥사이드 필름에 적용될 수 있다.
표 12A
단계 실리콘 나이트라이드 증착 준비 단계 기판 1 상에 실리콘 나이트라이드 증착 기판 2 상에 실리콘 나이트라이드 증착 N/O 전환 단계
SiH4 (sccm) 1 내지 1000 1 내지 1000 1 내지 1000 0
TEOS (mL/min) 0 0 0 0 내지 20
N2
매니폴드 A (sccm)
0 내지 10000 0 내지 10000 0 내지 10000 0
NH3 (sccm) 10 내지 10000 10 내지 10000 10 내지 10000 0 내지 10000
N2O (sccm) 0 0 0 0 내지 30000
N2
매니폴드 B (sccm)
0 내지 20000 0 내지 20000 0 내지 20000 0 내지 30000
He (sccm) 0 내지 20000 0 내지 20000 0 내지 20000 0 내지 20000
Ar (sccm) 0 내지 30000 0 내지 30000 0 내지 30000 0 내지 30000
압력 (torr) 0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0
온도 (℃) 200 내지 650 200 내지 650 200 내지 650 200 내지 650
HF 전력 (W) 0 내지 5000 0 내지 5000 0 내지 5000 0 내지 5000
LF 전력 (W) 0 내지 2500 0 내지 2500 0 내지 2500 0 내지 2500
표 12B
단계 SiO2 증착 준비 단계 기판 1 상에 SiO2 증착 기판 2 상에 SiO2 증착 O/N 전환 단계
SiH4 (sccm) 0 0 0 0
TEOS (mL/min) 1 내지 20 1 내지 20 1 내지 20 0
N2
매니폴드 A (sccm)
0 0 0 0 내지 10000
NH3 (sccm) 0 0 0 0
N2O (sccm) 100 내지 30000 100 내지 30000 100 내지 30000 0 내지 30000
N2
매니폴드 B (sccm)
0 0 0 0 내지 20000
He (sccm) 0 내지 20000 0 내지 20000 0 내지 20000 0 내지 20000
Ar (sccm) 0 내지 30000 0 내지 30000 0 내지 30000 0 내지 30000
압력 (torr) 0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0 0.5 내지 6.0
온도 (℃) 200 내지 650 200 내지 650 200 내지 650 200 내지 650
HF 전력 (W) 0 내지 5000 0 내지 5000 0 내지 5000 0 내지 5000
LF 전력 (W) 0 내지 2500 0 내지 2500 0 내지 2500 0 내지 2500
표 13
TEOS
(mL/min)
4.9
N2O
(sccm)
15000
N2 매니폴드 A
(sccm)
0
N2 매니폴드 B
(sccm)
0
He
(sccm)
0
Ar
(sccm)
0
압력
(torr)
1.8
온도
(C)
400
HF 전력
(W)
350
LF 전력
(W)
800
플라스마 활성화된 TEOS 및 아산화질소를 사용하여 증착된 실리콘 옥사이드 필름이 전술한 하나 이상의 실시예에 의해 증착될 수 있다는 것을 이해할 것이다. 예를 들어, 일부 실시예에서, 실리콘 옥사이드 필름은, 프로세스 스테이션에서 TEOS 및 아산화질소를 사용하여 기판 상에 증착될 수 있다. 프로세스 스테이션은 표 12A, 12B 및 13에서 위에 열거한 것과 같은 적합한 프로세스 파라미터 범위 내에서 제어될 수 있다. 예를 들어, 프로세스 스테이션은 섭씨 200도 및 650도의 온도로 기판을 가열하도록 제어될 수 있다. 실리콘 옥사이드 필름의 증착은 기판으로 플라스마를 공급함으로써 그리고 테트라에틸 오르토실리케이트(TEOS) 및 아산화 질소를 플라스마에 공급함으로써 얻어질 수 있다.전술한 것과 같이, 일부 실시예에서, TEOS 및 아산화질소의 플라스마-활성화에 의해 증착된 실리콘 다이옥사이드 필름은 다른 증착 프로세스와 인-시츄로 수행될 수 있다. 예를 들어, 일부 실시예에서, 프로세스 도구는 플라스마-활성화된 TEOS 및 아산화질소를 사용하여, 중간 진공 파괴 없이, 실리콘 옥사이드 필름의 증착이 뒤따르는 기판 상에 실리콘 나이트라이드 필름을 증착할 수 있다. 하나의 시나리오에서, 인-시츄 증착은 동일한 프로세스 스테이션 내에서 발생할 수 있다. 다른 시나리오에서, 인-시츄 증착은 동일한 프로세스 도구 내에 포함된 서로 상이한 프로세스 스테이션 내에서 일어날 수 있다.
전술한 것과 같이, 일부 실시예에서, 플라스마에 의해 제공된 산소 라디칼의 농도 면에서의 변화는 실리콘 다이옥사이드 필름 내에 남아있는 탄소의 양을 변경하는 데 사용될 수 있다. 따라서, 일부 실시예에서, 아산화질소- 및 TEOS-베이스 플라스마-활성화 필름 증착 프로세스에서 플라스마 조건을 변경하는 것은 임의의 적합한 방식으로 실리콘 옥사이드 필름의 탄소 농도를 조정하는데 사용될 수 있다. 예를 들어, 일부 실시예에서, 플라스마는 거의 일정한 탄소 농도를 유지하도록 제어될 수 있다. 선택적으로, 일부 실시예에서, 플라스마는 필름이 증착됨에 따라 탄소 농도 프로파일을 변경하도록 제어될 수 있다. 이러한 접근법은, 실란-베이스의 실리콘 다이옥사이드 증착 프로세스에서 변하지 않는 증착된 실리콘 다이옥사이드 필름의 물리적 및 전기적 속성을 변경할 수 있다.
추가로 또는 선택적으로, 일부 실시예에서, 양립할 수 없는 프로세스 반응물을 분리함으로써 및/또는 양립할 수 없는 프로세스 반응물에 의해 공유된 반응물 전달 시스템의 하나 이상의 부분을 적절히 제거함으로써, 인-시츄 필름 전환이 이루질 수 있다.
예를 들어, 도 34는 중간 퍼지 단계를 이용하여, 제 1 필름 증착 프로세스에서 제 2 필름 증착 프로세스로, 인-시츄로, 전환하는 방법(3400)의 실시예를 나타내는 흐름도를 도시한다. 방법(3400)은, 단계(3402)에서, 제 1 증착 상태에서, 필름의 제 1 층을 증착하는 것을 포함한다, 단계(3404)에서, 방법(3400)은, 제 2 필름 증착 단계의 프로세스 반응물과 양립할 수 없는 제 1 필름 증착 단계의 프로세스 반응물에 의해 공유되는 반응물 전달 라인 및/또는 프로세스 스테이션의 하나 이상의 부분을 제거하는 것을 포함한다. 단계(3406)에서, 방법(3400)은 제 2 필름 증착 상태에서, 필름의 제 1 층 상부에 필름의 제 2 층을 증착하는 것을 포함한다.
도 34A 및 35B는 제 2 필름 증착 단계의 프로세스 반응물과 양립할 수 없는 제 1 필름 증착 단계의 프로세스 반응물에 의해 공유된 반응물 전달 라인의 하나 이상의 부분을 제거하는 방법(3500)의 실시예를 나타내는 예시적인 흐름도를 도시한다. 도 35A 및 35B에 나타낸 예시적인 방법에서, 프로세스 스테이션은 TEOS-베이스 실리콘 다이옥사이드 필름 증착 프로세스에서 실란-베이스 실리콘 나이트라이드 필름 증착 프로세스로 전환 중이다. 구체적으로, 35A는 TEOS 및 산소 전달 라인의 후속-증착 퍼지("후속-퍼지")를 수행하는 단계 및 프로세스 스테이션의 퍼지를 수행하는 단계를 향하는 방법(3500)의 제 1 부분을 도시하며, 도 35B는 실란 및 암모니아 전달 라인의 선택적인 사전-증착 퍼지("사전-퍼지")를 수행하는 단계를 향하는 방법(3500)의 제 2 부분을 도시한다. 이러한 예는 단지 표현을 목적으로 하며, 다른 적합한 증착 프로세스 전환에 대한 기타 적합 퍼징 사이클이 본 발명의 범주 내에서 대체할 수 있다는 것을 이해할 것이다.
도 35A를 참조하면, 방법(3500)은 단계(3502)에서, 프로세스 스테이션으로의 프로세스 기체의 흐름을 차단하는 것을 포함한다. 다음으로, 방법(3500)은 TEOS 전달 라인 후속-퍼지 단계로 진입한다. 단계(3504)에서, 방법(3500)은 TEOS 전달 라인을 퍼징(purging)하는 것을 포함한다. 일부 실시예에서, 산소 흐름이 사용되어 TEOS 전달 라인을 퍼지한다. 예를 들어, 산소는 TEOS 전달 라인이 산소 소스와 유동형으로 연결되는 혼합 포인트의 전달 라인 및 하드웨어 스트림을 퍼지하기 위해 적합한 플로우 레이트로 공급될 수 있다.
단계(3506)에서, 방법(3500)은 TEOS 전달 라인을 배기시키는 단계를 포함한다. 일부 실시예에서, TEOS 전달 라인은 프로세스 스테이션을 배기시킴으로써 배기될 수 있다. 예를 들어, TEOS 전달 라인의 퍼지 기체가 차단될 수 있고, 프로세스 스테이션 압력이 프로세스 스테이션 내의 잔여 기체의 일부를 배기하도록 제어될 수 있다. 일부 실시예에서, 프로세스 스테이션은 프로세스 스테이션 제어 밸브가 완전히 개방 세팅되도록 함으로써 베이스 압력으로 조절될 수 있다. 프로세스 스테이션 베이스 압력에 대한 하나의 비-제한적 실시예는 0.5 torr 미만의 압력이다. 추가로 또는 선택적으로, 일부 실시예에서, 별개의 TEOS 전달 라인 배기 파이프가 TEOs 전달 라인으로부터 잔여 기체를 배기하는데 사용될 수 있다.
단계(3508)에서, 방법(3500)은 추가 TEOS 전달 라인 퍼징 단계가 지시되었는지 여부를 확인하는 것을 포함한다. 예를 들어, 일부 실시예에서, 레서피가 복수의 TEOS 전달 라인 퍼지 사이클을 수행하도록 지시할 수 있다. 추가적인 TEOS 전달 라인 퍼징 단계가 지시된 경우에, 방법(3500)은 단계(3504)로 돌아간다. 일부 실시예에서, 2 내지 5 퍼지 및 배기 사이클이 수행될 수 있다. 하나의 비-제한적 실시예에서, 각각의 퍼지 및 배기 사이클은 30 내지 60초의 지속시간을 가질 수 있다.
추가적인 TEOS 전달 라인 퍼징 단계가 지시되지 않으면, 방법(3500)은 단계(3510)로 계속되며, 여기서 방법(3500)은 산소 전달 라인 후속-퍼지 단계로 진입한다. 단계(3510)에서, 산소 전달 라인을 퍼징하는 것이 포함된다. 일부 실시예에서, 적합한 플로우 레이트로 공급된 질소가 실란 전달 라인을 퍼지하는데 사용될 수 있다.
단계(3512)에서, 방법(3500)은 산소 전달 라인을 배기하는 것을 포함한다. 일부 실시예에서, 산소 전달 라인은 프로세스 스테이션을 배기시킴으로써 배기될 수 있다. 예를 들어, 산소 전달 라인 내의 퍼지 기체가 차단될 수 있고, 프로세스 스테이션 압력이 제어되어 프로세스 스테이션 내의 잔여 기체의 일부를 배기시킨다. 일부 실시예에서, 프로세스 스테이션은 프로세스 스테이션 제어 밸브를 완전 개방 세팅으로 만들어 베이스 압력으로 제어될 수 있다. 프로세스 스테이션 베이스 압력에 대한 하나의 비-제한적 실시예는 0.5 torr 미만의 압력이다. 추가로 또는 선택적으로, 일부 실시예에서, 별개의 산소 전달 라인 배기 파이프가 산소 전달 라인으로부터 잔여 기체를 배기시키는데 사용될 수 있다.
단계(3514)에서, 방법(3500)은 추가 산소 전달 라인 퍼징 단계가 지시되었는지를 확인하는 단계를 포함한다. 예를 들어, 일부 실시예에서, 레서피는 수 회의 산소 전달 라인 사이클이 실행되도록 지시할 수 있다. 추가 산소 전달 라인 퍼징 단계가 지시된 경우에, 방법(3500)은 단계(3510)로 돌아간다. 일부 실시예에서, 2 내지 5 퍼지 및 배기 사이클이 수행될 수 있다. 하나의 비-제한적 실시예에서, 각각의 퍼지 및 배기 사이클은 30 내지 60 초의 지속시간을 가질 수 있다.
추가적인 산소 전달 라인 퍼징 단계가 지시되지 않으면, 방법(3500)은 단계(3516)로 계속되며, 여기서 방법(3500)은 프로세스 스테이션 퍼지 단계로 진입한다. 단계(3516)에서, 방법(3500)은 프로세스 스테이션을 퍼징하는 것을 포함한다. 일부 실시예에서, 퍼지 기체가 프로세스 스테이션으로 공급되어 프로세스 스테이션, 믹싱 베젤 등 내의 배관 및/또는 하드웨어 표면으로부터 소형 입자를 닦아낸다. 하나의 비-제한적 예에서, 프로세스 스테이션 하드웨어(가령, 믹싱 베젤, 샤워헤드 기체 분배기, 프로세스 스테이션 측벽 등)에 흡착된 소형 입자를 닦아내고 산소를 제거하도록 질소 및/또는 비활성 기체가 공급된다.
단계(3518)에서, 방법(3500)은 프로세스 스테이션을 배기하는 것을 포함한다. 일부 실시예에서, 프로세스 스테이션은 프로세스 스테이션의 베이스 압력으로 펌프 다운될 수 있다. 선택적으로, 산소 공급 매니폴드가 하나 이상의 프로세스 밸브를 닫음으로써 격리될 수 있다. 이는 연이어 전달된 기체가 산소 공급 매니폴드로 역확산하는 것을 방지할 수 있다.
단계(3520)에서, 방법(3500)은 추가 프로세스 스테이션 퍼징 단계가 지시되었는지 여부를 확인하는 것을 포함한다. 예를 들어, 일부 실시예에서, 레서피가 복수의 프로세스 스테이션 퍼지 사이클을 수행하도록 지시할 수 있다. 추가적인 프로세스 스테이션 퍼징 단계가 지시된 경우에, 방법(3500)은 단계(3516)로 돌아간다. 일부 실시예에서, 2 내지 5 퍼지 및 배기 사이클이 수행될 수 있다. 하나의 비-제한적 실시예에서, 각각의 퍼지 및 배기 사이클은 5 내지 20초의 지속시간을 가질 수 있다. 일부 실시예에서, 추가적인 프로세스 스테이션 퍼 단계가 지시되지 않으면, 그리고 실란 전달 라인의 사전-퍼지 단계가 지시되지 않으면, 방법(3500)은 단계(3522)로 계속되며, 여기서 방법(3500)은 하나 이상의 실란 및 암모니아 전달 라인의 선택적인 사전-퍼지 단계가 지시될 것인지를 확인한다. 사전-퍼지 단계가 지시되지 않으면, 방법(3500)이 종료한다. 실란 전달 라인의 사전-퍼지가 지시되면, 방법(3500)이 도 35B로 계속된다.
도 35B로 돌아가서, 방법(3500)은 단계(3524)에서, 실란 전달 라인을 퍼지하는 것을 포함한다. 일부 실시예에서, 질소 흐름이 실란 전달 라인을 퍼지하는 데 사용될 수 있다. 예를 들어, 적합한 플로우 레이트로 공급된 실란이 실란 전달 라인을 퍼지하는 데 사용될 수 있다.
단계(3526)에서, 방법(3500)은 실란 전달 라인을 배기하는 것을 포함한다. 일부 실시예에서, 실란 전달 라인은 프로세스 스테이션을 배기시킴으로써 배기될 수 있다. 예를 들어, 실란 전달 라인 내의 퍼지 기체가 차단될 수 있고, 프로세스 스테이션 압력이 제어되어 프로세스 스테이션 내의 잔여 기체의 일부를 배기시킨다. 일부 실시예에서, 프로세스 스테이션은 전술한 베이스 압력으로 제어될 수 있다. 추가로 또는 선택적으로, 일부 실시예에서, 별개의 실란 전달 라인 배기 파이프가 실란 전달 라인으로부터 잔여 기체를 배기시키는데 사용될 수 있다.
단계(3528)에서, 방법(3500)은 추가 실란 전달 라인 퍼징 단계가 지시되는지 여부를 확인하는 것을 포함한다. 예를 들어, 일부 실시예에서, 레서피가 복수의 실란 전달 라인 퍼지 사이클을 수행하도록 지시할 수 있다. 추가적인 실란 전달 라인 퍼지 단계가 지시된 경우에, 방법(3500)은 단계(3524)로 돌아간다. 일부 실시예에서, 2 내지 5 퍼지 및 배기 사이클이 수행될 수 있다. 하나의 비-제한적 실시예에서, 각각의 퍼지 및 배기 사이클은 약 60초의 지속시간을 가질 수 있다. 선택적으로, 일부 실시예에서, 실란 전달 라인은 하나 이상의 프로세스 밸브를 닫음으로써 격리될 수 있다. 이는 후속하여 전달된 기체가 실란 전달 라인으로 역확산하는 것을 방지할 수 있다.
일부 실시예에서, 추가적인 실란 전달 라인 퍼지 단계가 지시되지 않으면, 방법(3500)은 단계(3540)로 계속되며, 여기서 방법(3500)은 암모니아 전달 라인의 선택적인 사전-퍼지 단계가 지시될 것인지를 확인한다. 암모니아 전달 라인의 사전-퍼지 단계가 지시되지 않으면, 방법(3500)이 종료한다.
암모니아 전달 라인의 사전-퍼지가 지시되면, 방법(3500)이 단계(3542)로 계속된다. 단계(3542)에서, 방법(3500)은 암모니아 전달 라인을 퍼지하는 것을 포함한다. 일부 실시예에서, 암모니아 전달 라인은 프로세스 스테이션을 배기시킴으로써 배기될 수 있다. 예를 들어, 암모니아 전달 라인 내의 퍼지 기체가 차단될 수 있고, 프로세스 스테이션 압력이 제어되어 프로세스 스테이션 내의 잔여 기체의 일부를 배기시킨다. 일부 실시예에서, 프로세스 스테이션은 전술한 베이스 압력으로 제어될 수 있다. 추가로 또는 선택적으로, 일부 실시예에서, 별개의 암모니아 전달 라인 배기 파이프가 암모니아 전달 라인으로부터 잔여 기체를 배기시키는데 사용될 수 있다.
단계(3546)에서, 방법(3500)은 추가 암모니아 전달 라인 퍼징 단계가 지시되는지 여부를 확인하는 것을 포함한다. 예를 들어, 일부 실시예에서, 레서피가 복수의 암모니아 전달 라인 퍼지 사이클을 수행하도록 지시할 수 있다. 추가적인 암모니아 전달 라인 퍼지 단계가 지시된 경우에, 방법(3500)은 단계(3546)로 돌아간다. 일부 실시예에서, 2 내지 5 퍼지 및 배기 사이클이 수행될 수 있다. 하나의 비-제한적 실시예에서, 각각의 퍼지 및 배기 사이클은 약 60초의 지속시간을 가질 수 있다. 암모니아 전달 라인 퍼지 단계가 지시되지 않은 경우에, 방법(200)이 종료한다. 선택적으로, 일부 실시예에서, 암모니아 전달 라인은 하나 이상의 프로세스 밸브를 닫음으로써 격리될 수 있다. 이는 후속하여 전달된 기체가 실란 전달 라인으로 역확산하는 것을 방지할 수 있다.
방법(3500)의 일부에 대한 기타 적합한 재배열이 본 발명의 범주 내에 포함된다는 것을 이해할 것이다. 예를 들어, 일부 실시예에서, 하나 이상의 프로세스 스테이션 퍼지 사이클이 실란 전달 라인 퍼지 및 암모니아 라인 퍼지 사이에 포함될 수 있다. 나아가, 본 발명은 실란/암모니아/TEOS 시스템(방법(3500)에 설명됨)에 한정되지 않는다. 따라서, 기타 양립할 수 없는 프로세스 기체에 대한 기타 적합한 전달 라인 및 프로세스 스테이션 퍼지 사이클이 j본 발명의 범주 내에서 포함될 수 있다는 것을 이해할 것이다. 더 나아가, 임의의 적합한 퍼지 기체가 사용될 수 있다. 기타 예시적인 퍼지 기체는 헬륨, 아르곤 등을 포함하나 이에 한정되는 것은 아니다.
내용 중에 설명된 방법은 임의의 적합한 장치에 의해 수행될 수 있다. 적합한 장치는 프로세스 동작을 달성하기 위한 하드웨어 및 시스템 제어기(본 발명에 따른 프로세스 동작을 제어하기 위한 명령을 포함)를 포함한다. 예를 들어, 일부 실시예에서, 하드웨어는 프로세스 도구에 포함된 하나 이상의 프로세스 스테이션을 포함할 수 있다.
시스템 제어기는 일반적으로 프로세스 동작을 제어하기 위해 명령을 실행하도록 구성된 하나 이상의 디바이스 및 하나 이상의 프로세서를 포함하여, 장비가 본 발명에 따른 방법을 수행할 것이다. 예를 들어, 일부 실시예에서, 시스템 제어기는 장비 내의 프로세스 조건을 조절하기 위한, 다양한 밸브, 온도 제어기, 플라스마 제어기 및 압력 제어기를 동작시킬 수 있다. 일부 실시예에서, 본 발명에 따른 프로세스 동작을 제어하기 위한 명령을 포함하는 컴퓨터-판독형 매체가 시스템 컨트롤러에 연결될 수 있다.
예를 들어, 도 36은, 프로세스 스테이션(3600)의 예시적인 실시예를 개략적으로 도시한다. 간단히 하기 위해, 프로세스 스테이션(3600)은 저압 환경을 유지하기 위해, 프로세스 챔버 바디(3672)를 가지는 독립형 프로세스 스테이션으로 나타낸다. 그러나, 복수의 프로세스 스테이션(3600)이 일반적인 저압 프로세스 도구 환경에 포함될 수 있다는 것을 이해할 것이다. 프로세스 스테이션(3600)은 비활성 기체, 전구체, 반응물 및 처리 반응물과 같은 프로세스 기체를 프로세스 스테이션(3600)에 제공하기 위한 프로세스 기체 전달 라인(3674)을 포함한다. 도 36에 도시된 실시예에서, 샤워헤드(3678)는 프로세스 스테이션(3600) 내에서 프로세스 기체를 분포시키기 위해 포함된다. 기판(3686)은 샤워헤드(3678) 하부에 위치하고, 받침대(3682)에 의해 지지되는 홀더(3680) 상에 놓이는 것으로 도시된다. 일부 실시예에서, 받침대(3682)는 수직 축에 관해 회전하도록 구성될 수 있다. 추가로 또는 선택적으로, 받침대(3682)는 수평으로 및/또는 수직으로 이동하도록 구성될 수 있다.
일부 실시예에서, 샤워헤드(3678)는 이중-플레넘(dual-plenum) 또는 다중-플레넘 샤워헤드일 수 있다. 예를 들어, 도 37은 이중-플레넘 샤워헤드(3700)의 실시예를 개략적으로 도시한다. 제 1 세트의 구멍(3702)이 제 1 프로세스 기체 전달 라인(3712)으로부터 나온 기체를 받아들이고, 제 2 세트의 구멍(3704)이 제 2 프로세스 기체 전달 라인(3714)으로부터 나온 기체를 받아들인다. 프로세스 기체의 이러한 물리적 격리는, 샤워헤드(3700)의 프로세스 기체 전달 배관 업스트림 내의 양립할 수 없는 프로세스 기체들 사이의 반응으로부터 소형 입자 발생을 줄이기 위한 접근법을 제공할 수 있다. 임의의 적합한 분리 스킴이 사용될 수 있다. 예를 들어, 하나의 시나리오에서, 구멍(3702)이 실리콘 다이옥사이드 필름 증착 프로세스에 특화되고, 구멍(3704)이 실리콘 나이트라이드 필름 증착 프로세스에 특정될 수 있다. 다른 시나리오에서, 구멍(3704)이 산화 반응물에 특정되고, 구멍(3704)이 환원 반응물에 특정될 수 있다. 도 37에 도시된 예는 이중-플레넘 샤워헤드이나, 일부 실시예에서, 샤워헤드가 3 세트 이상의 구멍을 가지는 다중-플레넘 샤워헤드일 수 있다는 것을 이해할 것이다.
샤워헤드(3678) 및 홀더(3680)은 RF 전력 공급 장치(3688) 및 플라스마(3692)에 전력을 공급하기 위한 매칭 네트워크(3690)와 전기적으로 연결된다. 플라스마(3692)는 샤워헤드(3678) 및 홀더(3680)에 인접하게 배치된 플라스마 시스(3694)에 포함될 수 있다. 도 36은 용량-결합 플라스마를 나타내나, 플라스마(3692)는 임의의 적합한 플라스마 소스로부터 생성될 수 있다. 하나의 비-제한적 예에서, 플라스마(3692)가 평행한 플레이트 플라스마 소스를 포함할 수 있다.
도 36에 도시된 실시예에서, RF 전력 공급 장치(3688)는 임의 적합한 주파수의 RF 전력을 제공할 수 있다. 일부 실시예에서, RF 전력 공급 장치93688)은 고- 및 저- 주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수 있다. 예시적인 저주파수 RF 전력은 200㎑ 내지 2000㎑ 사이의 주파수를 포함할 수 있으나, 이에 한정되는 것은 아니다. 예시적인 고주파수 RF 전력은 13.56 ㎒ 내지 80 ㎒ 사이의 주파수를 포함할 수 있으나, 이에 한정되는 것은 아니다. 마찬가지로, RF 전력 공급 장치(3688) 및 매칭 네트워크(3690)는 플라스마(3692)를 형성하기 위해 임의의 적합한 전력에서 동작할 수 있다. 적합한 전력의 예는, 4 개의 15-인치 샤워헤드를 포함하는 4-스테이션 다중-프로세스 도구를 위한 고주파수 플라스마에 대해 250 W 내지 5000W 그리고 저주파수 플라스마에 대해 0W 및 2500W 전력을 포함하나, 이에 한정되는 것은 아니다. RF 전력 공급장치(3688)는 적합한 듀티 사이클(duty cycle)에서 동작할 수 있다. 적합한 듀티 사이클의 예는, 5% 내지 90%의 듀티 사이클을 포함하나, 이에 한정되지 않는다.
도 36을 참조하면, 일부 실시예에서, 홀더(3680)는 히터(3684)를 거쳐 제어된 온도일 수 있다. 나아가, 일부 실시예에서, 프로세스 스테이션(3600)에 대한 압력 제어가 버터플라이 밸브(3696) 또는 기타 임의의 적합한 압력 제어 디바이스에 의해 제공될 수 있다. 도 36에 도시된 것과 같이, 버터플라이 밸브(3696)는 프로세스 스테이션 배기 라인(3698)에 유동적으로 연결된 진공 펌프(도시되지 않음)에 의해 제공된 진공을 조절한다. 그러나, 일부 실시예에서, 프로세스 스테이션(3600)의 압력 제어는 프로세스 스테이션(3600)에 삽입된 하나 이상의 기체의 플로우 레이트를 변경함으로써 역시 조절될 수 있다.
하나 이상의 프로세스 파라미터의 제어가 로컬로 제공될 수 있다는 것을 이해할 것이다(예를 들면, RF 전력이 RF 전력 공급 장치(3688)와 연결된 플라스마 제어기에 의해 제어될 수 있고, 프로세스 스테이션 압력은 버터플라이 밸브(3696) 또는 기체 미터기 밸브와 연결된 밸브 제어기 또는 프로세스 기체 전달 라인(3674) 에 연결 흐름 제어기 등에 의해 제어될 수 있음). 또는 본 발명의 범주를 벗어나지 않은 한, 프로세스 스테이션(3600)과 연결된 시스템 컨트롤러(이하에서 더 상세히 설명됨)에 의해 제공되는 일부 또는 전부 제어 하에 존재할 수 있다.
전술한 것과 같이, 하나 이상의 PECVD 프로세스 스테이션이 다중-스테이션 프로세싱 도구에 포함될 수 있다. 다중-스테이션 프로세스 도구에 대한 일부 실시예에서, 다양한 프로세스 입력(예, 프로세스 기체, 플라스마 전력, 히터 전력 등)의 제어 및/또는 공급은, 공유된 소스로부터 프로세스 도구에 포함된 복수의 프로세스 스테이션까지 분포될 수 있다. 예를 들어, 일부 실시예에서, 공유 플라스마 발생기는 2 이상의 프로세스 스테이션으로 플라스마 전력을 공급할 수 있다. 다른 예에서, 공유 기체 분배 매니폴드는 프로세스 기체를 둘 이상의 프로세스 스테이션으로 공급할 수 있다. 다중-스테이션 프로세스 도구에 대한 일부 비-제한적인 실시예가 이하에서 설명된다.
도 38은 개략적으로, 예시적인 프로세스 도구(3840)를 도시하며, 이는 저압 환경에서 복수의 프로세싱 스테이션(3842)을 포함한다. 각각의 스테이션을 저압 환경에서 유지함으로써, 필름 증착 프로세스들 사이의 진공 파괴에 의해 발생된 결함이 방지될 수 있다. 도 25에 도시된 예에서, 각각의 프로세싱 스테이션(3842)은 TEOS-베이스 실리콘 다이옥사이드 필름 및 실란-베이스 실리콘 나이트라이드 필름을 증착하도록 구성된다. 각각의 프로세싱 스테이션(3842)을 위한 프로세스 기체가, 전달 전에 프로세스 기체들을 블렌딩 및/또는 조절하기 위해 공통 믹싱 베젤(3844)에 의해 공급된다. 일부 실시예에서, 믹싱 베젤(3844)은 제어된 온도일 수 있다. 프로세스 기체는 복수의 프로세스 기체 매니폴드로부터 공급되며, 이들 각각은 임의의 적합한 프로세스 기체를 포함할 수 있다. 예를 들어, 도 38은 실란 전달 라인(3845)과 유동적으로 연결된 실란 및 질소를 포함하는 매니폴드 A; 암모니아 전달 라인(3847)과 유동적으로 연결된 암모니아 및 질소를 포함하는 매니폴드 B; 그리고 TEOS 전달 라인(3848)과 유동적으로 연결된 산소, 헬륨, 및 아르곤을 포함하는 매니폴드 C를 나타낸다. 그러나, 본 발명의 범주 내에 다른 적합한 배열이 포함된다는 것을 이해할 것이다. 하나의 비-제한적 예에서, 헬륨 및/또는 아르곤이 매니폴드 A, B 및 C에 각각 공급된다.
도 38에 도시된 예에서, TEOS는 믹싱 포인트(3846)에서 매니폴드 C와 유동적으로 연결된 TEOS 전달 라인(3848)로 삽입된다. 일부 실시예에서, 액상 TEOS가 믹싱 포인트(3846)의 광학 증발기(3849) 업스트림에 의해 증발될 수 있다.
각각의 필름 유형의 증착은 TEOS-베이스 실리콘 다이옥사이드 필름 및 실란-베이스 실란 나이트라이드 필름의 인-시츄 증착을 위해 적절히 변경된 전술한 하나 이상의 단계를 포함할 수 있는 프로세스에 의해 이루어질 수 있다. 각각의 프로세싱 스테이션(3842)은 각 필름 유형을 제공하도록 구성되기 때문에, 추가적인 퍼지 및/또는 배기 단계는 양립할 수 없는 프로세스 기체를 분리하기 위한 하나 또는 두 개의 프로세스 내에 포함될 수 있다. 예를 들어, 하나의 시나리오에서, 배관 표면에 흡착된 잔여 산소가 후속 삽입된 실란과 반응하여 미세한 실리콘 다이옥사이드 입자를 형성할 수 있다. 다른 시나리오에서, 잔여 TEOS는 후속 삽입된 암모니아와 반응하여 실리콘 옥시나이트라이드 입자를 형성할 수 있다. 이러한 입자는 기체 흐름 이벤트 중에 끌려갈 수 있으며, 입자 결함으로 기판 표면 상에 분포될 수 있다. 이러한 입자의 발생을 처리하기 위한 하나의 접근법은, 증착 이벤트 사이의 전환 중에, 프로세스 기체에 의해 공유되는 표면 및/또는 공간으로부터 양립할 수 없는 프로세스 기체를 제거하기 위해 하나 이상의 퍼지 및/또는 배기 사이클을 사용하는 것이다.
일부 실시예에서, 별개의 믹싱 베젤이 사용될 수 있으며, 양립할 수 없는 전구체를 분리하고, 잠재적으로 프로세스 기체 전달 배관의 일부에 대한 퍼징 및/또는 배기 시간을 줄인다. 예를 들어, 도 39는 예시적인 프로세스 도구(3990)의 실시예를 개략적으로 도시하며, 이는 저압 환경 내의 복수의 프로세싱 스테이션(3992)을 포함한다.
프로세스 기체는 복수의 프로세스 기체 매니폴드로부터 프로세스 도구(3990)로 공급될 수 있으며, 이들 각각은 임의의 적합한 프로세스 기체를 포함할 수 있다. 예를 들어, 도 39는 프로세스 도구(3990)와 유동적으로 연결된 실란 및 질소를 포함하는 매니폴드 A; 프로세스 도구(3990)와 유동적으로 연결된 암모니아 및 질소를 포함하는 매니폴드 B; 그리고 프로세스 도구(3990)와 유동적으로 연결된 산소, 헬륨, 및 아르곤을 포함하는 매니폴드 C를 나타낸다. 그러나, 본 발명의 범주 내에 다른 적합한 배열이 포함된다는 것을 이해할 것이다. 하나의 비-제한적 예에서, 헬륨 및/또는 아르곤이 매니폴드 A, B 및 C에 각각 공급된다.
도 39에 도시된 예에서, 프로세스 스테이션(3992)은 TEOS-베이스 실리콘 다이옥사이드 필름 및 실란-베이스 실리콘 나이트라이드 필름을 증착하도록 구성된다. 옥사이드 필름 반응물(도 39에 TEOS 및 산소로 도시됨)이 옥사이드 시스템 믹싱 베젤(3994)를 통해 각각의 프로세싱 스테이션(3992)으로 전달된다. 도 39에 도시된 예에서, 액상 TEOS가 믹싱 포인트(3991)에서, 선택적 광학 증발기(3999) 에 의해 증발되고 매니폴드 C로부터 공급된 산소와 혼합될 수 있다.
일부 실시예에서, 옥사이드 시스템 믹싱 베젤(3994)은 TEOS 증기의 응축을 방해하도록 가열될 수 있다. 추가로 또는 선택적으로, 일부 실시예에서, 옥사이드 믹싱 베젤(3994)은 퍼지 및/또는 배기되도록 구성될 수 있다. 이러한 접근법은 옥사이드 시스템 믹싱 베젤(3994) 및/또는 다른 프로세스 기체 배관 내에서 소형 실리콘 옥사이드 입자의 형성을 잠재적으로 감소시킬 수 있다. 도 39는 단일 옥사이드 시스템 믹싱 베젤(3994)을 도시하나, 임의의 적합한 수의 옥사이드 시스템 믹싱 베젤(3994)이 본 발명의 범주에 포함될 수 있다는 것을 이해할 것이다. 예를 들어, 일부 실시예에서, 둘 이상의 옥사이드 시스템 믹싱 베젤(3994)이 포함되며, 일부 실시예에서는 옥사이드 시스템 믹싱 베젤(3994)이 생략될 수 있다. 추가로 또는 선택적으로, 일부 실시예에서, 임의의 적합한 수 또는 믹싱 디바이스의 구성이 옥사이드 시스템 믹싱 베젤(3994)에 동적으로 또는 정적으로 포함될 수 있다. 또는 이와 유동적으로 연결된다.
나이트라이드 필름 반응물(실란 및 암모니아로 도 39에 도시됨)은 각각 매니폴드 A 및 B를 통해, 나이트라이드 시스템 믹서(3995)를 거쳐 각각의 프로세싱 스테이션(3992)로 공급된다. 일부 실시예에서, 나이트라이드 시스템 믹서(3995)는 동적인 또는 정적인 믹싱 소자를 포함할 수 있다. 하나의 비-제한적인 예에서, 나이트라이드 시스템 믹서(3995)는 정적인, 나선형 배플(baffle)을 포함하는 정적 기체 믹서일 수 있다. 추가로 또는 선택적으로, 일부 실시예에서, 나이트라이드 시스템 믹서(3995)는 하나 이상의 가열된 믹싱 베젤을 포함할 수 있다. 도 39는 프로세스 도구(3990)가 두 개의 나이트라이드 시스템 믹서(3995)를 나이트라이드 필름 반응물을 혼합하기 위해 포함하는 것으로 도시하나, 임의 적합한 수의 나이트라이드 시스템 믹서(3995)가 본 발명의 범주 내에서 사용될 수 있다는 것을 이해할 것이다. 일부 실시예에서, 셋 이상의 나이트라이드 시스템 믹서가 사용될 수 있으며, 일부 다른 예에서, 단일한 나이트라이드 시스템 믹서(3995)가 사용된다. 또는 나이트라이드 시스템 믹서(3995)가 생략될 수 있다. 일부 실시예에서, 하나 이상의 나이트라이드 시스템 믹서(3995)는 믹싱 베젤을 포함할 수 있다. 예를 들어, 하나의 시나리오에서, 하나 이상의 믹싱 베젤(배플을 포함하지 않음)이 하나 이상의 나이트라이드 시스템 믹서(3995)를 대신할 수 있다.
일부 실시예에서, 아르곤, 헬륨 및 질소와 같은 비활성 기체가 하나 이상의 프로세스 스테이션으로 공급될 수 있으며, 퍼징, 프로세스 기체 희석 및/또는 압력 제어 능력을 제공한다. 도 39에 도시된 예에서, 아르곤이 두 개의 비활성 믹서(3996)를 통해 각각의 프로세스 스테이션(3992)으로 공급된다. 그러나, 일부 실시예에서, 임의의 적합한 수의 비활성 믹서(3996)가 사용될 수 있거나, 선택적으로 비활성 믹서(3996)가 생략될 수 있다는 것을 이해할 것이다.
각각의 프로세스 스테이션(3992)에 대한 복수의 밸브(3998)는 서로에 대해 나이트라이드 필름 기체 전달 배관으로부터 옥사이드 필름 기체 전달 배관의 업스트림 부분을 격리하고, 프로세스 스테이션 공급 장치(3997)로부터 격리한다. 이는 양립할 수 없는 반응물 사이의 반응을 방지할 수 있다. 일부 실시예에서, 밸브(3998)의 배열은 비교적 프로세스 스테이션 공급 장치(3997)의 부피를 줄일 수 있고, 나아가 양립할 수 없는 반응물 사이의 잠재적인 반응을 줄일 수 있다.
도 39는 세 개의 밸브(3998) 및 각각의 프로세스 스테이션(3992)을 보조하는 단일 프로세스 스테이션 공급 장치(3997)를 도시하나, 임의의 적합한 수의 밸브(3998) 및 프로세스 스테이션 공급 장치(3997)가 사용될 수 있다는 것을 이해할 것이다. 예를 들어, 일부 실시예에서, 각각의 프로세스 기체는 각각의 프로세스 스테이션(3992)을 보조하는 별개의 프로세스 스테이션 공급 장치(3997)를 가질 수 있다.
나아가, 일부 실시예에서, 공통 프로세스 스테이션(3992)을 보조하는 둘 이상의 밸브가 논리적으로 임의의 적합한 방법을 통해(예, 전자적 또는 기학적(pneumatic) 접근법을 통해) 서로 묶여 가상의 단일 밸브의 역할을 할 수 있다 예를 들어, 관련된 프로세스 스테이션(3992)을 보조하는 세 개의 밸브(3998)의 하나 이상의 세트가, 가상의 3-웨이 밸브로서 프로세스 스테이션 제어기(도시되지 않음)에 의해 동작할 수 있다. 이는 프로세스 결함 제어의 수단을 제공하며, 양립할 수 없는 기체의 동시 공급을 방지한다. 택일적으로, 일부 실시예에서, 임의의 적합한 수의 밸브(3998)가 공통 밸브 어셈블리(가령, 실제 3-웨이 밸브) 내에서 물리적으로 풀릴 수 있다. 도 39에 도시되지 않았으나, 임의의 적합 수의 추가적인 수동의- 또는 프로그램적으로-제어되는 밸브가 본 발명의 범주 내에 포함될 수 있다는 것을 이해할 것이다. 이러한 밸브는 추가적인 프로세스 제어 능력, 누설-확인 능력 등을 제공할 수 있다.
각각의 필름 유형의 증착은 TEOS-베이스 실리콘 다이옥사이드 필름 및 실란-베이스 실리콘 나이트라이드 필름의 인-시츄 증착을 위해 적절히 변경된 전술한 하나 이상의 단계를 포함할 수 있는 프로세스에 의해 이루어질 수 있다. 각각의 프로세싱 스테이션(3992)은 각 필름 유형을 제공하도록 구성되기 때문에, 추가적인 퍼지 및/또는 배기 단계는 양립할 수 없는 프로세스 기체를 분리하기 위해 하나 또는 두 개의 프로세스 내에 포함될 수 있다. 예를 들어, 하나의 시나리오에서, 배관 표면에 흡착된 잔여 산소가 후속 삽입된 실란과 반응하여 미세한 실리콘 다이옥사이드 입자를 형성할 수 있다. 다른 시나리오에서, 잔여 TEOS는 후속 삽입된 암모니아와 반응하여 실리콘 옥시나이트라이드 입자를 형성할 수 있다. 이러한 입자는 기체 흐름 이벤트 중에 끌려갈 수 있으며, 입자 결함으로 기판 표면 상에 분포될 수 있다. 이러한 입자의 발생을 처리하기 위한 하나의 접근법은, 증착 이벤트 간의 전환 중에, 프로세스 기체에 의해 공유되는 표면 및/또는 공간으로부터 양립할 수 없는 프로세스 기체를 제거하기 위해 하나 이상의 퍼지 및/또는 배기 사이클을 사용하는 것이다.
도 39에 도시된 예에서, 각각의 프로세싱 스테이션(3992)은 TEOS-베이스 실리콘 다이옥사이드 필름 및 실란-베이스 실리콘 나이트라이드 필름을 증착하도록 구성된다. 그러나, 임의의 적합한 수의 프로세스 스테이션(3992)은 프로세스 기체의 적절한 물리적 격리를 통해 필름을 증착하는 것에 "강하게(hard)" 특정될 수 있으며, 또는 임의의 적합한 수의 프로세스 스테이션(3992)은 프로세스 레서피, 프로세스 기체의 임시적인 물리적 격리, 또는 프로세스 기체의 소프트웨어-베이스 격리를 통해 필름 증착하는 것에 "약하게(soft)" 특정될 수 있음을 이해할 것이다.
예를 들어, 일부 실시예에서, 양립할 수 없는 프로세스 기체에 의한 입자 발생은 공통 프로세스 도구 상의 실란-베이스 실리콘 나이트라이드 증착 프로세스 하드웨어로부터 TEOS-베이스 실리콘 다이옥사이드 증착 프로세스 하드웨어를 분리함으로써 처리될 수 있다. 예를 들어, 도 40은 개략적으로 복수의 실리콘 복수의 실리콘 나이트라이드 프로세스 스테이션(4052) 및 복수의 실리콘 옥사이드 프로세스 스테이션(4054)을 가지는 프로세스 도구(4050)를 도시한다. 프로세스 도구(4050)는 세 개의 기체 매니폴드를 포함한다. 매니폴드 A에는 실란과 질소가 공급된다. 매니폴드 B에는 질소, 암모니아, 질소 트리플루오라이드(NF3)(이는 인-시츄 프로세스 스테이션 세정 능력을 제공하는 데 사용될 수 있음) 및 아산화질소가 공급된다. 도 40에 도시된 실시예에서, 매니폴드 A 및 B는 공통 믹싱 베젤(4056)에 부설된다. 그러나 일부 실시예에서, 믹싱 베젤(4056)이 생략될 수 있으며, 매니폴드 A 및 B가 직접 각각의 프로세싱 스테이션(4052)에 부설될 수 있다. 매니폴드 C에는 아르곤, 헬륨, 질소 및 산소가 공급되고, 이들은 전달 라인을 통해 믹싱 베젤(4058)에 전달된다. TEOS 증기(선택적 증발기(4059)에서 생성될 수 있음)가, 믹싱 포인트(4057)에서 매니폴드 C와 유동적으로 연결된 전달 라인에서 산소와 혼합되고 믹싱 베젤(4058)로 전달된다.
실리콘 다이옥사이드 및 실리콘 나이트라이드 증착 프로세스를, 독립적인 기체 전달 시스템을 가지는 독립적인 프로세싱 스테이션으로 분리함으로써, 각각의 프로세싱 스테이션에서 퍼지, 및/또는 배기 시간이 감소될 수 있고, 프로세싱 도구에 대한 전체적인 사이클 시간을 줄일 수 있다. 예를 들어, 하나의 프로세스 레서피에서, 실리콘 다이옥사이드 및 실리콘 나이트라이드 필름이 교대되는 필름 스택이 실리콘 옥사이드 프로세스 스테이션(4054) 중 어느 하나에서 실리콘 다이옥사이드 층의 증착에 의해, 이어서 기판 이동 시스템 등에 의해, 실리콘 나이트라이드 층을 증착하기 위한 실리콘 나이트라이드 프로세스 스테이션(4052)로 기판을 이동시킴으로써, 증착될 수 있다. 따라서, 교대되는 필름 스택은 프로세스 스테이션들(4052 및 4054) 사이의 적합한 회수의 기판 이동 동작에 의해 세워질 수 있다.
도 41은 개략적으로 예시적인 프로세스 도구(4160)를 도시하며, 이는 저압 환경에서 복수의 프로세싱 스테이션(4162)를 포함한다. 각각의 프로세싱 스테이션(4162)은 N2O 및 TEOS-베이스 실리콘 다이옥사이드 및 실란-베이스 실리콘 나이트라이드를 증착하도록 구성된다. 각각의 프로세스 스테이션(4162)은 각각의 프로세싱 스테이션(4162)으로 전달하기 전에, 프로세스 기체들을 블렌딩 및/또는 조절하기 위해 공통 믹싱 베젤(4164)에 의해 공급된다.
도 42는 인바운드 로드 락(4202) 및 아웃바운드 로드 락(4204)을 이용하여 또 다른 다중-스테이션 프로세싱 도구(4200)의 실시예를 도시하는 개략적인 도면이다. 로보트(4206)는, 대기 압에서, 포드(4208)를 통해 탑재된 카세트로부터 대기 포트(4210)를 거쳐 인바운드 로드 락(4202)으로 기판을 이동시키도록 구성된다. 인바운드 로드 락(4202)은 진공 소스(도시되지 않음)에 연결되고, 이에 따라 대기 포트(4210)가 닫힐 때, 인바운드 로드 락(4202)이 펌프 다운될 수 있다. 인바운드 로드 락(4202)은 또한 프로세싱 챔버(4214)와 접속된 챔버 트랜스포트 포트(4216)를 포함한다. 따라서, 챔버 트랜스포트(4216)가 개방되면, 다른 로보트(도시되지 않음)가 인바운드 로드 락(4202)로부터 프로세싱을 위한 제 1 프로세스 스테이션의 받침대로 기판을 이동시킬 수 있다.
일부 실시예에서, 인바운드 로드 락(4202)은 로드 락으로 플라스마를 공급하도록 구성된 원격 플라스마 소스(도시되지 않음)에 연결될 수 있다. 이는 인바운드 로드 락(4202) 내에 위치한 기판으로 원격 플라스마 처리를 제공할 수 있다. 추가로 또는 선택적으로, 일부 실시예에서, 인바운드 로드 락(4202)은 기판을 가열하도록 구성된 히터(도시되지 않음)를 포함할 수 있다. 도 42에 나타낸 실시예가 로드 락을 포함하나, 일부 실시예에서, 프로세스 스테이션으로의 기판의 직접 삽입이 제공될 수 있다는 것을 이해할 것이다.
나타낸 프로세싱 챔버(4214)는 도 42에 도시된 실시예에서, 1에서 4까지 번호가 매겨진, 네 개의 프로세스 스테이션을 포함한다. 일부 실시예에서, 프로세싱 챔버(4214)는 저압 환경을 유지하도록 구성될 수 있으며, 이에 따라 기판은 진공 파괴 및/또는 공기 노출을 경험하지 않고, 프로세스 스테이션 사이에 이동될 수 있다. 도 42에 도시된 각각의 프로세스 스테이션은 프로세스 스테이션 기판 홀더(스테이션 1에 대해 4218에 도시됨) 및 프로세스 기체 전달 라인 입구(inlet)를 포함한다. 일부 실시예에서, 하나 이상의 프로세스 스테이션 기판 홀더(4218)가 가열될 수 있다.
일부 실시예에서, 각각의 프로세스 스테이션은 서로 상이한 또는 여러 목적을 가질 수 있다. 예를 들어, 프로세스 스테이션이 울트라-스무드 PECVD 프로세스 모드 및 통상적인 PECVD 또는 CVD 모드 사이에 전환될 수 있다. 추가로 또는 선택적으로, 일부 실시예에서, 프로세싱 챔버(4214)는 하나 이상의 일치된 쌍의 울트라-스무드 PECVD 및 통상적인 PECVD 스테이션을 포함할 수 있다(예, 울트라-스무드 PECVD SiO2 스테이션 및 통상적인 PECVD SiN 스테이션을 포함하는 쌍). 다른 예에서, 프로세스 스테이션은 둘 이상의 필름 유형 사이에서 전환될 수 있어, 서로 상이한 필름 유형의 스택들이 동일한 프로세스 챔버 내에서 증착될 수 있다.
나타낸 프로세싱 챔버(4214)는 네 개의 스테이션을 포함하나, 본 발명에 따른 프로세싱 챔버는 임의의 수의 스테이션을 포함할 수 있다는 것을 이해할 것이다. 예를 들어, 일부 실시예에서, 프로세싱 챔버는 5 이상의 스테이션을 포함할 수 있으며, 다른 실시예에서, 프로세싱 챔버가 3 이하의 스테이션을 포함할 수 있다.
또한 도 42는 프로세싱 챔버(4214) 내에서 기판을 이동시키기 위한, 기판 취급 시스템(4290)의 실시예를 나타낸다. 일부 실시예에서, 기판 취급 시스템(4290)은 다양한 프로세스 스테이션들 사이에 및/또는 프로세스 스테이션과 로드 락 사이에 기판을 이동시키도록 구성될 수 있다. 임의의 적합한 기판 취급 시스템이 사용될 수 있다는 것을 이해할 것이다. 비-제한적인 예는 기판 회전 컨베이어(carousel) 및 기판 취급 로보트를 포함한다.
일부 실시예에서, 저압 이동 챔버는 복수의 프로세싱 챔버들 사이의 이동을 용이하게 하기 위해 다중-스테이션 프로세싱 도구에 포함될 수 있다. 예를 들어, 도 43은 개략적으로 다중-스테이션 프로세싱 도구(4300)의 다른 실시예를 도시한다. 도 43에 도시된 실시예에서, 다중-스테이션 프로세싱 도구(4300)는 복수의 프로세스 스테이션(번호 1 내지 4)를 포함하는 복수의 프로세싱 챔버(4214)를 포함한다. 프로세싱 챔버(4214)는 프로세싱 챔버(4214) 및 로드 락(4308) 사이의 기판을 이동시키도록 구성된 로보트(4306)를 포함하는 저압 트랜스포트 챔버(4304)와 접속된다. 대기 기판 이동 모듈(4310)(대기 로봇(4312) 포함)은 로드 락(4308)과 포드(4208) 사이에서 기판의 이동을 용이하게 하도록 구성된다.
다시 도 42로 돌아가, 다중-스테이션 프로세싱 도구(4200)는 또한 프로세스 조건 및 프로세싱 도구(4200)의 하드웨어 상태를 제어하기 위해 사용되는 시스템 제어기(4250)의 실시예를 포함한다. 예를 들어, 일부 실시예에서, 시스템 제어기(4250)은 필름 표면의 절대 거칠기를 제어하기 위한 울트라-스무드 PECVD 필름 증착 단계 중에 하나 이상의 프로세스 파라미터를 제어할 수 있다. 도 43에 도시되지 않았으나, 다중-스테이션 프로세싱 도구(4300)의 실시예는 도 42에 도시된 시스템 제어기(4250)의 실시예와 같은 적합한 시스템 제어기를 포함할 수 있다는 것을 이해할 것이다.
시스템 제어기(4250)는 하나 이상의 메모리 디바이스(4256), 하나 이상의 대량 저장 디바이스(4254) 및 하나 이상의 프로세서(4252)를 포함할 수 있다. 프로세서(4252)는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입/출력 연결부, 스테퍼 모터 제어기 보드 등을 포함할 수 있다.
일부 실시예에서, 시스템 제어기(4250)는 프로세싱 도구(4200)의 모든 활동을 제어한다. 시스템 제어기(4250)는 대량 저장 디바이스(4254)에 저장되고, 메모리 디바이스(4256)에 로딩되며, 프로세스(4252)에서 실행되는 컴퓨터-판독형 시스템 제어 소프트웨어(4258)를 실행한다. 시스템 제어 소프트웨어(4258)는 타이밍, 기체의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 오도, 기판 온도, 타깃 전력 레벨, RF 전력 레벨, 기판 받침대, 척 및/또는 서셉터 위치, 및 기타 프로세싱 도구(4200)에 의해 수행된 특정한 프로세스의 파라미터를 제어하기 위한 명령을 포함할 수 있다. 시스템 제어 소프트웨어(4258)는 임의의 적합한 방식으로 구성될 수 있다. 예를 들어, 다양한 프로세스 도구 컴포넌트 서브루틴 또는 제어 객체가 다양한 프로세스 도구 프로세스들을 수행하기 위한 프로세스 도구 컴포넌트의 동작을 제어하도록 기록될 수 있다. 시스템 제어 소프트웨어(4258)는 임의의 적합한 컴퓨터 판독형 프로그램 언어로 코드화될 수 있다.
일부 실시예에서, 시스템 제어 소프트웨어(4258)는 전술한 다양한 파라미터를 제어하기 위한 입/출력 제어(IOC) 시퀀싱 명령을 포함할 수 있다. 예를 들어, 울트라-스무드 PECVD 프로세스의 각 단계는 시스템 제어기(4250)에 의해 실행하기 위해 하나 이상의 명령을 포함할 수 있다. 울트라-스무드 PECVD 프로세스 단계에 대한 프로세스 조건을 설정하기 위한 명령은 대응하는 울트라-스무드 PECVD 레서피 단계에 포함될 수 있다. 일부 실시예에서, 울트라-스무드 PECVD 레서피 단계는 순차적으로 배열되어, 울트라-스무드 PECVD 프로세스 단계에 대한 모든 명령이 그 프로세스 단계와 동시에 실행된다.
대량 저장 디바이스(4254) 및/또는 메모리 디바이스(4256)에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램이 일부 실시예에서 사용될 수 있다. 이러한 목적을 위해 프로그램의 예 또는 프로그램의 섹션은 기판 위치 배정 프로그램, 프로세스 기체 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라스마 제어 프로그램을 포함한다.
기판 위치 배정 프로그램은 프로세스 스테이션 기판 홀더(4218)로 기판을 로딩하기 위한 그리고 프로세싱 도구(4200)의 일부와 기판 사이의 공간 배치를 제어하기 위해 사용된 프로세스 도구 컴포넌트에 대한 프로그램 코드를 포함할 수 있다.
프로세스 기체 제어 프로그램은 기체 조성물 및 플로우 레이트를 제어하기 위한 그리고 선택적으로 프로세스 스테이션에서 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션으로 기체를 흐르게 하기 위한 프로그램 코드를 포함할 수 있다. 압력 제어 프로그램은, 예를 들어, 프로세스 스테이션의 배기 시스템 내의 조절판 밸브, 프로세스 스테이션으로의 기체 흐름 등을 조절함으로써, 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수 있다.
히터 제어 프로그램은 기판을 가열하는데 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수 있다. 선택적으로, 히터 제어 프로그램은 기판으로 열 전달 기체(가령 헬륨)의 전달을 제어할 수 있다.
플라스마 제어 프로그램은 하나 이상의 프로세스 스테이션 내의 프로세스 전극에 인가될 RF 전력 레벨을 설정하기 위한 코드를 포함할 수 있다.
일부 실시예에서, 시스템 제어기(4250)에 의해 조정되는 파라미터는 프로세스 조건에 관한 것일 수 있다. 비-제한적 예는 프로세스 기체 조성물, 플로우 레이트, 온도, 압력, 플라스마 조건(가령 RF 바이어스 전력 레벨), 압력, 온도 등을 포함한다. 이러한 파라미터는 레서피의 형태로 사용자에게 제공될 수 있으며, 사용자 인터페이스를 사용하여 입력될 수 있다.
프로세스를 모니터링하기 위한 신호가, 시스템 제어기(4250)에 의해 다양한 프로세스 도구 센서로부터, 아날로그 및/또는 디지털 입력 연결부로 제공될 수 있다. 프로세스를 제어할 수 있는 신호는 프로세싱 도구(4200)의 아날로그 및 디지털 출력 연결부에서 출력될 수 있다. 모니터링될 수 있는 프로세스 도구 센서의 비-제한적 예는 매체 이동 제어기, 압력 센서(예, 나노미터기), 서모커플(thermocouple) 등을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘이 프로세스 조건을 유지하기 위해 이러한 센서로부터의 데이터와 함께 사용될 수 있다.
시스템 제어기(4250)는 전술한 증착 프로세스를 구현하기 위한 프로그램 명령을 제공할 수 있다. 이러한 프로그램 명령은 다양한 프로세스 파라미터(가령, DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등)를 제어할 수 있다.
전술한 다양한 하드웨어 및 방법의 실시예가 예를 들면, 반도체 디바이스, 디스플레이, LED, 광전지 패널 등의 제조 또는 제작을 위해, 리소그래피 패터닝 도구 또는 프로세스와 함께 사용될 수 있다.
전형적인 필름의 리소그래피 패터닝은 다음의 단계의 일부 또는 전부를 포함하며, 다수의 가능한 도구를 이용하여 각 단계가 실행될 수 있다: (1)스핀-온 또는 스프레이-온 도구를 사용하여, 워크피스(즉, 기판) 상에 포토레지스트의 도포; (2) 핫 플레이트 또는 노 또는 기타 적합한 경화 도구를 사용하여 포토레지스트 경화; (3) 웨이퍼 스테퍼와 같은 도구를 사용하여 가시광 또는 UV 또는 x-선에 포토레지스트 노출; (4) 습식 벤치 또는 스프레이 현상기와 같은 도구를 사용하여 레지스트를 선택적으로 제거하고 패턴화하기 위해 레지스트를 현상; (5) 건식 또는 플라스마-보조 식각 도구를 사용하여 하부 필름 또는 워크피스로 레지스트 패턴을 운반; 및 (6) RF 또는 마이크로웨이브 플라스마 레지스트 스트리퍼(stripper)와 같은 도구를 사용하여 레지스트 제거. 일부 실시예에서, 부식형 하드 마스크 층(가령 비정형 탄소 층) 및 다른 적합한 하드 마스크 층(가령 반사방지 층)이 포토레지스트 층 도포 전에 증착될 수 있다.
내용 중에 설명된 구성 및/또는 접근법은 본질적으로 예시를 위한 것이며, 이러한 특정한 실시예 또는 예는 제한적인 의미로 구성된 것이 아니라는 것을 이해할 것이다. 다양한 변경이 가능하기 때문이다. 내용 중에 설명된 특정한 루틴 또는 방법은 임의의 수의 프로세싱 방법 중 하나 이상을 나타낼 수 있다. 이와 같이, 도시된 다양한 동작은 도시된 시퀀스로, 다른 시퀀스로, 병렬로 또는 일부 케이스가 생략되어 수행될 수 있다. 마찬가지로 전술한 프로세스의 순서가 변경될 수 있다.
본 발명의 대상은 다양한 프로세스, 시스템 및 구성의 모든 신규한 그리고 비 자명한 조합 및 하위 조합, 내용 중에 설명된 기타 특징, 기능, 동작, 및/또는 속성을 포함하며, 마찬가지로 이들의 임의의 그리고 모든 등가물을 포함한다.

Claims (21)

  1. 플라스마를 이용하여 프로세스 스테이션에서 상이한 조성물로 구성된 필름들을 포함하는 필름 스택을 기판 상에, 인-시츄 증착하는 방법에 있어서,
    상기 방법은,
    고주파수 (HF) 및 저주파수 (LF) 플라스마 생성을 사용하여, 상기 기판 상에 제 1 필름 조성물을 가지는 필름의 제 1 층을 증착하는, 제 1 플라스마-활성화 필름 증착 단계;
    상기 제 1 플라스마-활성화 필름 증착 단계 후 상기 프로세스 스테이션을 퍼징하는 단계;
    필름의 상기 제 1 층 상에 제 2 필름 조성물을 가지는 필름의 제 2 층을 증착하는, 제 2 플라스마-활성화 증착 단계로서, 상기 제 2 필름 조성물은 상기 제 1 필름 조성물과 상이한 원소들의 세트를 가지는, 상기 제 2 플라스마-활성화 증착 단계; 그리고
    상기 제 1 플라스마-활성화 필름 증착 단계의 제 1 플라스마 조성물에서 상기 제 2 플라스마-활성화 필름 증착 단계의 제 2 플라스마 조성물로 상기 플라스마 조성물을 전환하면서, 상기 퍼징하는 단계 동안 상기 플라스마를 유지하는 단계로서, 상기 제 2 플라스마 조성물은 상기 제 1 플라스마 조성물과 상이한, 상기 플라스마를 유지하는 단계를 포함하는, 인-시츄 증착 방법.
  2. 제 1 항에 있어서,
    상기 플라스마를 유지하는 단계는 일정한 플라스마 볼륨을 유지하는 단계를 포함하는, 인-시츄 증착 방법.
  3. 제 1 항에 있어서,
    상기 플라스마를 유지하는 단계는 상기 플라스마로 일정한 전달 전력을 유지하는 단계를 포함하는, 인-시츄 증착 방법.
  4. 제 1 항에 있어서,
    상기 기판에 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 광선에 노출하는 단계;
    패턴을 이용하여 상기 포토레지스트를 패턴화하고, 상기 포토레지스트로부터 상기 기판으로 상기 패턴을 전사하는 단계; 그리고
    상기 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 인-시츄 증착 방법.
  5. 제 1 항에 있어서,
    상기 제 1 필름 층은 실리콘 옥사이드 필름 및 실리콘 옥시나이트라이드 필름을 포함하는, 인-시츄 증착 방법.
  6. 제 5 항에 있어서,
    상기 제 2 필름 층은 실리콘 나이트라이드 필름, 다결정 실리콘 필름, 도핑된 다결정 실리콘 필름, 및 비정형 실리콘 필름 중 하나를 포함하는, 인-시츄 증착 방법.
  7. 제 1 항에 있어서,
    상기 제 1 플라스마-활성화 필름 증착 단계 동안 사용된 보다 고전력으로부터 상기 제 1 플라스마-활성화 필름 증착 단계의 상기 제 1 플라스마 조성물로부터 상기 제 2 플라스마-활성화 필름 증착 단계의 상기 제 2 플라스마 조성물로 상기 플라스마 조성물을 전환하면서, 상기 퍼징하는 단계 동안 사용된 보다 저전력 또는 0 전력으로 저주파수 플라스마 소스 전력을 감소시키는 단계를 포함하는, 인-시츄 증착 방법.
  8. 제 1 항에 있어서,
    저주파수 플라스마 전력은 상기 제 1 플라스마-활성화 필름 증착 단계의 상기 제 1 플라스마 조성물로부터 상기 제 2 플라스마-활성화 필름 증착 단계의 상기 제 2 플라스마 조성물로 상기 플라스마 조성물을 전환하면서, 상기 퍼징하는 단계 동안 턴오프되는, 인-시츄 증착 방법.
  9. 제 1 항에 있어서,
    상기 프로세스 스테이션의 반응물 전달 라인의 공유 부분들에서 양립할 수 없는 반응 기체를 퍼징하는 단계를 더 포함하는, 인-시츄 증착 방법.
  10. 필름 증착 단계들 사이에 진공 파괴에 기판을 노출하지 않고, 상기 기판 상에 복수의 필름 층들을 증착하도록 구성된 플라스마-강화 화학적 기상 증착 장치에 있어서,
    상기 장치는,
    (a) 프로세스 스테이션;
    (b) 하나 이상의 반응물 공급 장치들로서, 상기 하나 이상의 반응물 공급 장치들은 상기 프로세스 스테이션에 유동적으로 연결되고, 제 1 필름 증착 단계 동안 상기 프로세스 스테이션으로 제 1 반응 기체 혼합물, 상기 프로세스 스테이션의 퍼징 동안 퍼지 기체, 그리고 제 2 필름 증착 단계 동안 제 2 반응 기체 혼합물을 공급하도록 구성된, 상기 하나 이상의 반응물 공급 장치;
    (c) 상기 프로세스 스테이션에 대한 플라스마를 생성하도록 구성된 플라스마 소스로서, 상기 플라스마 소스는 저주파수 플라스마 소스 및 고주파수 플라스마 소스 중 적어도 하나를 포함하는, 상기 플라스마 소스; 그리고
    (d) 제어기를 포함하고,
    상기 제어기는,
    (i) 상기 저주파수 플라스마 소스 및 상기 고주파수 플라스마 소스 중 적어도 하나를 사용하여 상기 플라스마의 생성을 유발하는 동안, 상기 제 1 필름 증착 단계 동안 상기 제 1 반응 기체 혼합물을 사용하여 반응물 라디칼들의 생성 및 제 1 재료의 증착을 유발하는 프로그램 명령,
    (ii) 상기 제 1 필름 증착 단계 후에 상기 퍼지 기체로 상기 프로세스 스테이션의 퍼징을 유발하는 프로그램 명령, 및
    (iii) 상기 퍼징 동안 그리고 상기 제 1 필름 증착 단계 동안 공급된 상기 제 1 반응 기체 혼합물로부터 상기 제 2 필름 증착 단계 동안 공급된 제 2 상이한 반응 기체 혼합물로 전환하는 동안 상기 플라스마가 유지되게 하는 프로그램 명령을 갖고, 상기 제 2 필름 증착 단계는 상기 제 1 재료 상에 제 2 재료를 증착하고, 상기 제 2 재료는 상기 제 1 재료와 상이한 화학 원소들을 갖고, 상기 제 1 반응 기체 혼합물은 상기 제 1 재료에 대한 화학 원소를 공급하고, 그리고 상기 제 2 상이한 반응 기체 혼합물은 상기 제 2 필름 증착 단계에서 증착된 상기 제 2 재료에 대한 화학 원소들을 공급하는, 플라스마-강화 화학적 기상 증착 장치.
  11. 제 10 항에 있어서,
    상기 프로그램 명령들은,
    (iv) 저주파수 플라스마 소스 전력으로 하여금 상기 제 1 필름 증착 단계 동안 사용된 보다 고전력으로부터 상기 전환하는 동안 사용된 보다 저전력 또는 0 전력으로 감소되게 하는 프로그램 명령들을 더 포함하는, 플라스마-강화 화학적 기상 증착 장치.
  12. 제 10 항에 있어서,
    상기 프로그램 명령들은 프로세스 스테이션 압력, 반응 기체 농도, 비활성 기체 농도, 플라스마 소스 전력, 플라스마 소스 주파수 및 플라스마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 상기 플라스마를 유지하게 하는 프로그램 명령들을 더 포함하는, 플라스마-강화 화학적 기상 증착 장치.
  13. 제 10 항에 있어서,
    상기 프로그램 명령들은 전환 동안 상기 플라스마 소스로 하여금 일정한 전력으로 동작하게 하는 프로그램 명령들을 더 포함하는, 플라스마-강화 화학적 기상 증착 장치.
  14. 제 10 항에 있어서,
    상기 프로그램 명령들은 고주파수 플라스마 소스 전력으로 하여금 상기 제 1 필름 증착 단계 동안 사용된 보다 고전력으로부터 상기 전환 동안 사용된 보다 저전력으로 감소하게 하는 프로그램 명령들을 더 포함하는, 플라스마-강화 화학적 기상 증착 장치.
  15. 제 10 항에 있어서,
    샤워헤드 및 복수의 반응물 공급 장치를 더 포함하고, 상기 샤워헤드는 복수의 분리된 기체 플레넘들을 포함하며, 기체 플레넘 각각은 둘 이상의 양립할 수 없는 프로세스 기체들이 상기 샤워헤드에서 서로 분리되도록 상기 복수의 반응물 공급 장치들의 각각의 반응물 공급 장치에 연결되는, 플라스마-강화 화학적 기상 증착 장치.
  16. 제 10 항에 있어서,
    상기 프로세스 스테이션은 상기 장치 내에 포함된 복수의 프로세스 스테이션들 중 하나이고, 프로세스 스테이션 각각은 상기 하나 이상의 반응물 공급 장치들을 통해 공유된 믹싱 볼륨에 유동적으로 연결되며, 상기 공유된 믹싱 볼륨은 복수의 반응 기체 소스들로부터 특정한 반응 기체 혼합물을 생성하도록 구성되는, 플라스마-강화 화학적 기상 증착 장치.
  17. 제 10 항에 있어서,
    또 다른 반응물 공급 장치에 유동적으로 연결된 또 다른 프로세스 스테이션을 더 포함하고,
    상기 반응물 공급 장치들은 서로 유동적으로 격리되고, 프로세스 스테이션 각각은 반응물 공급 장치들 각각을 통해 별개의 믹싱 볼륨에 유동적으로 연결되고, 믹싱 볼륨 각각은 하나 이상의 반응 기체 소스들에 유동적으로 연결되고, 믹싱 볼륨 각각의 상기 하나 이상의 반응 기체 소스들은 서로 분리되는, 플라스마-강화 화학적 기상 증착 장치.
  18. 제 10 항에 있어서,
    상기 프로그램 명령들은 저주파수 플라스마 소스 전력이 고주파수 플라스마 소스 전력의 상기 제 1 필름 증착 단계로부터 상기 전환으로의 감소에 비례하여 보다 많은 양으로 감소되도록, 상기 저주파수 플라스마 소스 전력으로 하여금 상기 제 1 필름 증착 단계 동안 사용된 보다 고전력으로부터 상기 전환 동안 사용된 보다 저전력 또는 0 전력으로 감소되게 하는 프로그램 명령들을 포함하는, 플라스마-강화 화학적 기상 증착 장치.
  19. 제 10 항에 있어서,
    상기 프로그램 명령들은 상기 전환 동안 저주파수 플라스마 소스 전력으로 하여금 턴오프되게하는 프로그램 명령들을 포함하는, 플라스마-강화 화학적 기상 증착 장치.
  20. 제 10 항에 기재된 플라스마-강화 화학적 기상 증착 장치 및 스테퍼 툴을 포함하는, 기판 상에 복수의 필름 층들을 증착하기 위한 시스템.
  21. 제 10 항에 있어서,
    상기 플라스마 소스는 상기 저주파수 플라스마 소스 및 상기 고주파수 플라스마 소스를 포함하고, 상기 (i)의 프로그램 명령은 상기 저주파수 플라스마 소스 및 상기 고주파수 플라스마 소스를 사용하여 상기 플라스마의 생성을 유발하는 프로그램 명령들을 포함하는, 플라스마-강화 화학적 기상 증착 장치.

KR1020180059081A 2010-03-25 2018-05-24 필름 스택의 인-시츄 증착 KR102045674B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020190143172A KR102281521B1 (ko) 2010-03-25 2019-11-11 필름 스택의 인-시츄 증착

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US31765610P 2010-03-25 2010-03-25
US61/317,656 2010-03-25
US38246810P 2010-09-13 2010-09-13
US38246510P 2010-09-13 2010-09-13
US61/382,465 2010-09-13
US61/382,468 2010-09-13
US39470710P 2010-10-19 2010-10-19
US61/394,707 2010-10-19
US12/970,846 US8741394B2 (en) 2010-03-25 2010-12-16 In-situ deposition of film stacks
US12/970,846 2010-12-16

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020110026238A Division KR101863364B1 (ko) 2010-03-25 2011-03-24 필름 스택의 인-시츄 증착

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190143172A Division KR102281521B1 (ko) 2010-03-25 2019-11-11 필름 스택의 인-시츄 증착

Publications (2)

Publication Number Publication Date
KR20180062442A KR20180062442A (ko) 2018-06-08
KR102045674B1 true KR102045674B1 (ko) 2019-12-02

Family

ID=44656808

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020110026238A KR101863364B1 (ko) 2010-03-25 2011-03-24 필름 스택의 인-시츄 증착
KR1020180059081A KR102045674B1 (ko) 2010-03-25 2018-05-24 필름 스택의 인-시츄 증착
KR1020190143172A KR102281521B1 (ko) 2010-03-25 2019-11-11 필름 스택의 인-시츄 증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020110026238A KR101863364B1 (ko) 2010-03-25 2011-03-24 필름 스택의 인-시츄 증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020190143172A KR102281521B1 (ko) 2010-03-25 2019-11-11 필름 스택의 인-시츄 증착

Country Status (3)

Country Link
US (4) US8741394B2 (ko)
KR (3) KR101863364B1 (ko)
SG (1) SG174716A1 (ko)

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8435608B1 (en) * 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8726838B2 (en) * 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
MY174446A (en) * 2010-06-25 2020-04-19 Petroliam Nasional Berhad Petronas A method and system for validating energy measurement in a high pressure gas distribution network
US8852693B2 (en) 2011-05-19 2014-10-07 Liquipel Ip Llc Coated electronic devices and associated methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103137458B (zh) * 2011-12-05 2016-03-30 中芯国际集成电路制造(上海)有限公司 高介电层金属栅的制造方法
US20130161629A1 (en) * 2011-12-27 2013-06-27 Applied Materials, Inc. Zero shrinkage smooth interface oxy-nitride and oxy-amorphous-silicon stacks for 3d memory vertical gate application
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
KR102025441B1 (ko) 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
US9465049B2 (en) 2012-04-13 2016-10-11 James B. Colvin Apparatus and method for electronic sample preparation
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US8925588B2 (en) * 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9153486B2 (en) * 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
CN105934819B (zh) 2014-01-21 2019-04-26 应用材料公司 用于3d闪存应用的电介质-金属堆叠
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9869024B2 (en) * 2014-07-17 2018-01-16 Applied Materials, Inc. Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9953829B2 (en) * 2015-08-27 2018-04-24 Toshiba Memory Corporation Image processing apparatus with improved slide printout based on layout data
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121708B2 (en) * 2015-11-17 2018-11-06 Lam Research Corporation Systems and methods for detection of plasma instability by optical diagnosis
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN106128949A (zh) * 2016-07-04 2016-11-16 武汉新芯集成电路制造有限公司 一种消除三维nand形成过程中晶圆表面缺陷的方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6787813B2 (ja) * 2017-02-16 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN117238918A (zh) * 2017-07-06 2023-12-15 应用材料公司 形成多个沉积半导体层的堆叠结构的方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN112771645A (zh) 2018-07-31 2021-05-07 应用材料公司 用于3d nand的氧化物/氮化物(on)堆叠覆盖改良
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
GB201813467D0 (en) * 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US20210320004A1 (en) * 2018-11-08 2021-10-14 Lam Research Corporation Nitride films with improved etch selectivity for 3d nand integration
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
WO2020123987A1 (en) 2018-12-14 2020-06-18 Lam Research Corporation Atomic layer deposition on 3d nand structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
WO2020198910A1 (en) * 2019-03-29 2020-10-08 Texas Instruments Incorporated Trench shield isolation layer
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
US11578409B2 (en) * 2019-06-08 2023-02-14 Applied Materials, Inc. Low deposition rates for flowable PECVD
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202203301A (zh) * 2020-06-16 2022-01-16 美商應用材料股份有限公司 利用電漿脈衝之薄層沉積
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023192104A1 (en) * 2022-03-30 2023-10-05 Applied Materials, Inc. Methods of forming cover lens structures for display devices, and related apparatus and devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044191A (ja) 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US20040146661A1 (en) 2003-01-23 2004-07-29 Applied Materials, Inc. Hydrogen assisted hdp-cvd deposition process for aggressive gap-fill technology
JP2007165883A (ja) 2005-12-16 2007-06-28 Asm Japan Kk 有機シリコン酸化膜及び多層レジスト構造を形成するための方法

Family Cites Families (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4837185A (en) * 1988-10-26 1989-06-06 Intel Corporation Pulsed dual radio frequency CVD process
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
JP3164956B2 (ja) * 1993-01-28 2001-05-14 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でアモルファスシリコン薄膜を堆積する方法
JPH06240459A (ja) 1993-02-16 1994-08-30 G T C:Kk 酸化ケイ素薄膜の形成法
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
EP0661731B1 (en) 1993-12-28 2000-05-31 Applied Materials, Inc. A single chamber CVD process for thin film transistors
WO1997022136A1 (de) 1995-12-08 1997-06-19 Balzers Aktiengesellschaft Hf-plasmabehandlungskammer bzw. pecvd-beschichtungskammer, deren verwendungen und verfahren zur beschichtung von speicherplatten
JP3264419B2 (ja) 1995-12-26 2002-03-11 日本ビクター株式会社 記録ディスク及び成形用金型装置
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6228781B1 (en) 1997-04-02 2001-05-08 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6083355A (en) * 1997-07-14 2000-07-04 The University Of Tennessee Research Corporation Electrodes for plasma treater systems
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6235650B1 (en) * 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US7582575B2 (en) * 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6066550A (en) * 1998-06-05 2000-05-23 United Integrated Circuits Corp. Method of improving selectivity between silicon nitride and silicon oxide
KR100296137B1 (ko) * 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
KR100299594B1 (ko) * 1998-07-13 2001-09-22 윤종용 디램 장치의 제조 방법
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP2000208422A (ja) 1999-01-08 2000-07-28 Sony Corp 積層膜形成方法及び薄膜製造装置
US6383898B1 (en) * 1999-05-28 2002-05-07 Sharp Kabushiki Kaisha Method for manufacturing photoelectric conversion device
US6199506B1 (en) * 1999-06-30 2001-03-13 Novellus Systems, Inc. Radio frequency supply circuit for in situ cleaning of plasma-enhanced chemical vapor deposition chamber using NF3 or NF3/He mixture
JP4578651B2 (ja) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
US6303518B1 (en) * 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6541400B1 (en) * 2000-02-09 2003-04-01 Novellus Systems, Inc. Process for CVD deposition of fluorinated silicon glass layer on semiconductor wafer
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6580170B2 (en) * 2000-06-22 2003-06-17 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7642202B1 (en) * 2001-06-28 2010-01-05 Novellus Systems, Inc. Methods of forming moisture barrier for low k film integration with anti-reflective layers
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
DE10143235A1 (de) 2001-09-04 2003-03-27 Infineon Technologies Ag Halbleiterspeicherelement, Halbleiterspeicherelement-Anordnung, Verfahren zum Herstellen eines Halbleiterspeicherelementes und Verfahren zum Betreiben eines Halbleiterspeicherelementes
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6844612B1 (en) * 2002-03-12 2005-01-18 Novellus Systems, Inc. Low dielectric constant fluorine-doped silica glass film for use in integrated circuit chips and method of forming the same
US6777349B2 (en) * 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6818533B2 (en) * 2002-05-09 2004-11-16 Taiwan Semiconductor Manufacturing Co., Ltd Epitaxial plasma enhanced chemical vapor deposition (PECVD) method providing epitaxial layer with attenuated defects
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US6753250B1 (en) * 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
KR20040008527A (ko) * 2002-07-18 2004-01-31 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US7399500B2 (en) * 2002-08-07 2008-07-15 Schott Ag Rapid process for the production of multilayer barrier layers
DE10240176A1 (de) 2002-08-30 2004-04-29 Advanced Micro Devices, Inc., Sunnyvale Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6936528B2 (en) * 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
US6727134B1 (en) 2002-11-05 2004-04-27 Taiwan Semiconductor Manufacturing Company Method of forming a nitride gate dielectric layer for advanced CMOS devices
US20040091717A1 (en) * 2002-11-13 2004-05-13 Novellus Systems, Inc. Nitrogen-free fluorine-doped silicate glass
US6811831B1 (en) * 2002-11-20 2004-11-02 Silicon Magnetic Systems Method for depositing silicon nitride
US7296532B2 (en) * 2002-12-18 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bypass gas feed system and method to improve reactant gas flow and film deposition
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
DE10335099B4 (de) * 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US7202166B2 (en) * 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
US7371436B2 (en) * 2003-08-21 2008-05-13 Tokyo Electron Limited Method and apparatus for depositing materials with tunable optical properties and etching characteristics
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7608300B2 (en) 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US6927835B2 (en) * 2003-09-12 2005-08-09 Asml Netherlands B.V. Adaptive thermal control of lithographic chemical processes
US7420275B1 (en) * 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US6955926B2 (en) 2004-02-25 2005-10-18 International Business Machines Corporation Method of fabricating data tracks for use in a magnetic shift register memory device
WO2005084231A2 (en) 2004-02-27 2005-09-15 Asm Aemrica, Inc. Germanium deposition
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7125758B2 (en) * 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7144813B2 (en) * 2004-11-12 2006-12-05 Semitool, Inc. Method and apparatus for thermally processing microelectronic workpieces
US7041543B1 (en) * 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US20060049139A1 (en) 2004-08-26 2006-03-09 Tokyo Electron Limited Method and system for etching a gate stack
EP1786030A4 (en) * 2004-08-31 2011-06-29 Tokyo Electron Ltd SILICON OXIDE FILM PRODUCTION METHOD, METHOD FOR PRODUCING SEMICONDUCTOR COMPONENTS AND COMPUTER MEMORY MEDIUM
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7727881B1 (en) * 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7727880B1 (en) * 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7396759B1 (en) * 2004-11-03 2008-07-08 Novellus Systems, Inc. Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7381451B1 (en) * 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7371461B2 (en) * 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US7557027B2 (en) 2005-01-24 2009-07-07 Interuniversitair Microelektronica Centrum Method of producing microcystalline silicon germanium suitable for micromachining
US20060172545A1 (en) * 2005-02-02 2006-08-03 Texas Instruments, Inc. Purge process conducted in the presence of a purge plasma
JP4489618B2 (ja) * 2005-03-14 2010-06-23 株式会社ルネサステクノロジ 半導体装置の製造方法
US20060211259A1 (en) * 2005-03-21 2006-09-21 Maes Jan W Silicon oxide cap over high dielectric constant films
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US7214630B1 (en) * 2005-05-06 2007-05-08 Novellus Systems, Inc. PMOS transistor with compressive dielectric capping layer
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
CN101189708A (zh) 2005-05-31 2008-05-28 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US7291539B2 (en) 2005-06-01 2007-11-06 International Business Machines Corporation Amorphization/templated recrystallization method for hybrid orientation substrates
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US20080050883A1 (en) 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20070042131A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
US7635651B2 (en) * 2005-08-23 2009-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of smoothening dielectric layer
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20070059942A1 (en) * 2005-09-09 2007-03-15 Chi Lin Technology Co., Ltd. Plasma cvd process for manufacturing multilayer anti-reflection coatings
WO2007044514A2 (en) 2005-10-07 2007-04-19 Lee, Michael, J. Method for improving refractive index control in pecvd deposited a-siny films
EP1801067A3 (en) 2005-12-21 2012-05-09 Imec Method for forming silicon germanium layers at low temperatures for controlling stress gradient
US8110493B1 (en) * 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) * 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JPWO2007097024A1 (ja) * 2006-02-27 2009-07-09 株式会社ユーテック 気化器、半導体製造装置及び半導体製造方法
US20070202640A1 (en) * 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7560321B2 (en) * 2006-03-17 2009-07-14 Advanced Lcd Technologies Development Center Co., Ltd. Crystallization method, thin film transistor manufacturing method, thin film transistor, display, and semiconductor device
KR100777151B1 (ko) * 2006-03-21 2007-11-16 주식회사 디엠에스 하이브리드형 플라즈마 반응장치
US7923376B1 (en) * 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7510976B2 (en) * 2006-04-21 2009-03-31 Applied Materials, Inc. Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity
US20070264842A1 (en) 2006-05-12 2007-11-15 Samsung Electronics Co., Ltd. Insulation film deposition method for a semiconductor device
US8323753B2 (en) * 2006-05-30 2012-12-04 Fujifilm Manufacturing Europe B.V. Method for deposition using pulsed atmospheric pressure glow discharge
US7981810B1 (en) * 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7465681B2 (en) 2006-08-25 2008-12-16 Corning Incorporated Method for producing smooth, dense optical films
CN101356625B (zh) * 2006-10-03 2012-05-23 松下电器产业株式会社 等离子体掺杂方法以及装置
JP2008124111A (ja) * 2006-11-09 2008-05-29 Nissin Electric Co Ltd プラズマcvd法によるシリコン系薄膜の形成方法
US7638443B2 (en) 2006-11-14 2009-12-29 Asm Japan K.K. Method of forming ultra-thin SiN film by plasma CVD
KR20080044657A (ko) * 2006-11-17 2008-05-21 삼성전자주식회사 플라즈마 식각장치
KR100818285B1 (ko) 2006-11-17 2008-04-01 삼성전자주식회사 단결정 실리콘 로드 제조방법
US7704894B1 (en) * 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20080145536A1 (en) 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8476125B2 (en) 2006-12-15 2013-07-02 University Of South Carolina Fabrication technique for high frequency, high power group III nitride electronic devices
KR20080057562A (ko) 2006-12-20 2008-06-25 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7988875B2 (en) 2007-02-08 2011-08-02 Applied Materials, Inc. Differential etch rate control of layers deposited by chemical vapor deposition
US7981777B1 (en) * 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
JP5277552B2 (ja) * 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US20090159119A1 (en) * 2007-03-28 2009-06-25 Basol Bulent M Technique and apparatus for manufacturing flexible and moisture resistive photovoltaic modules
US8173537B1 (en) * 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US7629256B2 (en) 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US20080299747A1 (en) 2007-05-30 2008-12-04 Asm Japan K.K. Method for forming amorphouse silicon film by plasma cvd
US8114484B2 (en) * 2007-07-19 2012-02-14 Applied Materials, Inc. Plasma enhanced chemical vapor deposition technology for large-size processing
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
DE102008010041A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
JP5248995B2 (ja) * 2007-11-30 2013-07-31 株式会社半導体エネルギー研究所 光電変換装置の製造方法
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
WO2009098548A1 (en) 2008-02-08 2009-08-13 Freescale Semiconductor, Inc. Intermediate product for a multichannel fet and process for obtaining an intermediate product
JP5390230B2 (ja) 2008-03-31 2014-01-15 日本碍子株式会社 シリコン系薄膜成膜装置及びその方法
US8124522B1 (en) * 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20090297404A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Plasma reactor with high speed plasma impedance tuning by modulation of source power or bias power
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) * 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7824935B2 (en) * 2008-07-02 2010-11-02 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8013389B2 (en) * 2008-11-06 2011-09-06 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory devices having sub-divided active bars and methods of manufacturing such devices
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8017527B1 (en) * 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5395491B2 (ja) * 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR20110009762A (ko) * 2009-07-23 2011-01-31 삼성전자주식회사 트랜지스터 및 그 제조 방법
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI408372B (zh) 2009-08-14 2013-09-11 Univ Chung Hua 應用無線射頻識別標籤技術之熱氣泡式加速儀及其製備方法
US8628618B2 (en) * 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
WO2011072143A2 (en) * 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US20110143019A1 (en) 2009-12-14 2011-06-16 Amprius, Inc. Apparatus for Deposition on Two Sides of the Web
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8993435B2 (en) * 2010-03-15 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu barriers in damascene interconnect structures
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US20120142172A1 (en) * 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8076250B1 (en) 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
US8318575B2 (en) 2011-02-07 2012-11-27 Infineon Technologies Ag Compressive polycrystalline silicon film and method of manufacture thereof
DE102011004581A1 (de) * 2011-02-23 2012-08-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Technik zur Reduzierung der plasmahervorgerufenen Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika durch modifizierten HF-Leistungshochlauf
WO2013123143A1 (en) 2012-02-14 2013-08-22 Novellus Systems, Inc. Silicon nitride films for semiconductor device applications
KR102025441B1 (ko) * 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8925588B2 (en) 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
US9875881B2 (en) * 2013-02-20 2018-01-23 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US9783884B2 (en) * 2013-03-14 2017-10-10 Varian Semiconductor Equipment Associates, Inc. Method for implementing low dose implant in a plasma system
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
JP5947435B1 (ja) * 2015-08-27 2016-07-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044191A (ja) 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US20040146661A1 (en) 2003-01-23 2004-07-29 Applied Materials, Inc. Hydrogen assisted hdp-cvd deposition process for aggressive gap-fill technology
JP2007165883A (ja) 2005-12-16 2007-06-28 Asm Japan Kk 有機シリコン酸化膜及び多層レジスト構造を形成するための方法

Also Published As

Publication number Publication date
US20110236594A1 (en) 2011-09-29
KR20180062442A (ko) 2018-06-08
US20150013607A1 (en) 2015-01-15
US11746420B2 (en) 2023-09-05
KR101863364B1 (ko) 2018-07-04
SG174716A1 (en) 2011-10-28
US20230366094A1 (en) 2023-11-16
KR20190132304A (ko) 2019-11-27
US20190376186A1 (en) 2019-12-12
US8741394B2 (en) 2014-06-03
KR102281521B1 (ko) 2021-07-26
US10214816B2 (en) 2019-02-26
KR20110107758A (ko) 2011-10-04

Similar Documents

Publication Publication Date Title
KR102045674B1 (ko) 필름 스택의 인-시츄 증착
KR102377013B1 (ko) 갭충진을 위한 컨포멀 막 증착
KR102330184B1 (ko) 평탄한 실리콘막들의 pecvd 성막
US8709551B2 (en) Smooth silicon-containing films
US10629435B2 (en) Doped ALD films for semiconductor patterning applications
KR102430939B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
US8524612B2 (en) Plasma-activated deposition of conformal films
KR101975071B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
KR20170125732A (ko) Pecvd를 사용하여 컨포멀하고 저 습식 에칭 레이트의 캡슐화 층을 증착하는 방법
KR20160061890A (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR20140071402A (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
US20140357064A1 (en) Tensile stressed doped amorphous silicon

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant