KR102430939B1 - 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성 - Google Patents

반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성 Download PDF

Info

Publication number
KR102430939B1
KR102430939B1 KR1020197011945A KR20197011945A KR102430939B1 KR 102430939 B1 KR102430939 B1 KR 102430939B1 KR 1020197011945 A KR1020197011945 A KR 1020197011945A KR 20197011945 A KR20197011945 A KR 20197011945A KR 102430939 B1 KR102430939 B1 KR 102430939B1
Authority
KR
South Korea
Prior art keywords
silicon oxide
plasma
oxide layer
semiconductor substrate
process chamber
Prior art date
Application number
KR1020197011945A
Other languages
English (en)
Other versions
KR20190049893A (ko
Inventor
케빈 엠. 맥라우글린
아밋 파르캬
카푸 시리쉬 레디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020227027073A priority Critical patent/KR102570744B1/ko
Publication of KR20190049893A publication Critical patent/KR20190049893A/ko
Application granted granted Critical
Publication of KR102430939B1 publication Critical patent/KR102430939B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

실리콘 옥사이드 층이 약 200 ℃보다 낮은 온도에서 PECVD에 의해 반도체 기판 상에 증착되고 약 80 MPa보다 낮은 절대 값으로 증착된 층의 응력을 감소시키기 위해 헬륨 플라즈마로 처리된다. 플라즈마 처리는 실리콘 옥사이드 층 내 수소 함량을 감소시키고, 또한 고 밀도 및 저 거칠기를 가질 수 있는 저 응력 막들을 야기한다. 일부 실시예들에서, 이 막은 250 ℃보다 높은 온도를 견딜 수 없는 유기 재료 또는 스핀-온 유전체의 층들과 같은 하나 이상의 온도-민감 층들을 포함하는 반도체 기판 상에 증착된다. 일부 실시예들에서, 상기 실리콘 옥사이드 막은 약 100 내지 200 Å의 두께로 증착되고, 반도체 기판 상의 다른 층들의 에칭 동안 하드마스크 층으로서 사용된다.

Description

반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
관련 출원들에 대한 교차-참조
본 출원은, McLaughlin 등이 발명자들로서 명명된 2016년 9월 29일 출원된 미국 특허 출원 번호 제 15/280,049 호의 이점 및 우선권을 주장하고, 이는 전체가 참조로서 본 명세서에 인용된다.
본 발명은 반도체 기판 상에 재료의 층들을 형성하는 방법들에 관한 것이다. 구체적으로, 본 발명은 PECVD (plasma-enhanced chemical vapor deposition) 에 의해 실리콘 옥사이드 층들을 형성하는 방법들에 관한 것이다.
반도체 디바이스들의 제조는 통상적으로 상이한 재료들의 몇몇 층들의 증착 및 패터닝을 수반한다. 몇몇 층들이 스택으로 증착될 때, 증착된 층들의 응력 특성들은, 매우 응집된 재료들은 스택 내 층들의 정렬의 붕괴 (disruption), 버클링 (buckling), 디라미네이션 (delamination), 및 궁극적으로 패터닝 부정확성, 및 반도체 디바이스 고장 (failure) 을 야기할 수 있기 때문에 특히 중요해진다.
대부분의 막 증착은 외인성 인자들 (예를 들어, 열 팽창 계수 미스매칭) 및/또는 내인성 인자들 (예를 들어, 격자 내 디펙트들 및/또는 변위들 (dislocations) 모두로 인해, 증착된 막 내 잔류 응력의 도입과 연관된다. 응력은 예를 들어, 기판의 특성들, 증착될 막의 타입, 막의 속성들, 막의 증착 방식, 등에 따라, 압축성이거나 인장성일 수 있다. 증착된 막 내 압축성 응력은 막의 블리스터링 (blistering) 또는 버클링을 야기할 수 있는 한편, 인장성 응력은 막 크랙킹 (cracking) 을 야기할 수도 있다. 부가적으로, 이들 응력들에 의해 유도된 웨이퍼 만곡 (distortion) 은 다른 디바이스 층들에서 신뢰성 이슈를 유발할 수 있고, 일반적으로, 전기적 퍼포먼스 및 광학적 퍼포먼스, 뿐만 아니라 반도체 디바이스의 기계적 무결성에 부정적인 영향을 준다. 따라서, 반도체 디바이스 제조시, 막 응력은 디바이스 층 집적 전략의 주요 관심사이다.
실리콘 옥사이드가 유전체 층 재료로서 반도체 디바이스 제조시 광범위하게 사용되고, 종종 PECVD (plasma-enhanced chemical vapor deposition processes) 에 의해 증착된다. 많은 집적 스킴들이 고온 PECVD를 견딜 수 없는 온도-민감 재료들 (예를 들어, 유기 유전체들) 의 층들을 갖는 기판들을 수반하기 때문에, 현재 저온에서 PECVD에 의해 실리콘 옥사이드를 증착하기 위한 수요가 있다. 그러나, 종래의 저온 PECVD는 수용할 수 없게 높은 응력 값들을 갖는 실리콘 옥사이드 막들을 제공한다. 이에 더하여, 저온에서 증착된 실리콘 옥사이드 PECVD 막들은 고 수소 함량 및 상대적으로 저 밀도를 갖고, 이는 이들을 하드마스크들로서 사용하기 보다 덜 매력적이게 한다는 것을 알게 되었다.
플라즈마 처리, 보다 구체적으로, 저온 PECVD에 의해 획득된 실리콘 옥사이드 막들의 헬륨 플라즈마 처리가 막들을 개질하고 (modify), 막 응력을 절대 값으로 약 80 MPa보다 낮게 감소시킬 수 있다는 것이 예기치 않게 발견되었다. 또한, 플라즈마 처리는 적어도 2.05 g/㎤, 그리고 적어도 2.1 g/㎤의 밀도들을 갖는 저 응력 막들이 획득될 수 있도록 형성된 막들의 밀도를 상승시킨다.
일 양태에서, 반도체 기판을 프로세싱하기 위한 방법이 제공되고, 이 방법은, (a) PECVD 프로세스 챔버에 반도체 기판을 제공하는 단계; (b) 약 200 ℃보다 낮은 온도에서 (예를 들어, 약 180 ℃보다 낮은 온도에서) PECVD에 의해 반도체 기판 상에 실리콘 옥사이드 층을 증착하는 단계로서, 증착하는 단계는 실리콘-함유 전구체, 산소-함유 반응물질 및 선택가능하게, 불활성 가스, 예컨대 헬륨을 PECVD 프로세스 챔버 내로 흘리는 단계 및 플라즈마를 형성하는 단계를 포함하는, 증착하는 단계; (c) 증착 후에 실리콘-함유 전구체의 플로우를 중단시키는 단계; 및 (d) 증착된 층을 개질하고 약 80 MPa보다 낮은 절대 값으로 증착된 층의 응력을 감소시키기 위해 약 200 ℃보다 낮은 온도에서 실리콘 옥사이드의 증착된 층을 플라즈마로 처리하는 단계를 포함한다. 절대 값으로 약 50 MPa보다 작고 약 10 MPa보다 훨씬 작은 응력을 갖는 실리콘 옥사이드 막들이 획득될 수 있다. 일 예에서, 실리콘 옥사이드 층의 증착은 약 1.5 내지 5 torr의 압력에서 SiH4 (실리콘-함유 전구체), CO2 (산소-함유 반응물질), 및 헬륨 (불활성 가스) 의 혼합물로 플라즈마를 형성함으로써 수행된다. 일부 실시예들에서, 방법은 플라즈마 생성에 사용된 전력을 실리콘 옥사이드 층의 증착에 사용된 제 1 전력 레벨로부터 증착된 층의 플라즈마 처리에 사용된 제 2, 보다 높은 전력 레벨로 상승시키는 단계를 수반한다. 일부 실시예들에서, 플라즈마 처리 단계는 본질적으로 헬륨으로 구성된 프로세스 가스에서 수행된다. 플라즈마 처리 단계는 증착 단계와 동일한 압력에서 또는 상이한 압력에서 수행될 수 있다. 일 예에서, 플라즈마 처리 단계는 증착 단계와 동일한 프로세스 챔버 내에서 그리고 실질적으로 동일한 압력 및 온도에서 수행된다. 일부 실시예들에서, 플라즈마는 실리콘 옥사이드 층의 증착 후 그리고 프로세스 챔버 내로 실리콘-함유 전구체의 플로우를 중단시키기 전에 소화되지 않는다. 또한, 프로세스는 실리콘 옥사이드 증착 후 그리고 플라즈마 처리 전에 프로세스 챔버로부터 실리콘-함유 전구체를 제거하기 위해 프로세스 챔버를 퍼지하는 단계를 포함할 수도 있다.
유리하게, 프로세스는 온도-민감 재료들의 하나 이상의 층들을 포함하는 기판 상에서 수행될 수 있다. 예를 들어, 일부 실시예들에서, 저-응력 실리콘 옥사이드의 증착 및 플라즈마 처리는 250 ℃ 이상의 온도들에 민감한 온도-민감 재료의 하나 이상의 층들을 갖는 반도체 기판 상에서 수행된다. 온도-민감 재료들의 예들은 유기 재료들 및 스핀-온 유전체들을 포함한다.
실리콘 옥사이드 층의 플라즈마 처리는 실리콘 옥사이드 층의 수소 함량을 감소시킨다. 일부 실시예들에서, 플라즈마 처리 후에 획득된 실리콘 옥사이드는 IR 스펙트럼 상에서 2200 내지 2300 cm-1 에서 Si-H 피크를 갖지 않는다.
기술된 프로세스는 단일-스테이션 또는 멀티-스테이션 장치에서 구현될 수 있다. 일 실시예에서, 방법은 멀티-스테이션 PECVD 장치의 제 1 스테이션에서 실리콘 옥사이드의 일부를 증착하고 플라즈마 처리하는 단계, 기판을 멀티-스테이션 PECVD 장치의 제 2 스테이션으로 이송하는 단계, 및 멀티-스테이션 PECVD 장치의 제 2 스테이션에서 실리콘 옥사이드의 또 다른 부분을 증착 및 플라즈마 처리하는 단계를 반복하는 단계를 포함한다.
본 명세서에 제공된 방법들은 패터닝 방법들과 통합될 수 있다. 일부 실시예들에서, 본 명세서에 기술된 프로세스들은 반도체 기판에 포토레지스트를 도포하는 단계; 상기 포토레지스트를 광에 노출하는 단계; 포토레지스트를 패터닝하고 패턴을 반도체 기판에 전사하는 단계; 및 반도체 기판으로부터 포토레지스트를 선택적으로 제거하는 단계를 더 포함한다.
다른 양태에서, UV 처리를 수반하는 반도체 기판을 프로세싱하는 방법이 제공된다. 방법은: (a) PECVD 프로세스 챔버에 반도체 기판을 제공하는 단계; (b) 약 200 ℃보다 낮은 온도에서 PECVD에 의해 상기 반도체 기판 상에 실리콘 옥사이드 층을 증착하는 단계; (c) 증착 후에 상기 실리콘-함유 전구체의 플로우를 중단시키는 단계; 및 (d) 상기 증착된 층을 개질하고 약 80 MPa보다 낮은 절대 값으로 상기 증착된 층의 상기 응력을 감소시키기 위해 약 200 ℃보다 낮은 온도에서 상기 실리콘 옥사이드의 증착된 층을 180 ㎚ 이하의 파장을 갖는 UV 광으로 처리하는 단계를 포함한다.
또 다른 양태에 따라, 실리콘 옥사이드 막들의 증착 및 플라즈마 처리를 위한 장치가 제공된다. 장치는 PECVD 프로세스 챔버, PECVD 프로세스 챔버 내에서 플라즈마를 형성하기 위해 구성된 생성기, 및 제어기를 포함한다. PECVD 프로세스 챔버는 증착 동안 기판을 제자리에 홀딩하기 위한 기판 지지부, 및 프로세스 챔버 내로 반응물질들을 도입하기 위한 하나 이상의 유입구들을 포함한다. 제어기는 본 명세서에 제공된 임의의 증착 및 플라즈마 처리 방법들을 수행하기 위한 프로그램 인스트럭션들을 포함한다.
또 다른 양태에 따라, 반도체 기판 상의 실리콘 옥사이드 막들의 증착 및 UV 처리를 위한 장치가 제공된다. 장치는 PECVD 프로세스 챔버, PECVD 프로세스 챔버 또는 UV 처리를 위해 전용되는 상이한 프로세스 챔버에서 180 ㎚ 이하의 파장을 갖는 UV 복사선을 생성하기 위해 구성된 생성기 및 제어기를 포함한다. PECVD 프로세스 챔버는 증착 동안 기판을 제자리에 홀딩하기 위한 기판 지지부, 및 프로세스 챔버 내로 반응물질들을 도입하기 위한 하나 이상의 유입구들을 포함한다. 제어기는 본 명세서에 제공된 임의의 증착 및 UV 처리 방법들을 수행하기 위한 프로그램 인스트럭션들을 포함한다.
또 다른 양태에 따라, 본 명세서에 제공된 시스템은 본 명세서에 기술된 임의의 장치들 및 스텝퍼를 포함한다.
또 다른 양태에 따라, 본 명세서에 제공된 임의의 장치들을 제어하기 위한 프로그램 인스트럭션들을 포함하는 비일시적인 컴퓨터 머신-판독가능 매체가 제공된다. 인스트럭션들은 본 명세서에 제공된 임의의 증착 및 처리 방법들을 위한 코드를 포함한다.
본 발명의 이들 및 다른 특징들 및 장점들은 연관된 도면들을 참조하여 이하에 보다 상세히 기술될 것이다.
도 1a 및 도 1b는 본 명세서에 제공된 방법들을 사용한 프로세싱을 겪는 예시적인 반도체 디바이스의 개략적인 단면 묘사들을 도시한다.
도 2는 후-처리 없이 저온 PECVD를 사용하여 증착된 막들에 대한 응력 대 밀도의 실험적인 플롯이다.
도 3a 및 도 3b는 본 명세서에 제공된 실시예들에 따른 실리콘 옥사이드 형성 프로세스들을 위한 프로세스 흐름도들을 제공한다.
도 4는 본 명세서에 제공된 일부 실시예들에 따른, 실리콘 옥사이드 형성 프로세스를 위한 개략적인 타이밍도를 도시한다.
도 5는 본 명세서에 제공된 일부 실시예들에 따라 실리콘 옥사이드 막들을 형성하기 적합한 PECVD 장치의 개략적인 대표도이다.
도 6은 본 명세서에 제공된 일부 실시예들에 따라 실리콘 옥사이드 막들을 형성하기 적합한 멀티-스테이션 장치의 일 예의 개략적인 대표도이다.
도 7은 본 명세서에 제공된 실시예들에 따른, 후-처리와 함께 저온 PECVD를 사용하여 증착된 막들에 대한 응력 대 밀도의 실험적인 플롯이다.
도 8은 본 명세서에 개시된 실시예들에 의해 제공될 때 획득된 저-응력 실리콘 옥사이드 막의 FT IR 스펙트럼이다.
도 9a는 본 명세서에 제공된 실리콘 옥사이드 막들의 플라즈마 처리 동안 사용되는 압력에 대한 막 응력의 종속성을 예시하는 실험적 플롯이다.
도 9b는 본 명세서에 제공된 실리콘 옥사이드 막들에 대한 플라즈마 처리의 지속기간에 대한 막 응력의 종속성을 예시하는 실험적 플롯이다.
도 9c는 본 명세서에 제공된 상이한 두께들의 실리콘 옥사이드 막들에 대한 플라즈마 처리의 지속기간에 대한 막 응력의 종속성을 예시하는 실험적 플롯이다.
도입 및 개요
저온에서 고품질 실리콘 옥사이드의 형성은 저온에서 반도체 기판 상에 PECVD에 의해 실리콘 옥사이드 층을 증착하고 이어서, 증착된 층의 응력을 약 80 MPa보다 낮은 절대 값으로 감소시키기 위해 플라즈마 및/또는 약 180 ㎚보다 작은 파장을 갖는 UV 복사선으로 증착된 층을 처리 함으로써 달성된다.
용어들 "반도체 기판" 및 "반도체 웨이퍼"는 기판 상의 아무데나 반도체 재료를 포함하고, 도전체들 및 유전체들과 같은, 다른 재료들의 층들을 포함할 수도 있는 기판들을 지칭한다.
용어 "실리콘 옥사이드"는 실리콘, 산소, 그리고 선택가능하게, 수소로 구성된 재료를 지칭한다. 다른 원소들, 예컨대 탄소, 질소, 붕소 및 불소가 또한 도펀트들로서 낮은 농도 (예를 들어, 수소를 제외하고 최대 약 10 % atomic) 로 존재할 수도 있다. "고품질 실리콘 옥사이드"는 다음의 특성들: 절대 값으로약 80 MPa 보다 작은 응력; 약 2.05 g/㎤보다 큰 밀도; 및 약 1.47보다 작은 굴절률 (RI) 중 하나 또는 임의의 조합을 갖는 실리콘 옥사이드를 지칭한다. 일부 실시예들에서, 본 명세서에 제공된 고품질 실리콘 옥사이드 막들은 상기 열거된 모든 특성들을 갖는다.
용어 "저온 형성" 또는 "저온 증착"은 약 200 ℃보다 낮은 온도에서 막들의 형성을 지칭한다. 일부 실시예들에서, 저온 막들은 약 100 내지 180 ℃의 온도, 예컨대 약 150 내지 180 ℃에서 형성된다.
용어 "PECVD"는 본 명세서에 사용될 때, 주로 대량으로 하나 이상의 분자들이 플라즈마 보조로 반응하는 단계를 포함하는 증착 방법을 지칭한다. 이 방법은, 반응이 기판의 표면 상에서 주로 발생하고 프로세스 챔버의 대부분에서 반응이 억제되는, ALD (atomic layer deposition) 와 구별된다. 용어 "PECVD 프로세스 챔버" 또는 "PECVD 장치"는 본 명세서에서 사용될 때, PECVD 모드에서 반응들을 수행할 수 있는 임의의 프로세스 챔버 또는 장치를 지칭한다.
일반적으로, 본 명세서에 제공된 고품질 실리콘 옥사이드 막들을 형성하는 저온 방법들은 로직 디바이스 제조시, 메모리 디바이스들의 제조시, CMOS (complementary metal-oxide-semiconductor) 이미지 센서 디바이스들, 등과 같은 다양한 애플리케이션들에 사용될 수 있다. 이들 방법들은 (본 명세서에 제공된 고품질 실리콘 옥사이드의 하나 이상의 층들을 포함하는) 재료의 몇몇 층들이 기판 상에 스택으로 증착되고, 이어서 스택의 하나 이상의 층들을 패터닝하는 애플리케이션들에 특히 유용하다. 일부 실시예들에서, 고품질 실리콘 옥사이드는 적어도 3 개 층들의 평판형 스택, 적어도 5 개 층들의 평판형 스택, 또는 적어도 10 개 층들의 평판형 스택의 일부로서 증착된다. 스택 만곡 효과들이 최소화되고, 패터닝이 고 정밀도로 수행될 수 있기 때문에, (절대 값으로 약 80 MP보다 낮은, 바람직하게 약 50 MPa보다 낮은) 저 응력과 저 거칠기 (약 1.47보다 낮은 RI) 의 조합이 특히 유리하다.
일부 실시예들에서, 본 명세서에 제공된 고품질 실리콘 옥사이드는 하드마스크 또는 에칭 정지 층으로서 사용된다. 이들 실시예들에서, 고품질 실리콘 옥사이드는 저 응력에 더하여 고 밀도 (적어도 약 2.05 g/㎤, 예컨대 적어도 약 2.1 g/㎤, 또는 예컨대 적어도 약 2.15 g/㎤) 를 또한 특성으로 한다. 밀도는 에칭 선택도와 상관되고, 보다 조밀한 재료들이 보다 낮은 밀도의 재료들보다 에칭에 보다 내성이 있어서, 고 밀도는 하드마스크 및 에칭 정지 애플리케이션들을 위해 특히 바람직한 특성이다. 하드마스크 층들은 하드마스크 바로 밑에 놓인 층들이 에칭되는 것으로부터 보호하지만, 보호되지 않은 재료는 리세스된 피처들을 형성하도록 에칭된다. 일부 실시예들에서, 본 명세서에 제공된 고품질 실리콘 옥사이드는 에칭 정지 층으로서 사용된다. 예를 들어, 고품질 실리콘 옥사이드는 바로 위에 놓인 SiOC 재료의 에칭 동안 에칭 정지 층으로서 기능할 수 있다.
일부 실시예들에서, 고품질 실리콘 옥사이드 하드마스크는 제 1 층 (예를 들어, ULK 유전체 층) 위에 증착되고, 하드마스크 재료가 선택된 위치들에서 제거되도록, 하드마스크는 패터닝되어, 제 1 층을 노출하고, 노출된 제 1 층이 노출된 하드마스크의 존재시 에칭된다. 예를 들어, 저 밀도 SiOC는 불소계 화학물질을 사용하여 (예를 들어, CH2F2를 사용하여) 노출된 하드마스크의 존재시 에칭될 수 있다.
저온에서 실리콘 옥사이드 막들의 형성은 고온에서 구조적으로 또는 기능적으로 저하될 (deteriorate) 수 있는 온도-민감 재료들을 포함하는 기판들에 특히 바람직하다. 일부 실시예들에서, 고품질 실리콘 옥사이드의 증착을 위해 제공된 기판은 온도-민감 재료들의 하나 이상의 층들을 포함한다. 일부 실시예들에서, 이 재료 또는 재료들은 200 ℃ 이상, 또는 250 ℃ 이상, 또는 275 ℃ 이상에서 저하되기 시작한다. 따라서, 이들 기판들의 모든 조작들은 이들 온도-민감 층들의 원치 않은 저하를 방지하기 위해 보다 저온에서 수행되어야 한다. 온도-민감 재료들의 예들은 (통상적으로 스핀-온 방법들에 의해 증착되는) 유기 유전체들, 예컨대 폴리이미드, 폴리노르보르넨류 (polynorbornenes), 및 벤조사이클로부텐계 (benzocyclobutene-based) 폴리머들을 포함한다.
도 1a는 고품질 실리콘 옥사이드 층의 형성 전에 반도체 기판 (100) 의 예의 단면 개략도를 예시한다. 기판은 ULK (ultra low-k) 유전체 층 (101) 을 포함하고, ULK 유전체는 약 2.2 이하의 유전 상수를 갖는다. ULK 유전체는 아래에 놓인 (underlying) 층 (미도시) 상에 CVD, PECVD, 또는 스핀-온 방법에 의해 증착될 수 있다. 일부 실시예들에서, ULK 유전체는 실리콘 및 산소를 포함하는 다공성 유전체이다. ULK 층은 임베딩된 금속 라인들을 갖는 또 다른 ULK 유전체 층을 포함할 수도 있는 아래에 놓인 금속화 층 위에 놓일 수도 있다. 층 (103) 은 ULK 유전체 층 (101) 위에 그리고 콘택트하여 놓이고, 예시된 실시예에서 종래의 PECVD에서 사용되는 온도에서 (예를 들어, 250 ℃ 이상 또는 300 ℃ 이상에서) 열화하기 쉬운 (susceptible) 유기 스핀-온 유전체를 포함하는 온도-민감 층이다.
도 1b는 고품질 실리콘 옥사이드 하드마스크 (105) 의 증착 후 반도체 기판 (100) 의 단면 개략도를 예시한다. 하드마스크 (105) 는 본 명세서에 제공된 저온 방법들을 사용하여 스핀-온 유전체 층 (103) 위에 그리고 콘택트하여 형성된다. 일부 실시예들에서, 형성된 하드마스크의 두께는 약 100 내지 200 Å이다. 하드마스크 층 (105) 의 형성 후 하나 이상의 층들이 하드마스크 층 (105) 층에 증착될 수도 있고, 적어도 3, 또는 적어도 5 개 층들의 스택을 형성한다. 일부 실시예들에서, 이들 위에 놓인 (overlying) 층들 중 하나는 나중에 패터닝되는 포토레지스트 층이고, 패턴은 포토리소그래피 기법들을 사용하여 하드마스크 층 (105) 으로 전사된다. 패터닝은 선택된 위치들에서 고품질 실리콘 옥사이드 재료의 부분들을 제거할 수 있고, 아래에 놓인 층 (103) 을 노출한다. 이어서, 노출된 층 (103) (및 후속하여 노출된 ULK 유전체 층 (101)) 은 노출된 하드마스크 (105) 의 존재시 에칭될 수 있다. 일부 실시예들에서, 반도체 프로세싱 방법들은 노출된 실리콘 옥사이드의 존재시, 고품질 실리콘 옥사이드 층 아래에 놓이거나 위에 놓인 재료의 층을 에칭하는 단계를 포함하고, 여기서 실리콘 옥사이드는 하드마스크 또는 에칭 정지 층으로서 기능한다.
본 명세서에 제공된 방법들의 개발에 앞서, PECVD를 사용하여 저온에서 저-응력 실리콘 옥사이드의 형성은, 압력, 프로세스 가스 조성, 및 플라즈마 생성에 사용된 전력과 같은 PECVD 파라미터들의 변동을 사용하여 PECVD 막들의 응력을 절대 값으로 120 MPa보다 낮게 하강시키는 것이 가능하지 않기 때문에, 해결되지 않은 문제를 나타내었다. 100 MPa를 초과하는 응력들을 갖는 막들은, 특히 패터닝을 수반하는 애플리케이션들에서 제한된 실용성 (utility) 을 갖고, 따라서 저온에서 고품질 실리콘 옥사이드를 생성하기 위한 새로운 PECVD-기반 방법들이 필요했다.
도 2는 180 ℃에서 종래의 PECVD에 의해 증착된 실리콘 옥사이드 막들에 대한 응력 및 밀도를 예시하는 실험적 플롯을 도시한다. 막들은 프로세스 가스로서 SiH4, CO2 및 He의 혼합물을 사용하여 300 ㎜ 웨이퍼 상에 증착된다. 플라즈마는 13.56 ㎒에서 HF (high frequency) 플라즈마 생성을 사용하여 형성되고, 플라즈마 생성에 사용된 전력은 상이한 막들에 대해 100 W 내지 400 W에서 가변한다. 증착 압력은 상이한 막들에 대해 2.5 Torr 내지 5 Torr 사이에서 가변하고, 프로세스 가스의 조성은 상이한 막들에 대해 100 내지 200 사이의 CO2/SiH4 비로 가변한다. 증착된 모든 막들이 절대 값으로 120 MPa를 초과하는 압축성 응력 및 약 2.01 내지 약 2.14 g/㎤의 범위의 밀도를 갖는다는 것을 플롯으로부터 알 수 있다. 밀도 및 막 응력은 본 연구에서 독립적인 파라미터들로서 거동한다.
실리콘 옥사이드 막들의 응력을 약 80 MPa 미만, 예컨대 약 50 MPa 미만, 또는 심지어 약 30 MPa 미만으로 극적으로 감소시키는 방법이 예기치 않게 발견되었다. 일 양태에 따른, 이 방법은 실리콘 옥사이드 층을 개질하고 형성된 층의 응력을 절대 값으로 약 80 MPa 미만으로 감소시키도록 저온 PECVD에 의해 증착되는 실리콘 옥사이드를 플라즈마를 사용하여 (예컨대 헬륨 플라즈마를 사용하여) 처리하는 단계를 수반한다. 또 다른 양태에서, 이 방법은 실리콘 옥사이드 층을 개질하고 형성된 층의 응력을 절대 값으로 약 80 MPa 미만으로 감소시키도록 저온 PECVD에 의해 증착된 실리콘 옥사이드를 약 180 ㎚ 미만의 파장을 갖는 UV 복사선으로 처리하는 단계를 수반한다.
저 응력 실리콘 옥사이드 막들을 형성하는 방법들
저온에서 저 응력 실리콘 옥사이드 막들을 형성하는 방법들이 도 3a 및 도 3b에 도시된 프로세스 흐름도에 예시된다. 도 3a를 참조하면, 프로세스는 기판을 PECVD 프로세스 챔버에 제공함으로써 301에서 시작된다. 기판은 통상적으로 반도체 기판이다. 일부 실시예들에서, 기판은 도 1a에 예시된 바와 같이 온도-민감 재료들의 하나 이상의 층들을 포함하는 평판형 기판이다. PECVD 프로세스 챔버는 PECVD 모드에서 반응들을 수행하기 위해 구성되고, 통상적으로 증착 동안 기판을 제자리에 홀딩하기 위한 지지부, 반응물질들 (예컨대 실리콘-함유 전구체, 및 산소-함유 반응물질) 을 도입하기 위한 하나 이상의 유입구들 및 프로세스 챔버로부터 하나 이상의 가스들을 제거하기 위한 유출부를 포함한다. 일부 실시예들에서, 프로세스 챔버는 증착 동안 기판을 가열하도록 구성된 히터를 구비한다. 프로세스 챔버를 포함하는 장치는 프로세스 챔버 내에서 플라즈마를 생성하고 PECVD 모드에서 반응들을 수행하기 위해 구성된다. PECVD 프로세스 챔버는 또한 상이한 모드들에서 반응들을 수행하는 능력을 가질 수도 있지만, PECVD 반응들을 수행하도록 사용될 때 "PECVD 프로세스 챔버"로 지칭된다. 예를 들어, PECVD 모드에서 반응들을 수행하도록 사용되는 경우, PEALD (plasma enhanced atomic layer deposition) 프로세스 챔버는 본 명세서에 사용된 바와 같은 "PECVD 프로세스 챔버"이다.
기판이 PECVD 프로세스 챔버에 제공된 후, 303에서, 실리콘 옥사이드 층이 약 200 ℃보다 낮은 온도에서 PECVD에 의해 증착된다. 증착 프로세스는 실리콘-함유 전구체 및 산소-함유 반응물질을 프로세스 챔버에 제공하는 단계 및 프로세스 챔버 내에서 플라즈마를 형성하는 단계를 수반한다. 적합한 실리콘-함유 전구체들의 예들은 탄소-프리 전구체들, 예를 들어, 실란 (SiH4), 디실란 (Si2H6), 트리실란 (Si3H8), 및 디클로로실란 (SiH2Cl2); 및 알콕시 실란류, 알킬 실란류, 사이클릭 실록산류, 알키닐 실란류, 및 오소실리케이트류 (예를 들어, 테트라에틸오소실리케이트 (tetraethylorthosilicate)) 와 같은 탄소-함유 전구체들을 포함한다. 적합한 산소-함유 반응물질들의 예들은 O2, CO2, 및 N2O를 포함한다. 실리콘-함유 전구체가 실리콘 및 산소 모두를 포함할 때 (예를 들어, 테트라에틸오소실리케이트), 이러한 단일 전구체는 실리콘 소스로서 그리고 산소-함유 반응물질 소스로서 모두 사용될 수도 있다. 실리콘-함유 전구체 및 산소-함유 반응물질을 함유하는 증착 프로세스 가스는, 일부 실시예들에서, PECVD 반응에 참여하지 않는 불활성 가스인 희석 가스와 함께 (일부 경우들에서 액체 반응물질들의 기화 전에) 프로세스 챔버 내로 통상적으로 흐른다. 희석 가스들의 예들은 N2 및 헬륨, 아르곤, 네온, 및 크립톤과 같은 희가스들 (noble gases) 을 포함한다.
온도는 증착 프로세스 동안 약 200 ℃보다 낮게 유지된다. 일부 실시예들에서, 증착 온도는 180 ℃ 이하이다. 예를 들어, 막들은 약 100 내지 180 ℃의 온도에서 증착될 수 있다. 압력은 증착 동안 가변할 수 있고 일부 실시예들에서, 약 1 내지 10 torr, 보다 바람직하게 약 1.5 내지 5 torr, 예컨대 약 3.5 torr이다. 프로세스 가스의 개별 컴포넌트들 (components) 의 플로우 레이트들 (flow rates) 은 프로세스 챔버의 사이즈에 종속되고 일부 실시예들에서, 약 10 내지 10,000 sccm의 범위에 있을 수 있다. 일부 실시예들에서, 실리콘-함유 전구체의 플로우 레이트에 대한 산소-함유 반응물질의 플로우 레이트의 비는 약 300보다 작고, 예컨대 약 200보다 작다. 일 예에서, SiH4의 플로우 레이트에 대한 CO2의 플로우 레이트의 비는 약 300보다 작다.
일부 실시예들에서, 증착은 HF RF (high frequency radio frequency) 플라즈마 생성만을 사용하여 수행된다 (단일 주파수 증착). 다른 실시예들에서, 고 주파수 및 LF RF (low frequency radio frequency) 플라즈마 생성 모두가 사용된다 (듀얼 주파수 증착). HF 플라즈마는 약 1.8 ㎒ 내지 2.45 ㎓, 예컨대 13.56 ㎒의 주파수들을 사용하여 생성된다. 저 주파수 플라즈마는 약 50 ㎑ 내지 900 ㎑, 예컨대 400 ㎑의 주파수들을 사용하여 생성된다. 일부 실시예들에서, 실리콘 옥사이드의 증착은 단일 주파수 HF 증착시 상대적으로 저 전력을 사용하여 수행된다. 예를 들어, 플라즈마의 생성을 위해 사용된 전력은 약 0.14 W/㎠ 내지 약 0.71 W/㎠의 전력 밀도에 대응하는, 단일 300 ㎜ 웨이퍼 상의 증착을 위해, 약 100 내지 500 W의 범위일 수 있다.
목표된 양의 실리콘 옥사이드가 기판 상에 형성될 때까지 증착이 수행된다. 일부 실시예들에서, 약 100 내지 200 Å의 두께를 갖는 실리콘 옥사이드 층이 단일 단계에서 증착된다. 다른 실시예들에서, 목표된 두께의 실리콘 옥사이드가 달성될 때까지 실리콘 옥사이드의 일부가 증착되고, 처리되고, 프로세스가 반복된다.
증착 직후 실리콘 옥사이드 막들은 통상적으로 저 품질을 갖고, 상대적으로 고 수소 함량 및 고 응력을 특징으로 한다. 이러한 막들의 IR 스펙트럼은 약 2250 cm-1에서 두드러진 Si-H 피크를 나타내고, 응력 값들은 통상적으로 절대 값으로 100 MPa 을 초과한다 (막들이 압축성임).
이들 저품질 막들이 저온 PECVD에 의해 증착된 후, 동작 305에서 증착된 막들은 증착된 막들의 응력을 절대 값으로 약 80 MPa 미만으로 감소시키기 위해 실리콘-함유 전구체 없이 (in absence of) 플라즈마로 처리된다. 일부 실시예들에서, 실리콘 옥사이드 막이 증착된 후 실리콘-함유 전구체의 흐름 및 산소-함유 반응물질의 흐름은 중단되고, 잔류 실리콘 함유 전구체는 프로세스 챔버로부터 퍼지 및/또는 배기에 의해 제거된다. 다음에, 플라즈마 처리 프로세스 가스, 예컨대 헬륨이 프로세스 챔버 내로 제공되고, 기판은 플라즈마로 처리된다. 일부 실시예들에서, 플라즈마 처리는 본질적으로 헬륨으로 구성된 플라즈마 처리 프로세스 가스로 수행된다.
증착 동작 303 및 처리 동작 305는 동일한 PECVD 프로세스 챔버 내에서 유리하게 수행될 수 있다. 일부 실시예들에서, 증착이 완료된 후 플라즈마가 소화되지 (extinguish) 않고, 증착, 퍼지 단계 및 플라즈마 처리 단계 내내 유지된다. 다른 실시예들에서, 증착이 완료된 후 플라즈마가 소화될 수도 있고, 나중에 플라즈마 처리 동안 재점화될 수도 있다. 또 다른 실시예들에서, 플라즈마 처리 동작 305 및 실리콘 옥사이드 증착 동작 303은 상이한 프로세스 챔버들에서, 또는 심지어 상이한 장치들에서 수행된다. 바람직한 실시예들 중 일 실시예에서, 플라즈마 처리는 증착이 완료된 직후, 예컨대 증착이 완료된 후 약 5 분 이내 또는 1 분 이내에 수행된다.
일부 실시예들에서, (구체적으로 증착 동작 303 및 처리 동작 305 는 진공 브레이크 (break) 없이 단일 챔버에서 수행될 때), 플라즈마 처리 프로세스 가스 (예를 들어, 헬륨) 증착 동작 303 동안, 그리고 동작 303 후에 프로세스 챔버의 퍼지 동안 사용된 희석 가스와 동일한 가스이다. 일부 실시예들에서, 플라즈마 처리 동안 플라즈마 처리 가스 (예를 들어, 헬륨) 의 플로우 레이트는 증착 동안 희석 가스의 플로우 레이트보다 적어도 1.5 배, 예컨대 적어도 2 배 크다. 예를 들어, 일부 실시예들에서, 증착 동작 303 동안 (희석 가스로서) 헬륨 플로우 레이트는 약 1000 sccm이고, 이 플로우 레이트는 플라즈마 처리 단계 305에서 약 2500으로 상승되고, 헬륨이 플라즈마 처리 프로세스 가스로서 사용된다. 또한, 플라즈마 생성에 사용된 전력은 플라즈마 처리 단계 305 동안 증착 단계 303 동안보다 높다. 일부 실시예들에서, 플라즈마 처리 단계 동안 사용된 전력은 증착 단계 동안 사용된 전력보다 적어도 2 배, 예컨대 적어도 3 배보다 크다.
플라즈마 처리 단계 동안 온도는 바람직하게 약 200 ℃ 미만, 예컨대 100 내지 180 ℃로 유지된다. 플라즈마 처리 동안 압력은 일부 실시예들에서, 약 1 내지 10 torr, 예컨대 약 1 내지 5 torr의 범위이다. 일부 실시예들에서, 증착 단계 303 동안 온도 및 압력은 플라즈마 처리 305 동안 온도 및 압력과 동일하다. 다른 실시예들에서, 이들 파라미터들 중 하나의 파라미터만이 일정하게 유지된다. 증착 동작 303 및 플라즈마 처리 305 동안 동일한 압력 및/또는 온도는 프로세스의 전체 안정성을 상승시킨다.
플라즈마 처리의 지속기간은 통상적으로 약 6 내지 20 초의 범위 내이고, 파라미터들 중 일 파라미터는 형성된 실리콘 옥사이드 층들의 응력을 튜닝하도록 변경될 수 있다.
본 발명의 또 다른 양태는 실리콘 옥사이드의 응력을 목표된 레벨로 튜닝하는 방법이다. 이 방법은 플라즈마 처리 동안 플라즈마 처리 압력, 플라즈마 처리 지속기간, 및 플라즈마 생성시 사용된 전력 레벨로 구성된 그룹으로부터 선택된 하나 이상의 파라미터들을 조절하는 (modulating) 단계를 수반한다.
동작의 특정한 이론으로 제한하지 않고, 헬륨 플라즈마 처리 후 획득된 응력의 개선이 적어도 부분적으로, 헬륨 플라즈마에서 형성된 UV 복사선과 증착된 실리콘 옥사이드 층 간의 상호작용 결과로서, 관찰되었다는 것이 제안된다. 헬륨 플라즈마에서 형성된 UV 복사선은 180 ㎚ 미만의 파장을 갖는다. 본 발명의 또 다른 양태에서, 약 180 ㎚ 미만의 파장을 갖는 UV 복사선을 사용하여 저온에서 고품질 실리콘 옥사이드를 형성하는 방법이 제공된다. 이 방법의 프로세스 흐름도는 도 3b에 제공된다. 단계 307 및 단계 309는 도 3a에 도시된 단계 301 및 단계 303과 동일하다. 실리콘 옥사이드 층이 저온 PECVD에 의해 증착된 후, 단계 311에서 실리콘 옥사이드 층은 실리콘 옥사이드 층의 응력을 절대 값으로 80 MPa 미만으로 감소시키기 위해 약 180 ㎚ 미만의 파장을 갖는 UV 복사선으로 처리된다. UV 처리는 바람직하게 200 ℃ 미만의 온도에서 수행된다. UV 복사선의 적합한 소스는 H, He, Ar, O2, 등의 여기 (플라즈마에서와 같이) 를 활용하는 소스들을 포함한다.
PECVD 실리콘 옥사이드의 응력을 감소시키기 위해 헬륨 플라즈마 처리를 사용하는 프로세스의 특정한 예가 도 4에서 예시된다. 도 4는 증착 단계 401, 퍼지 단계 403, 및 플라즈마 처리 단계 405 동안 프로세스 가스들의 컴포넌트들의 플로우 레이트들, 및 플라즈마 전력 레벨들을 개략적으로 예시하는 타이밍도를 제공한다. 이 도면은 스케일대로 도시되지 않고 프로세스의 일반적 개요를 제공한다는 것이 이해된다. 단계 401에서, 본질적으로 SiH4, CO2 및 He로 구성된 프로세스 가스가 프로세스 챔버로 제공되고 실리콘 옥사이드는 13.56 ㎒ 주파수 및 제 1 전력 레벨을 사용하여 프로세스 가스로 형성된 HF RF 플라즈마를 사용하여 증착된다.
증착 단계 401 동안 특정한 프로세스는 표 1에 제공된다. 파라미터들이 단일 300 ㎜ 웨이퍼 기판 상에서 증착을 위해 제공되고 플라즈마 전력 레벨들 및 플로우 레이트들은 임의의 목표된 기판 또는 복수의 기판들로 스케일될 수 있다는 것이 이해된다. 예를 들어, 4 개의 웨이퍼들이 4 개의 프로세싱 스테이션들을 갖는 장치에서 동시에 프로세싱될 때, 플라즈마 전력 및 가스들의 플로우 레이트들은 표 1 내지 표 3에 열거된 것보다 4배 클 수 있다.
Figure 112019042554914-pct00001
다음에, 퍼지 단계 403에서, 헬륨만이 프로세스 챔버 내로 흐르고 프로세스 챔버로부터 실란 및 이산화탄소를 퍼지한다. 플라즈마는 이 단계 동안 소화되지 않고, 플라즈마 전력이 변화되지 않는다. 표 2는 퍼지 단계 403 동안 사용된 프로세스 파라미터들을 열거한다.
Figure 112019042554914-pct00002
퍼지가 완료된 후, 증착된 실리콘 옥사이드 막이 단계 405에서 헬륨 플라즈마로 처리된다. 이 단계 동안, 플라즈마 전력 및 헬륨 플로우 레이트가 상승된다. 표 3은 플라즈마 처리 단계를 위한 프로세스 파라미터들을 열거한다.
Figure 112019042554914-pct00003
장치
본 발명은 PECVD 모드에서 실리콘 옥사이드의 증착을 허용하는 많은 상이한 타입들의 장치에서 구현될 수 있다. 일반적으로, 장치는 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 (때때로 복수의 스테이션들을 포함하는) 하나 이상의 챔버들 또는 "반응기들"을 포함할 것이다. 챔버 각각은 프로세싱을 위한 하나 이상의 웨이퍼들을 하우징할 수도 있다. 하나 이상의 챔버들이 규정된 위치 또는 위치들에 (이 위치에서 예를 들어, 회전, 진동, 또는 다른 교반과 함께 또는 운동 없이) 웨이퍼를 유지한다. 일 실시예에서, 증착 및 플라즈마 처리를 겪는 웨이퍼는 프로세스 동안 반응기 내에서 일 스테이션으로부터 또 다른 스테이션으로 이송된다. 예를 들어, 일부 구현예들에서, 실리콘 옥사이드의 일부가 PECVD에 의해 웨이퍼 상에 증착되고 PECVD 장치의 제 1 스테이션에서 플라즈마 처리되고; 이어서 웨이퍼는 실리콘 옥사이드의 부가적인 부분이 PECVD에 의해 증착되고 처리되는, PECVD 장치의 제 2 스테이션으로 이송된다. 일 실시예에서, 목표된 두께의 고품질 실리콘 옥사이드 층의 형성이 4 개의 스테이션들에서 증착되고, 스테이션 각각은 층 두께의 1/4을 증착하고 처리한다. 예를 들어, 100 Å은 층의 타깃 두께이고, 스테이션 각각은 25 Å 두께 실리콘 옥사이드 막을 증착하고 처리한다.
프로세스 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다. 웨이퍼가 가열되는 특정한 동작들 동안, 장치는 가열 플레이트와 같은 히터를 포함할 수도 있다. 본 발명의 일 실시예에서, PECVD 시스템은 층의 증착 및 처리 모두를 위해 사용된다. 처리가 UV 처리일 때, 180 ㎚ 미만의 파장의 UV 복사선의 소스는 PECVD 장치 내레 통합될 수 있다. 다른 실시예들에서, 분리된 프로세스 챔버들 또는 심지어 별도의 장치들이 증착 단계 및 처리 단계를 위해 사용될 수도 있다.
도 5는 본 발명을 구현하기 위해 구성된 다양한 PECVD 반응기 컴포넌트를 도시하는 단순한 블록도를 제공한다. 도시된 바와 같이, 반응기 (500) 는 반응기의 다른 컴포넌트들을 둘러싸고 접지된 히터 블록 (520) 과 협력하는 샤워헤드 (514) 를 포함하는 커패시터 타입 시스템에 의해 생성된 플라즈마를 담도록 기능하는, 프로세스 챔버 (524) 를 포함한다. 고주파수 RF 생성기 (502) 및 선택가능한 저주파수 RF 생성기 (504) 는 매칭 네트워크 (506) 에 연결되고, 결국 샤워헤드 (514) 에 연결된다.
반응기 내에서, 웨이퍼 페데스탈 (518) 이 기판 (516) 을 지지한다. 페데스탈은 통상적으로 증착 반응들 동안 그리고 반응들 사이에 기판을 홀딩하고 이송하기 위한 척, 포크 (fork) 또는 리프트 핀들을 포함한다. 척은 정전 척, 기계적 척 또는 산업계 및/또는 연구에 사용하기에 적합하다면, 다양한 다른 타입들의 척일 수도 있다.
프로세스 가스들은 유입구 (512) 를 통해 도입된다. 복수의 소스 가스 라인들 (510) 이 매니폴드 (508) 에 연결된다. 가스들은 미리 혼합될 수도 있고 되지 않을 수도 있다. 프로세스의 페이즈들, 증착, 퍼지 및 플라즈마 처리 동안 올바른 가스들이 전달된다는 것을 보장하도록 적절한 밸브 및 질량 유량 제어 (mass flow control) 메커니즘들이 채용된다. 화학적 전구체(들)가 액체 형태로 전달되는 경우, 액체 유량 제어 메커니즘들이 채용된다. 이어서 증착 챔버에 도달하기 전에 기화점 이상으로 가열된 매니폴드 내에서 이송 동안 액체가 기화되고 다른 프로세스 가스들과 혼합된다.
프로세스 가스들은 유출구 (522) 를 통해 챔버 (524) 를 나간다. 진공 펌프 (526) (예를 들어, 1 또는 2 단계 기계적 건식 펌프 및/또는 터보분자 펌프) 가 통상적으로 쓰로틀 밸브 또는 펜둘럼 밸브와 같은, 폐루프 제어된 플로우 제한 디바이스에 의해 프로세스 가스들을 인출하고 반응기 내에서 적합하게 저 압력을 유지한다.
제어기 (530) 는 PECVD 반응기 (500) 와 연관되고 본 명세서에 기술된 임의의 프로세스들을 수행하기 위한 프로그램 인스트럭션들을 포함할 수 있다. 예를 들어, 제어기 (530) 는 저온에서 PECVD 실리콘 옥사이드의 증착을 수행하고, 실리콘-함유 전구체를 제거하기 위해 프로세스 챔버를 퍼지하고, 실리콘 옥사이드 층의 응력을 감소시키기 위해 증착된 실리콘 옥사이드 층을 플라즈마 처리하기 위해 필요한 파라미터들을 특정할 수 있다.
일 실시예에서, 멀티-스테이션 장치는 PECVD 증착 및 처리를 위해 사용될 수도 있다. 멀티-스테이션 반응기는 일 반응기로 하여금 일 챔버 환경에서 동시에 상이한 프로세스들을 실행하게 하여, 웨이퍼 프로세싱의 효율을 상승시킨다. 이러한 장치의 일 예는 도 6에 도시된다. 평면도의 개략적인 표현이 도시된다. 장치 챔버 (601) 는 4 개의 스테이션들 (603 내지 609) 을 포함한다. 일반적으로, 임의의 수의 스테이션들이 멀티-스테이션 장치의 단일 챔버 내에서 가능하다. 스테이션 (603) 은 기판 웨이퍼들의 로딩 및 언로딩을 위해 사용된다. 인덱싱 플레이트 (611) 가 웨이퍼들을 스테이션으로부터 스테이션으로 인덱싱하도록 사용된다. 제어기 (613) 는 본 명세서에 기술된 프로세스들을 위한 인스트럭션들을 포함할 수 있다. 스테이션들 (603 내지 609) 은 동일하거나 상이한 기능들을 가질 수도 있다. 예를 들어, 스테이션들 중 일부는 PECVD 증착을 맡을 수도 있고, 다른 스테이션들은 증착된 막들의 플라즈마 처리만을 위해 사용될 수도 있다. 일 실시예에서, 개별 스테이션들은 구별된 프로세스 조건들 하에서 동작할 수 있고 서로로부터 실질적으로 구별될 수도 있다. 또 다른 구현예에서, 스테이션 각각은 PECVD 증착 및 증착된 층의 처리를 수행하도록 구성된다.
상기 기술된 실시예들 중 일 실시예에 따라, 모든 스테이션들 (603 내지 609) 은 동일한 기능을 수행하도록 구성되고, 스테이션 각각은 실리콘 옥사이드의 PECVD 증착 및 증착된 층의 처리 모두를 위해 구성된다. 인덱싱 플레이트 (611) 가 페데스탈들로부터 기판들을 리프팅하고 기판들을 다음 프로세싱 스테이션에서 정확하게 포지셔닝하기 위해 사용된다. 웨이퍼 기판이 스테이션 (603) 에 로딩된 후, 웨이퍼는 (PECVD 증착 및 처리를 포함하는) 고품질 실리콘 옥사이드 막의 형성이 수행되는, 스테이션 (605) 으로 인덱싱된다. 이어서 웨이퍼는 실리콘 옥사이드의 부가적인 부분이 증착되고 처리되는 스테이션 (607) 으로 이동된다. 기판은 실리콘 옥사이드의 추가 증착 및 처리가 수행되는 스테이션 (609) 으로 또한 인덱싱되고, 이어서 확산 실리콘 옥사이드 층의 추가 증착 및 처리를 위해 스테이션 (603) 으로 인덱싱된다. 예를 들어, 스테이션 각각은 100 Å 두께의 실리콘 옥사이드 층을 형성하기 위해 25 Å의 실리콘 옥사이드를 증착 및 처리할 수도 있고, 또는 스테이션 각각은 200 Å 두께의 실리콘 옥사이드 층을 형성하기 위해 50 Å의 실리콘 옥사이드를 증착 및 처리할 수도 있다. 프로세싱된 웨이퍼는 스테이션 (603) 에서 언로딩되고, 모듈이 새로운 웨이퍼로 채워진다 (charge). 정상 동작 동안, 별도의 기판이 스테이션 각각을 점유하고 매번 시간 프로세스가 반복되고, 기판들은 새로운 스테이션들로 이동된다. 따라서, 4 개의 스테이션들 (603, 605, 607, 및 609) 을 갖는 장치는 4 개의 웨이퍼들의 동시 프로세싱을 허용한다. 수반하는 이 타입의 프로세싱은 막 형성을 실질적으로 동일한 페이즈들로 세분하는 (breaking down) 것을 수반하고, 페이즈 각각은 막의 일부의 증착 및 처리를 포함하고, 증착의 웨이퍼-웨이퍼 재생력을 상승시키기 때문에 특히 유리하다.
프로세스 조건들 및 프로세스 플로우 자체는 RF 플라즈마 전력, 가스 플로우 레이트들 및 시간들, 온도, 압력, 등과 같은 특정한 프로세스 변수들을 모니터링, 유지 및/또는 조정하기 위한 프로그램 인스트럭션들을 포함하는 제어기 유닛 (613) 에 의해 제어될 수 있다. 예를 들어, 실리콘 옥사이드 증착을 위한 실란 및 CO2의 플로우 레이트들을 특정하는 인스트럭션들이 포함될 수도 있다. 인스트럭션들은 상기 기술된 방법들에 따른, 동작들을 수행하기 위한 모든 파라미터들을 특정할 수도 있다. 예를 들어, 인스트럭션들은 PECVD 증착, 퍼지 및 플라즈마 처리 파라미터들을 포함할 수도 있다. 제어기는 상이한 장치 스테이션들에 대한 상이하거나 동일한 인스트럭션들을 포함할 수도 있어서, 장치 스테이션들로 하여금 독립적으로 또는 동기하여 동작하게 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체가 시스템 제어기에 커플링될 수도 있다.
본 명세서에 상기 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들이 일반의 제조 설비에서 함께 사용되거나 실행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 다음 단계들: (1) 스핀-온 또는 스프레이-온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트의 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광 또는 UV 광 또는 x-레이 광에 포토레지스트를 노출하는 단계; (4) 레지스트를 선택적으로 제거하여 습식 벤치와 같은 툴을 사용하여 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계 중 일부 또는 전부를 포함한다.
기술된 방법들 및 디바이스들의 몇몇 실시예들이 이제 특정한 예들로 예시된다.
실험적 예들
예 1. 저 응력 및 고 밀도를 갖는 실리콘 옥사이드 막들의 형성.
다수의 실리콘 옥사이드 막들이 CA, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 Vector PECVD 반응기에서 180 ℃의 온도, 2.5 Torr 내지 3.5 Torr의 압력들에서 평면형 300 ㎜ 웨이퍼들 상에 PECVD에 의해 증착된다. 증착 동안 SiH4 (30 sccm로 제공됨), CO2 (4200 sccm로 제공됨) 및 He로 구성된 프로세스 가스가 사용된다. 플라즈마는 100 내지 400 W의 전력 레벨에서13.56 ㎒의 HF RF 생성을 사용하여 프로세스 가스에서 생성된다. 증착은 5 초 동안 수행되고; 이어서 플라즈마 및 헬륨 플로우는 프로세스 챔버로부터 SiH4 및 CO2를 퍼지하기 위해 5 초 동안 유지되는 동안, 프로세스 챔버로의 SiH4 및 CO2 의 플로우가 중단된다. 다음에, 플라즈마 전력은 500 내지 1000 W로 상승되고 헬륨 플로우는 1000 내지 4000 sccm으로 상승되고 증착된 실리콘 옥사이드 막들은 6 내지 20 초 동안 이들 조건들 하에서 플라즈마 처리된다. 온도 및 압력은 프로세스 내내 막 각각에 대해 일정하게 유지된다. 플로우 레이트들 및 플라즈마 전력 레벨들은 단일 웨이퍼에 대한 프로세싱을 위해 제공된다. 막들은 응력의 정확한 측정을 용이하게 하기 위해 400 Å의 두께로 증착된다. 증착 후에, 형성된 막들의 응력 및 밀도가 측정된다. 응력은 Si 웨이퍼 기판 및 막 두께 타원 편광 반사법 (ellipsometry) 의 보우 (bow) 변화의 측정값을 사용하여 계산된다. 밀도는 Si 기판 및 막 두께 타원 편광 반사법에서 질량의 변화에 의해 계산된다. 형성된 막들의 밀도 및 응력 값들은 도 7에 도시된 플롯으로 예시된다. 80 MPa보다 낮은, 50 MPa보다 훨씬 낮은 절대 응력 값들을 갖는 막들이 획득된다는 것을 알 수 있다. 일부 막들은 30 MPa 미만의 응력 값들을 나타낸다. 형성된 막들은 2.05 g/㎤보다 큰 밀도들을 갖는다. 일부 막들은 2.1 g/㎤보다 큰 밀도들을 갖는다. 보다 낮은 응력 (절대 값으로) 이 보다 낮은 밀도와 연관된다는, 밀도와 응력 경향들 간 상관관계가 있다는 것을 도 7로부터 알 수 있다. 그럼에도 불구하고, 제공된 방법들은 하드마스크 애플리케이션들에 적합한 용인가능한 응력 및 밀도 값들을 갖는 막들을 생성한다. 반대로, 플라즈마 처리의 부재시, 저온에서 형성된 PECVD 실리콘 옥사이드 막들은 100 MPa 초과하는 절대 응력 값들을 갖는다.
예 2. 형성된 저-응력 막들의 구조체.
저-응력 실리콘 옥사이드 재료의 FT IR 스펙트럼이 획득된다. 예 1에 기술된 바와 같이, 다음의 프로세스 파라미터들: 180 ℃의 온도, 3.5 Torr의 압력, (13.56 ㎒로 생성된) 100 W의 플라즈마 전력, 30 sccm의 SiH4 플로우 레이트, 4200 sccm의 CO2 플로우 레이트 및 1250 sccm의 He 플로우 레이트를 사용하여 막이 형성되었다. 형성된 막은 -40 MPa 미만의 응력을 갖는다. FT IR 스펙트럼은 약 2250 cm-1에서 Si-H 피크를 갖지 않는다는 것을 알 수 있고, 이는 통상적으로 플라즈마 후-처리 없이 저온 PECVD에 의해 증착된 실리콘 옥사이드 막들에서 나타난다. 이는 플라즈마 후-처리가 형성된 막들에서 수소의 농도를 감소시킨다는 것을 나타낸다.
예 3. 플라즈마 후-처리에 의한 응력, 밀도 및 RI의 개선.
비교예인 실리콘 옥사이드 막이 저온 PECVD에 의해 411 Å의 두께로 증착되고 응력, 밀도 및 RI가 측정된다. 증착은 180 ℃의 온도, 및 3.5 Torr의 압력, 100 W (13.56 ㎒) 의 플라즈마 전력, 30 sccm의 SiH4 플로우 레이트, 4200 sccm의 CO2 플로우 레이트 및 1250 sccm의 He 플로우 레이트에서 수행되었다.
또 다른 실리콘 옥사이드 막이 상기 비교예의 막의 증착 시 사용된 것과 동일한 프로세스 조건들을 사용하여 저온 PECVD에 의해 증착되고, 이어서 180 ℃의 온도, 3.5 Torr의 압력, 500 W (13.56 ㎒) 의 플라즈마 전력, 및 1000 sccm의 He 플로우 레이트에서 플라즈마 처리된다. 처리된 막의 응력, 밀도 및 RI이 측정된다.
비교예의 막 및 처리된 막에 대한 파라미터들이 표 4에 제공된다.
Figure 112019042554914-pct00004
1.47보다 큰 RI는 박막들에 대한 계측 오프셋으로 인해 획득된다는 것을 주의한다. RI는 타원 편광 반사법을 사용하여 측정되었다.
예 4. 처리 단계에서 압력을 사용한 응력의 조정
예 1에 기술된 바와 같이 4 개의 막들이 증착되고 헬륨 플라즈마를 사용하여 처리된다. 다음의 조건들: 180 ℃의 온도, 100 W (13.56 ㎒) 의 플라즈마 전력, 30 sccm의 SiH4 플로우 레이트, 4200 sccm의 CO2 플로우 레이트 및 1250 sccm의 He 플로우 레이트이 사용된다. 증착 동안 압력은 모든 4 개의 막들에 대해 일정하고 3.5 Torr였다. 플라즈마 처리 단계 동안 압력은 1.5 torr, 2.5 torr, 3.5 torr과 4.5 torr 사이에서 가변한다. 처리 압력은 막 형성 동안 가변하는 유일한 프로세스 파라미터이다. 도 9a는 처리 압력의 함수로서 4 개의 막들에 대한 응력 값들의 플롯이다. 응력의 절대 값이 약 50 Mpa로부터 약 35 MPa로 압력을 상승시키는 것에 의해 감소된다는 것을 알 수 있다.
예 5. 플라즈마 처리 시간을 사용한 응력의 조정.
본 명세서에 기술된 바와 같이, 상이한 두께들을 갖는 몇몇의 막들이 증착되고 헬륨을 사용하여 플라즈마 처리된다. 막 응력에 대한 플라즈마 처리 단계의 지속기간의 효과가 연구되고 도 9b에 도시된다. 50 Å 막들 (곡선 a) 은 처리의 지속기간이 3 초로부터 12 초로 상승될 때 절대 값으로 약 50 MPa 으로부터 약 10 MPa로 응력의 감소를 나타낸다. 33 Å 막들 (곡선 b) 및 25 Å 막들 (곡선 c) 은 처리 지속기간 시간의 변화들에 응답하여 다소 작다.
예 6. 상이한 두께들의 막들에 대해 플라즈마 처리 시간을 사용한 응력의 조정.
본 명세서에 기술된 바와 같이 상이한 두께들을 갖는 몇몇 막들이 증착되고 헬륨을 사용하여 플라즈마 처리된다. 막 응력에 대한 플라즈마 처리 단계의 지속기간의 효과는 연구되고 도 9c에 도시된다. 500 W 플라즈마 전력을 사용하여 처리된 50 Å 막들 (곡선 a) 은 처리의 지속기간이 6 초로부터 12 초로 상승될 때 절대 응력의 감소를 나타낸다. 500 W 플라즈마 전력을 사용하여 처리된 100 Å 두께를 갖는 막들 (곡선 b) 은 또한 처리의 지속기간이 12 초로부터 20 초로 상승할 때 응력의 감소를 나타낸다.
다양한 상세들이 명확성을 위해 생략되었지만, 다양한 설계 대안들이 구현될 수도 있다. 따라서, 제시된 예들은 예시적이고 비제한적인 것으로 간주되고, 본 발명은 본 명세서에 제공된 상세들로 제한되지 않고, 첨부된 청구항들의 범위 내에서 수정될 수도 있다.

Claims (28)

  1. 반도체 기판을 프로세싱하는 방법에 있어서,
    (a) PECVD 프로세스 챔버에 반도체 기판을 제공하는 단계;
    (b) 200 ℃보다 낮은 온도에서 PECVD에 의해 상기 반도체 기판 상에 실리콘 옥사이드 층을 증착하는 단계로서, 상기 증착하는 단계는 실리콘-함유 전구체 및 산소-함유 반응물질을 상기 PECVD 프로세스 챔버 내로 흘리는 단계 및 플라즈마를 형성하는 단계를 포함하는, 상기 증착하는 단계;
    (c) 증착 후에 상기 실리콘-함유 전구체의 플로우를 중단시키는 단계; 및
    (d) 상기 증착된 층을 개질하고 (modify) 80 MPa보다 낮은 절대 값으로 상기 증착된 층의 응력을 감소시키기 위해 200 ℃보다 낮은 온도에서 상기 증착된 실리콘 옥사이드 층을 플라즈마로 처리하는 단계를 포함하고,
    상기 단계 (d) 에서 상기 실리콘 옥사이드 층의 상기 플라즈마 처리는 상기 실리콘 옥사이드 층에서 수소의 함량을 감소시키는, 반도체 기판을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    플라즈마 생성을 위해 사용되는 전력을 상기 단계 (b) 에서 사용된 제 1 전력 레벨로부터 상기 단계 (d) 에서 사용된 제 2, 보다 높은 전력 레벨로 상승시키는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 단계 (d) 는 본질적으로 헬륨으로 구성된 프로세스 가스에서 형성된 플라즈마로 상기 증착된 실리콘 옥사이드 층을 처리하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  4. 제 1 항에 있어서,
    상기 단계 (a) 에서 제공된 상기 반도체 기판은 250 ℃ 이상의 온도들에 민감한 하나 이상의 온도-민감 재료 층들을 포함하는, 반도체 기판을 프로세싱하는 방법.
  5. 제 4 항에 있어서,
    상기 온도-민감 재료는 유기 재료인, 반도체 기판을 프로세싱하는 방법.
  6. 제 4 항에 있어서,
    상기 온도-민감 재료는 스핀-온 유전체인, 반도체 기판을 프로세싱하는 방법.
  7. 제 1 항에 있어서,
    상기 실리콘 옥사이드 층의 상기 증착은 180 ℃보다 낮은 온도에서 수행되는, 반도체 기판을 프로세싱하는 방법.
  8. 제 1 항에 있어서,
    상기 단계 (d) 후에 획득된 상기 실리콘 옥사이드 층의 상기 응력의 절대 값은 50 MPa보다 낮은, 반도체 기판을 프로세싱하는 방법.
  9. 제 1 항에 있어서,
    상기 단계 (d) 후에 획득된 상기 실리콘 옥사이드 층의 상기 응력의 절대 값은 10 MPa보다 낮은, 반도체 기판을 프로세싱하는 방법.
  10. 삭제
  11. 반도체 기판을 프로세싱하는 방법에 있어서,
    (a) PECVD 프로세스 챔버에 반도체 기판을 제공하는 단계;
    (b) 200 ℃보다 낮은 온도에서 PECVD에 의해 상기 반도체 기판 상에 실리콘 옥사이드 층을 증착하는 단계로서, 상기 증착하는 단계는 실리콘-함유 전구체 및 산소-함유 반응물질을 상기 PECVD 프로세스 챔버 내로 흘리는 단계 및 플라즈마를 형성하는 단계를 포함하는, 상기 증착하는 단계;
    (c) 증착 후에 상기 실리콘-함유 전구체의 플로우를 중단시키는 단계; 및
    (d) 상기 증착된 층을 개질하고 80 MPa보다 낮은 절대 값으로 상기 증착된 층의 응력을 감소시키기 위해 200 ℃보다 낮은 온도에서 상기 증착된 실리콘 옥사이드 층을 플라즈마로 처리하는 단계를 포함하고,
    상기 단계 (d) 에서 플라즈마 처리 후에 획득된 상기 실리콘 옥사이드는 IR 스펙트럼 상에서 2200 내지 2300 cm-1에서 Si-H 피크를 갖지 않는, 반도체 기판을 프로세싱하는 방법.
  12. 반도체 기판을 프로세싱하는 방법에 있어서,
    (a) PECVD 프로세스 챔버에 반도체 기판을 제공하는 단계;
    (b) 200 ℃보다 낮은 온도에서 PECVD에 의해 상기 반도체 기판 상에 실리콘 옥사이드 층을 증착하는 단계로서, 상기 증착하는 단계는 실리콘-함유 전구체 및 산소-함유 반응물질을 상기 PECVD 프로세스 챔버 내로 흘리는 단계 및 플라즈마를 형성하는 단계를 포함하는, 상기 증착하는 단계;
    (c) 증착 후에 상기 실리콘-함유 전구체의 플로우를 중단시키는 단계; 및
    (d) 상기 증착된 층을 개질하고 80 MPa보다 낮은 절대 값으로 상기 증착된 층의 응력을 감소시키기 위해 200 ℃보다 낮은 온도에서 상기 증착된 실리콘 옥사이드 층을 플라즈마로 처리하는 단계를 포함하고,
    상기 단계 (b) 후 그리고 상기 단계 (c) 전에 상기 플라즈마를 유지하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  13. 제 1 항에 있어서,
    상기 단계 (b) 후 그리고 상기 단계 (d) 전에 상기 프로세스 챔버로부터 상기 실리콘-함유 전구체를 제거하기 위해 상기 PECVD 프로세스 챔버를 퍼지하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  14. 제 1 항에 있어서,
    상기 단계 (b) 는 상기 PECVD 프로세스 챔버 내로 불활성 가스를 흘리는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  15. 제 14 항에 있어서,
    상기 실리콘-함유 전구체는 SiH4이고, 상기 산소-함유 반응물질은 CO2이고, 상기 불활성 가스는 He인, 반도체 기판을 프로세싱하는 방법.
  16. 제 1 항에 있어서,
    상기 단계 (b) 는 1.5 내지 5 Torr의 압력에서 수행되는, 반도체 기판을 프로세싱하는 방법.
  17. 제 1 항에 있어서,
    상기 단계 (b) 및 상기 단계 (d) 는 실질적으로 동일한 압력 및 온도에서 동일한 PECVD 프로세스 챔버에서 수행되는, 반도체 기판을 프로세싱하는 방법.
  18. 반도체 기판을 프로세싱하는 방법에 있어서,
    (a) PECVD 프로세스 챔버에 반도체 기판을 제공하는 단계;
    (b) 200 ℃보다 낮은 온도에서 PECVD에 의해 상기 반도체 기판 상에 실리콘 옥사이드 층을 증착하는 단계로서, 상기 증착하는 단계는 실리콘-함유 전구체 및 산소-함유 반응물질을 상기 PECVD 프로세스 챔버 내로 흘리는 단계 및 플라즈마를 형성하는 단계를 포함하는, 상기 증착하는 단계;
    (c) 증착 후에 상기 실리콘-함유 전구체의 플로우를 중단시키는 단계; 및
    (d) 상기 증착된 층을 개질하고 80 MPa보다 낮은 절대 값으로 상기 증착된 층의 응력을 감소시키기 위해 200 ℃보다 낮은 온도에서 상기 증착된 실리콘 옥사이드 층을 플라즈마로 처리하는 단계를 포함하고,
    상기 단계 (b) 내지 상기 단계 (d) 는 멀티-스테이션 PECVD 장치의 제 1 스테이션에서 수행되고, 상기 방법은 상기 단계 (d) 후에 멀티-스테이션 PECVD 장치의 제 2 스테이션으로 상기 반도체 기판을 이동시키는 단계, 및 상기 멀티-스테이션 PECVD 장치의 상기 제 2 스테이션에서 상기 단계 (b) 내지 상기 단계 (d) 를 반복하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  19. 제 1 항에 있어서,
    상기 반도체 기판에 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 광에 노출하는 단계;
    상기 포토레지스트를 패터닝하고 상기 패턴을 상기 반도체 기판에 전사하는 단계; 및
    상기 반도체 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  20. 반도체 기판을 프로세싱하는 방법에 있어서,
    (a) PECVD 프로세스 챔버에 반도체 기판을 제공하는 단계;
    (b) 200 ℃보다 낮은 온도에서 PECVD에 의해 상기 반도체 기판 상에 실리콘 옥사이드 층을 증착하는 단계;
    (c) 증착 후에 실리콘-함유 전구체의 플로우를 중단시키는 단계; 및
    (d) 상기 증착된 층을 개질하고 80 MPa보다 낮은 절대 값으로 상기 증착된 층의 응력을 감소시키기 위해 200 ℃보다 낮은 온도에서 상기 증착된 실리콘 옥사이드 층을 180 ㎚ 이하의 파장을 갖는 UV 광 및 플라즈마로 처리하는 단계를 포함하고,
    상기 UV 광은 상기 플라즈마에서 형성되고,
    상기 단계 (d) 에서 상기 실리콘 옥사이드 층의 상기 플라즈마 처리는 상기 실리콘 옥사이드 층에서 수소의 함량을 감소시키는, 반도체 기판을 프로세싱하는 방법.
  21. 반도체 기판 상의 실리콘 옥사이드 막들의 증착 및 플라즈마 처리를 위한 장치에 있어서,
    (a) 증착 동안 반도체 기판을 제자리에 홀딩하기 위한 기판 지지부를 포함하는 PECVD 프로세스 챔버;
    (b) 상기 PECVD 프로세스 챔버를 위한 플라즈마를 생성하기 위한 플라즈마 생성기; 및
    (c) 제어기로서,
    (i) 상기 PECVD 프로세스 챔버 내에서 200 ℃보다 낮은 온도에서 상기 반도체 기판 상에 실리콘 옥사이드 층을 증착하기 위한 프로그램 인스트럭션으로서, 상기 증착하기 위한 프로그램 인스트럭션은 실리콘-함유 전구체 및 산소-함유 반응물질을 상기 PECVD 프로세스 챔버 내로 흘리기 위한 인스트럭션 및 플라즈마를 형성하기 위한 인스트럭션을 포함하는, 상기 증착하기 위한 프로그램 인스트럭션;
    (ii) 증착 후에 상기 실리콘-함유 전구체의 플로우를 중단시키기 위한 프로그램 인스트럭션; 및
    (iii) 상기 증착된 층을 개질하고 80 MPa보다 낮은 절대 값으로 상기 증착된 층의 응력을 감소시키기 위해 200 ℃보다 낮은 온도에서 상기 증착된 실리콘 옥사이드 층을 플라즈마로 처리하기 위한 프로그램 인스트럭션을 포함하는, 상기 제어기를 포함하고,
    상기 프로그램 인스트럭션 (iii) 에서 상기 실리콘 옥사이드 층의 상기 플라즈마 처리는 상기 실리콘 옥사이드 층에서 수소의 함량을 감소시키는, 장치.
  22. 제 21 항에 있어서,
    상기 프로그램 인스트럭션들은 플라즈마 생성을 위해 사용된 전력을 상기 인스트럭션 (i) 에서 사용된 제 1 전력 레벨로부터 상기 인스트럭션 (iii) 에서 사용된 제 2, 보다 높은 전력 레벨로 상승시키기 위한 인스트럭션들을 포함하는, 장치.
  23. 반도체 기판 상의 실리콘 옥사이드 막들의 증착 및 UV 처리를 위한 장치에 있어서,
    (a) 증착 동안 반도체 기판을 제자리에 홀딩하기 위한 기판 지지부를 포함하는 PECVD 프로세스 챔버;
    (b) 상기 PECVD 프로세스 챔버를 위한 플라즈마를 생성하기 위한 플라즈마 생성기;
    (c) 180 ㎚ 이하의 파장을 갖는 UV 복사선을 생성하게 구성된 생성기; 및
    (d) 제어기로서,
    (i) 상기 PECVD 프로세스 챔버 내에서 200 ℃보다 낮은 온도에서 상기 반도체 기판 상에 실리콘 옥사이드 층을 증착하기 위한 프로그램 인스트럭션으로서, 상기 증착하기 위한 프로그램 인스트럭션은 실리콘-함유 전구체 및 산소-함유 반응물질을 상기 PECVD 프로세스 챔버 내로 흘리기 위한 인스트럭션 및 플라즈마를 형성하기 위한 인스트럭션을 포함하는, 상기 증착하기 위한 프로그램 인스트럭션;
    (ii) 증착 후에 상기 실리콘-함유 전구체의 플로우를 중단시키기 위한 프로그램 인스트럭션; 및
    (iii) 상기 증착된 층을 개질하고 80 MPa보다 낮은 절대 값으로 상기 증착된 층의 응력을 감소시키기 위해 200 ℃보다 낮은 온도에서 상기 증착된 실리콘 옥사이드 층을 180 ㎚ 이하의 파장을 갖는 UV 광 및 플라즈마로 처리하기 위한 프로그램 인스트럭션을 포함하고,
    상기 UV 광은 상기 플라즈마에서 형성되고,
    상기 프로그램 인스트럭션 (iii) 에서 상기 실리콘 옥사이드 층의 상기 플라즈마 처리는 상기 실리콘 옥사이드 층에서 수소의 함량을 감소시키는, 상기 제어기를 포함하는, 장치.
  24. 제 23 항에 있어서,
    상기 UV 복사선을 생성하게 구성된 생성기는 상기 PECVD 프로세스 챔버 내에 있는, 장치.
  25. 제 23 항에 있어서,
    상기 UV 복사선을 생성하게 구성된 생성기는 상기 PECVD 프로세스 챔버와 상이한 프로세스 챔버 내에 있는, 장치.
  26. 제 21 항 또는 제 23 항에 기재된 장치 및 스텝퍼를 포함하는, 시스템.
  27. (i) PECVD 프로세스 챔버 내에서 200 ℃보다 낮은 온도에서 반도체 기판 상에 실리콘 옥사이드 층을 증착하기 위한 코드로서, 상기 증착하기 위한 코드는 실리콘-함유 전구체 및 산소-함유 반응물질을 상기 PECVD 프로세스 챔버 내로 흘리기 위한 프로그램 인스트럭션 및 플라즈마를 형성하기 위한 프로그램 인스트럭션을 포함하는, 상기 증착하기 위한 코드;
    (ii) 증착 후에 상기 실리콘-함유 전구체의 플로우를 중단시키기 위한 코드; 및
    (iii) 상기 증착된 층을 개질하고 80 MPa보다 낮은 절대 값으로 상기 증착된 층의 응력을 감소시키기 위해 200 ℃보다 낮은 온도에서 상기 증착된 실리콘 옥사이드 층을 180 ㎚ 이하의 파장을 갖는 UV 광 및 플라즈마로 처리하기 위한 코드를 포함하고,
    상기 UV 광은 상기 플라즈마에서 형성되고,
    상기 코드 (iii) 에서 상기 실리콘 옥사이드 층의 상기 플라즈마 처리는 상기 실리콘 옥사이드 층에서 수소의 함량을 감소시키는, 비일시적인 컴퓨터 머신-판독가능 매체.
  28. (i) PECVD 프로세스 챔버 내에서 200 ℃보다 낮은 온도에서 반도체 기판 상에 실리콘 옥사이드 층을 증착하기 위한 코드로서, 상기 증착하기 위한 코드는 실리콘-함유 전구체 및 산소-함유 반응물질을 상기 PECVD 프로세스 챔버 내로 흘리기 위한 프로그램 인스트럭션 및 플라즈마를 형성하기 위한 프로그램 인스트럭션을 포함하는, 상기 증착하기 위한 코드;
    (ii) 증착 후에 상기 실리콘-함유 전구체의 플로우를 중단시키기 위한 코드; 및
    (iii) 상기 증착된 층을 개질하고 80 MPa보다 낮은 절대 값으로 상기 증착된 층의 응력을 감소시키기 위해 200 ℃보다 낮은 온도에서 상기 증착된 실리콘 옥사이드 층을 플라즈마로 처리하기 위한 코드를 포함하고,
    상기 코드 (iii) 에서 상기 실리콘 옥사이드 층의 상기 플라즈마 처리는 상기 실리콘 옥사이드 층에서 수소의 함량을 감소시키는, 비일시적인 컴퓨터 머신-판독가능 매체.
KR1020197011945A 2016-09-29 2017-09-13 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성 KR102430939B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227027073A KR102570744B1 (ko) 2016-09-29 2017-09-13 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/280,049 2016-09-29
US15/280,049 US9847221B1 (en) 2016-09-29 2016-09-29 Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
PCT/US2017/051393 WO2018063804A1 (en) 2016-09-29 2017-09-13 Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227027073A Division KR102570744B1 (ko) 2016-09-29 2017-09-13 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성

Publications (2)

Publication Number Publication Date
KR20190049893A KR20190049893A (ko) 2019-05-09
KR102430939B1 true KR102430939B1 (ko) 2022-08-08

Family

ID=60629250

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227027073A KR102570744B1 (ko) 2016-09-29 2017-09-13 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
KR1020197011945A KR102430939B1 (ko) 2016-09-29 2017-09-13 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227027073A KR102570744B1 (ko) 2016-09-29 2017-09-13 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성

Country Status (5)

Country Link
US (1) US9847221B1 (ko)
KR (2) KR102570744B1 (ko)
CN (1) CN109791870B (ko)
TW (1) TW201828339A (ko)
WO (1) WO2018063804A1 (ko)

Families Citing this family (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10515822B2 (en) * 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108122790B (zh) * 2016-11-29 2020-12-18 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) * 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6903040B2 (ja) 2018-09-21 2021-07-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
CN112868087A (zh) * 2018-10-10 2021-05-28 朗姆研究公司 用于膜沉积和表面处理的连续等离子体
US12014921B2 (en) 2018-10-16 2024-06-18 Lam Research Corporation Plasma enhanced wafer soak for thin film deposition
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US10964621B2 (en) 2019-05-01 2021-03-30 Micron Technology, Inc. Memory device with high resistivity thermal barrier
US11158561B2 (en) 2019-05-01 2021-10-26 Micron Technology, Inc. Memory device with low density thermal barrier
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN112397372B (zh) * 2019-08-19 2023-03-24 芯恩(青岛)集成电路有限公司 半导体器件的制作方法、半导体器件及其处理装置
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
US11430654B2 (en) 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
KR20220139376A (ko) * 2020-02-07 2022-10-14 램 리써치 코포레이션 실리콘-함유 막들의 고온 증착을 위한 전구체들
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220012474A (ko) * 2020-07-22 2022-02-04 주식회사 원익아이피에스 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
US11640905B2 (en) * 2020-12-17 2023-05-02 Applied Materials, Inc. Plasma enhanced deposition of silicon-containing films at low temperature
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220336216A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Helium-free silicon formation
CN117223088A (zh) * 2021-04-27 2023-12-12 应用材料公司 用于半导体处理的应力与重叠管理
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023102376A1 (en) * 2021-12-01 2023-06-08 Lam Research Corporation Deposition of high compressive stress thermally stable nitride film

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090239390A1 (en) * 2003-03-31 2009-09-24 Novellus Systems, Inc. Methods for producing low stress porous and cdo low-k dielectric materials using precursors with organic functional groups

Family Cites Families (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704219A (en) 1971-04-07 1972-11-28 Mcdowell Electronics Inc Impedance matching network for use with sputtering apparatus
US3983385A (en) 1974-08-23 1976-09-28 Union Carbide Corporation Method and apparatus for operating a mercury vapor lamp
US4313969A (en) 1979-09-10 1982-02-02 Fusion Systems Corporation Method and apparatus for providing low gloss and gloss controlled radiation-cured coatings
US4357451A (en) 1980-05-21 1982-11-02 Phillips Petroleum Company Chemical dehydroxylation of silica
US4391663A (en) 1980-12-05 1983-07-05 Hutter Iii Charles G Method of curing adhesive
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
US4837289A (en) 1987-04-30 1989-06-06 Ciba-Geigy Corporation UV- and heat curable terminal polyvinyl functional macromers and polymers thereof
JPS63307740A (ja) 1987-06-09 1988-12-15 Semiconductor Energy Lab Co Ltd 光化学反応処理装置
US4751191A (en) 1987-07-08 1988-06-14 Mobil Solar Energy Corporation Method of fabricating solar cells with silicon nitride coating
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
US4956582A (en) 1988-04-19 1990-09-11 The Boeing Company Low temperature plasma generator with minimal RF emissions
US5174881A (en) 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
JPH01296611A (ja) 1988-05-25 1989-11-30 Canon Inc 半導体薄膜堆積法
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JPH01319944A (ja) 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
JPH06105691B2 (ja) 1988-09-29 1994-12-21 株式会社富士電機総合研究所 炭素添加非晶質シリコン薄膜の製造方法
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
US5088003A (en) 1989-08-24 1992-02-11 Tosoh Corporation Laminated silicon oxide film capacitors and method for their production
JPH03277774A (ja) 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
ATE199046T1 (de) 1990-05-09 2001-02-15 Canon Kk Erzeugung von mustern und herstellungsverfahren für halbleiteranordnungen mit diesem muster
US5268320A (en) 1990-12-26 1993-12-07 Intel Corporation Method of increasing the accuracy of an analog circuit employing floating gate memory devices
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH0812847B2 (ja) 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
JPH0531735A (ja) 1991-08-02 1993-02-09 Canon Inc 光学素子の成形装置
JP2925799B2 (ja) 1991-08-30 1999-07-28 ホーヤ株式会社 耐候性試験機用光学フィルター構造体および耐候性試験機
US5300331A (en) 1991-09-27 1994-04-05 Fusion Systems Corporation Method and apparatus for UV curing thick pigmented coatings
US5298939A (en) 1991-11-04 1994-03-29 Swanson Paul A Method and apparatus for transfer of a reticle pattern onto a substrate by scanning
JP2934084B2 (ja) 1991-11-25 1999-08-16 キヤノン株式会社 成形装置
US5215588A (en) 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5582880A (en) 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
US5281546A (en) 1992-09-02 1994-01-25 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the intrinsic silicon/doped layer interface
US5339198A (en) 1992-10-16 1994-08-16 The Dow Chemical Company All-polymeric cold mirror
US7097712B1 (en) 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
US5407524A (en) 1993-08-13 1995-04-18 Lsi Logic Corporation End-point detection in plasma etching by monitoring radio frequency matching network
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
DE4419234A1 (de) 1994-06-01 1995-12-07 Wacker Chemie Gmbh Verfahren zur Silylierung von anorganischen Oxiden
US6015503A (en) 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5840600A (en) 1994-08-31 1998-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and apparatus for treating semiconductor device
JP3632256B2 (ja) 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
JP4180659B2 (ja) 1996-03-28 2008-11-12 インテル・コーポレーション 垂直スタック交差を有するメモリ・セル設計
US5849640A (en) 1996-04-01 1998-12-15 Vanguard International Semiconductor Corporation In-situ SOG etchback and deposition for IMD process
US5700844A (en) 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5660895A (en) * 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
JPH09306892A (ja) 1996-05-14 1997-11-28 Hitachi Ltd クリーニング方法および半導体製造装置
US6280171B1 (en) 1996-06-14 2001-08-28 Q2100, Inc. El apparatus for eyeglass lens curing using ultraviolet light
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6218260B1 (en) 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5858457A (en) 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US5876798A (en) 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
JPH11214364A (ja) 1998-01-28 1999-08-06 Matsushita Electron Corp 半導体ウェハ処理装置
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
JP4521992B2 (ja) 1998-04-01 2010-08-11 旭化成株式会社 配線構造体の製造方法
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
KR100343134B1 (ko) 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6150272A (en) 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6407007B1 (en) 1998-11-17 2002-06-18 Taiwan Semiconductor Manufacturing Company Method to solve the delamination of a silicon nitride layer from an underlying spin on glass layer
US6290589B1 (en) 1998-12-09 2001-09-18 Applied Materials, Inc. Polishing pad with a partial adhesive coating
US6383466B1 (en) 1998-12-28 2002-05-07 Battelle Memorial Institute Method of dehydroxylating a hydroxylated material and method of making a mesoporous film
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
KR20000043888A (ko) 1998-12-29 2000-07-15 김영환 플래쉬 메모리 소자의 제조 방법
US6239018B1 (en) 1999-02-01 2001-05-29 United Microelectronics Corp. Method for forming dielectric layers
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6254689B1 (en) 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
JP2000286254A (ja) 1999-03-31 2000-10-13 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP3410385B2 (ja) 1999-04-19 2003-05-26 株式会社ディスコ 洗浄装置及び切削装置
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
WO2000070666A1 (fr) 1999-05-14 2000-11-23 Tokyo Electron Limited Technique de traitement et dispositif correspondant
JP2001104776A (ja) 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
US6136963A (en) 1999-07-27 2000-10-24 Heska Corporation Parasitic helminth DiAg2 nucleic acid molecules, and uses thereof
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
ATE414326T1 (de) 1999-08-17 2008-11-15 Applied Materials Inc Methode und apparat zur verbesserung der eigenschaften eines niedrig-k si-o-c filmes
KR100338057B1 (ko) 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6740566B2 (en) 1999-09-17 2004-05-25 Advanced Micro Devices, Inc. Ultra-thin resist shallow trench process using high selectivity nitride etch
JP4774568B2 (ja) 1999-10-01 2011-09-14 ソニー株式会社 半導体装置の製造方法
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
JP2001329088A (ja) 1999-10-18 2001-11-27 Nippon Sheet Glass Co Ltd 二酸化珪素被覆ポリオレフィン樹脂及びその製造方法
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US20050022839A1 (en) 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6580094B1 (en) 1999-10-29 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Electro luminescence display device
JP3430091B2 (ja) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
US6365266B1 (en) 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US6136680A (en) 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6367412B1 (en) 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6329062B1 (en) 2000-02-29 2001-12-11 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6387453B1 (en) 2000-03-02 2002-05-14 Sandia Corporation Method for making surfactant-templated thin films
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US20030157267A1 (en) 2000-03-20 2003-08-21 Carlo Waldfried Fluorine-free plasma curing process for porous low-k materials
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6572252B1 (en) 2000-04-25 2003-06-03 Advanced Micro Devices, Inc. System and method for illuminating a semiconductor processing system
US6444715B1 (en) 2000-06-06 2002-09-03 Honeywell International Inc. Low dielectric materials and methods of producing same
US6867143B1 (en) 2000-06-22 2005-03-15 International Business Machines Corporation Method for etching a semiconductor substrate using germanium hard mask
JP3910344B2 (ja) 2000-06-28 2007-04-25 リンテック株式会社 セラミックグリーンシート製造用工程フィルム
US6485599B1 (en) 2000-07-11 2002-11-26 International Business Machines Corporation Curing of sealants using multiple frequencies of radiation
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US6391932B1 (en) 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
WO2002021593A2 (en) 2000-09-08 2002-03-14 Applied Materials, Inc. Method of forming titanium nitride (tin) films using metal-organic chemical vapor deposition (mocvd)
TW535253B (en) 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
AU2001288954A1 (en) 2000-09-13 2002-03-26 Shipley Company, L.L.C. Electronic device manufacture
US6856712B2 (en) 2000-11-27 2005-02-15 University Of Washington Micro-fabricated optical waveguide for use in scanning fiber displays and scanned fiber image acquisition
US20020123240A1 (en) 2000-11-30 2002-09-05 Shipley Company, L.L.C. Electronic device manufacture
JP3516941B2 (ja) 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
TWI313059B (ko) 2000-12-08 2009-08-01 Sony Corporatio
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
KR100384850B1 (ko) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 탄탈륨옥사이드 유전막 형성 방법
JP4694768B2 (ja) 2001-01-04 2011-06-08 レーザー・イメージング・システムズ・ゲーエムベーハー・ウント・カンパニー・カーゲー 直接パターンライター
US6451685B1 (en) 2001-02-05 2002-09-17 Micron Technology, Inc. Method for multilevel copper interconnects for ultra large scale integration
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US20020187627A1 (en) 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
JP4682456B2 (ja) 2001-06-18 2011-05-11 株式会社日立ハイテクノロジーズ 基板処理方法及び基板処理装置
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR20030002993A (ko) 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
US6455417B1 (en) 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
US6570256B2 (en) 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6893544B2 (en) 2001-08-14 2005-05-17 Samsung Corning Co., Ltd. Apparatus and method for depositing thin films on a glass substrate
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20040058090A1 (en) 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
JP2003115576A (ja) 2001-10-03 2003-04-18 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
US6677253B2 (en) 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6680262B2 (en) 2001-10-25 2004-01-20 Intel Corporation Method of making a semiconductor device by converting a hydrophobic surface of a dielectric layer to a hydrophilic surface
US6899857B2 (en) 2001-11-13 2005-05-31 Chartered Semiconductors Manufactured Limited Method for forming a region of low dielectric constant nanoporous material using a microemulsion technique
WO2003045840A2 (en) 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
WO2003059990A1 (en) 2002-01-17 2003-07-24 Silecs Oy Thin films and methods for the preparation thereof
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
JP2003234402A (ja) 2002-02-12 2003-08-22 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6805801B1 (en) 2002-03-13 2004-10-19 Novellus Systems, Inc. Method and apparatus to remove additives and contaminants from a supercritical processing solution
JP2003273111A (ja) 2002-03-14 2003-09-26 Seiko Epson Corp 成膜方法及びその方法を用いて製造したデバイス、並びにデバイスの製造方法
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
CN100360710C (zh) 2002-03-28 2008-01-09 哈佛学院院长等 二氧化硅纳米层压材料的气相沉积
US20030199603A1 (en) 2002-04-04 2003-10-23 3M Innovative Properties Company Cured compositions transparent to ultraviolet radiation
US6764810B2 (en) 2002-04-25 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for dual-damascene formation using a via plug
KR100480500B1 (ko) 2002-04-25 2005-04-06 학교법인 포항공과대학교 절연막의 저온 증착법
US6812043B2 (en) 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6873051B1 (en) 2002-05-31 2005-03-29 Advanced Micro Devices, Inc. Nickel silicide with reduced interface roughness
US6812167B2 (en) 2002-06-05 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Method for improving adhesion between dielectric material layers
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
JP2004014841A (ja) 2002-06-07 2004-01-15 Fujitsu Ltd 半導体装置及びその製造方法
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6644786B1 (en) 2002-07-08 2003-11-11 Eastman Kodak Company Method of manufacturing a thermally actuated liquid control device
US6677251B1 (en) 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US6869862B2 (en) 2002-08-09 2005-03-22 Texas Instruments Incorporated Method for improving a physical property defect value of a gate dielectric
WO2004017383A2 (en) * 2002-08-18 2004-02-26 Aviza Technology, Inc. Low termperature deposition of silicon oxides and oxynitrides
US7234584B2 (en) 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6734118B2 (en) 2002-09-23 2004-05-11 Intel Corporation Dielectric material treatment
US6803313B2 (en) 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6759342B2 (en) 2002-10-11 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Method of avoiding dielectric arcing
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US20050025892A1 (en) 2002-11-01 2005-02-03 Sumitomo Chemical Company, Limited Composition for porous organic film
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US6924222B2 (en) 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US6831284B2 (en) 2002-11-21 2004-12-14 Applied Materials, Inc. Large area source for uniform electron beam generation
US7018918B2 (en) 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
KR100483290B1 (ko) 2002-12-14 2005-04-15 동부아남반도체 주식회사 반도체 소자의 제조 방법
US6939800B1 (en) 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
AU2003280168A1 (en) 2002-12-19 2004-07-14 Koninklijke Philips Electronics N.V. Stress-free composite substrate and method of manufacturing such a composite substrate
US20060121208A1 (en) 2003-01-09 2006-06-08 Siegel Stephen B Multiple wavelength UV curing
US7767056B2 (en) 2003-01-14 2010-08-03 Canon Anelva Corporation High-frequency plasma processing apparatus
US20040152239A1 (en) 2003-01-21 2004-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interface improvement by electron beam process
EP1592051A4 (en) 2003-01-24 2012-02-22 Tokyo Electron Ltd CHEMICAL VAPOR DEPOSITION METHOD FOR FORMING SILICON NITRIDE FILM ON A SUBSTRATE
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US6869542B2 (en) 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US6740602B1 (en) 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US7169715B2 (en) 2003-03-21 2007-01-30 Intel Corporation Forming a dielectric layer using porogens
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US20050260420A1 (en) 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6740605B1 (en) 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040221871A1 (en) 2003-05-07 2004-11-11 Fletcher Matthew F. Semiconductor wafer processing apparatus and method therefor
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP4209253B2 (ja) 2003-05-22 2009-01-14 忠弘 大見 フッ素添加カーボン膜の形成方法
JP3929939B2 (ja) 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US7425505B2 (en) 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US6972252B1 (en) 2003-08-25 2005-12-06 Novellus Systems, Inc. Method of improving adhesion between two dielectric films
US20050045206A1 (en) 2003-08-26 2005-03-03 Smith Patricia Beauregard Post-etch clean process for porous low dielectric constant materials
US7264676B2 (en) 2003-09-11 2007-09-04 United Microelectronics Corp. Plasma apparatus and method capable of adaptive impedance matching
US6936540B2 (en) 2003-09-18 2005-08-30 Micron Technology, Inc. Method of polishing a semiconductor substrate, post-CMP cleaning process, and method of cleaning residue from registration alignment markings
US20050064701A1 (en) 2003-09-19 2005-03-24 International Business Machines Corporation Formation of low resistance via contacts in interconnect structures
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US7132334B2 (en) 2003-09-23 2006-11-07 Macronix International Co., Ltd. Methods of code programming a mask ROM device
WO2005034194A2 (en) 2003-10-08 2005-04-14 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US6902440B2 (en) 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
EP1703328B1 (en) 2003-12-26 2010-04-14 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
WO2005071723A1 (ja) 2004-01-21 2005-08-04 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US7256111B2 (en) 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
KR100593737B1 (ko) 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US6962871B2 (en) 2004-03-31 2005-11-08 Dielectric Systems, Inc. Composite polymer dielectric film
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7112541B2 (en) 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
JP3972126B2 (ja) 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
US7504663B2 (en) 2004-05-28 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with a floating gate electrode that includes a plurality of particles
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
JP4503356B2 (ja) 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7709814B2 (en) 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7182673B2 (en) 2004-06-29 2007-02-27 Novellus Systems, Inc. Method and apparatus for post-CMP cleaning of a semiconductor work piece
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7223704B2 (en) 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
US7304302B1 (en) 2004-08-27 2007-12-04 Kla-Tencor Technologies Corp. Systems configured to reduce distortion of a resist during a metrology process and systems and methods for reducing alteration of a specimen during analysis
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
JP4893905B2 (ja) 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 ゼオライト用原料液体、ゼオライト結晶作成方法、ゼオライト用原料液体の作成方法、およびゼオライト薄膜
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20070196011A1 (en) 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20070134821A1 (en) 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7354852B2 (en) 2004-12-09 2008-04-08 Asm Japan K.K. Method of forming interconnection in semiconductor device
US20060142143A1 (en) 2004-12-15 2006-06-29 Hayim Abrevaya Process for preparing a dielectric interlayer film containing silicon beta zeolite
US7179755B2 (en) 2004-12-30 2007-02-20 Intel Corporation Forming a porous dielectric layer and structures formed thereby
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
TW200631095A (en) 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8361814B2 (en) 2005-02-11 2013-01-29 Applied Materials, Israel, Ltd. Method for monitoring chamber cleanliness
US7202564B2 (en) 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7253105B2 (en) 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7560144B2 (en) 2005-03-22 2009-07-14 Asm Japan K.K. Method of stabilizing film quality of low-dielectric constant film
US7300891B2 (en) 2005-03-29 2007-11-27 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US20060220251A1 (en) 2005-03-31 2006-10-05 Grant Kloster Reducing internal film stress in dielectric film
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7232730B2 (en) 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070009673A1 (en) 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same
US7410895B2 (en) 2005-07-12 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming interconnect structures
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US7902008B2 (en) 2005-08-03 2011-03-08 Globalfoundries Inc. Methods for fabricating a stressed MOS device
CN101253610A (zh) 2005-08-31 2008-08-27 住友化学株式会社 晶体管、有机半导体元件及它们的制造方法
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070077751A1 (en) 2005-10-03 2007-04-05 Mei-Ling Chen Method of restoring low-k material or porous low-k layer
KR101060825B1 (ko) 2005-10-14 2011-08-30 요시미 시오야 반도체 제조 장치 및 제조 방법
US20070105292A1 (en) 2005-11-07 2007-05-10 Neng-Kuo Chen Method for fabricating high tensile stress film and strained-silicon transistors
US7381659B2 (en) 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
JP4837370B2 (ja) 2005-12-05 2011-12-14 東京エレクトロン株式会社 成膜方法
US7678662B2 (en) 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
WO2007075369A1 (en) 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
JP2007194582A (ja) 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US7632771B2 (en) 2006-02-07 2009-12-15 Imec UV light exposure for functionalization and hydrophobization of pure-silica zeolites
JP5076119B2 (ja) 2006-02-22 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP4666308B2 (ja) 2006-02-24 2011-04-06 富士通セミコンダクター株式会社 半導体装置の製造方法
US7964514B2 (en) 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP4887848B2 (ja) 2006-03-15 2012-02-29 セイコーエプソン株式会社 回路基板、電気光学装置および電子機器
US7816253B2 (en) 2006-03-23 2010-10-19 International Business Machines Corporation Surface treatment of inter-layer dielectric
US7838428B2 (en) 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
JP5040913B2 (ja) 2006-03-31 2012-10-03 富士通セミコンダクター株式会社 半導体装置の製造方法
US7744746B2 (en) 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
KR101181836B1 (ko) 2006-04-28 2012-09-11 삼성에스디아이 주식회사 연료 전지용 세퍼레이터, 이의 제조 방법 및 이를 포함하는연료 전지 시스템
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7935587B2 (en) 2006-06-09 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced forming method and structure of local mechanical strained transistor
US20080009141A1 (en) 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US20080026579A1 (en) 2006-07-25 2008-01-31 Kuo-Chih Lai Copper damascene process
US20100267231A1 (en) 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7851360B2 (en) 2007-02-14 2010-12-14 Intel Corporation Organometallic precursors for seed/barrier processes and methods thereof
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
EP2122007A4 (en) 2007-02-27 2011-10-26 Sixtron Advanced Materials Inc METHOD FOR FORMING A FILM ON A SUBSTRATE
US7936500B2 (en) 2007-03-02 2011-05-03 Ravenbrick Llc Wavelength-specific optical switch
TWI333676B (en) 2007-03-22 2010-11-21 United Microelectronics Corp Method for manufacturing mos transistor utilizing hybrid a hard mask
US20080242118A1 (en) 2007-03-29 2008-10-02 International Business Machines Corporation Methods for forming dense dielectric layer over porous dielectrics
US20140094038A1 (en) 2007-03-30 2014-04-03 Novellus Systems, Inc. Enhancing adhesion of cap layer films
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
KR20100028544A (ko) 2007-05-03 2010-03-12 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
US7846804B2 (en) 2007-06-05 2010-12-07 United Microelectronics Corp. Method for fabricating high tensile stress film
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
KR101562681B1 (ko) 2007-06-15 2015-10-22 에스비에이 머티어리얼스 인코포레이티드 저유전율 유전체
KR100881396B1 (ko) 2007-06-20 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP4600438B2 (ja) 2007-06-21 2010-12-15 株式会社デンソー 炭化珪素半導体装置の製造方法
WO2009012067A1 (en) 2007-07-13 2009-01-22 Applied Materials, Inc. Boron derived materials deposition method
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
JP4529095B2 (ja) 2008-01-18 2010-08-25 ソニー株式会社 撮像装置
US20090207624A1 (en) 2008-02-15 2009-08-20 Acumen, Inc. Headlight assembly permitting compensation for visibility changes
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US8088683B2 (en) 2008-03-31 2012-01-03 Cypress Semiconductor Corporation Sequential deposition and anneal of a dielectic layer in a charge trapping memory device
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP5920802B2 (ja) 2008-10-03 2016-05-18 沖野 晃俊 プラズマを用いた付着物質形成方法
JP4708465B2 (ja) 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
JP5123349B2 (ja) 2010-04-19 2013-01-23 Hoya株式会社 多階調マスクの製造方法
US9064691B2 (en) * 2010-08-13 2015-06-23 United Microelectronics Corp. Semiconductor process
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
WO2012134605A1 (en) 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP6183965B2 (ja) * 2014-03-27 2017-08-23 Sppテクノロジーズ株式会社 シリコン酸化膜及びその製造方法、並びにシリコン酸化膜の製造装置
US20160138160A1 (en) 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090239390A1 (en) * 2003-03-31 2009-09-24 Novellus Systems, Inc. Methods for producing low stress porous and cdo low-k dielectric materials using precursors with organic functional groups

Also Published As

Publication number Publication date
CN109791870A (zh) 2019-05-21
TW201828339A (zh) 2018-08-01
US9847221B1 (en) 2017-12-19
WO2018063804A1 (en) 2018-04-05
KR102570744B1 (ko) 2023-08-24
CN109791870B (zh) 2023-10-20
KR20220114105A (ko) 2022-08-17
KR20190049893A (ko) 2019-05-09

Similar Documents

Publication Publication Date Title
KR102430939B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
KR102505139B1 (ko) 평탄한 실리콘막들의 pecvd 성막
KR102281521B1 (ko) 필름 스택의 인-시츄 증착
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
TWI547587B (zh) 平滑之含矽膜
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
KR20180120607A (ko) 금속 층들 상에 실리콘 옥사이드를 증착하기 위한 방법들 및 장치
KR20180054436A (ko) 알루미늄 옥사이드 에칭 정지층들의 증착
TW201840463A (zh) 用於進階圖案化之軟著陸奈米層
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
KR20220132631A (ko) 국부적인 응력 변조를 위한 uv 경화
CN109791914B (zh) 用于互连结构的复合介电界面层
US20090104541A1 (en) Plasma surface treatment to prevent pattern collapse in immersion lithography
US9786496B2 (en) Method of densifying films in semiconductor device
US20150232992A1 (en) Low-k dielectric layer with reduced dielectric constant and strengthened mechanical properties
CN115485811A (zh) 用于提高硬掩模的选择性的惰性气体注入
US20210320004A1 (en) Nitride films with improved etch selectivity for 3d nand integration
CN113366612A (zh) 用于先进半导体应用的低应力膜
CN115552569A (zh) 用于先进半导体应用的可膨胀掺杂氧化物膜

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant