TW201828339A - 於半導體裝置製造中高品質氧化矽之低溫形成 - Google Patents
於半導體裝置製造中高品質氧化矽之低溫形成 Download PDFInfo
- Publication number
- TW201828339A TW201828339A TW106132721A TW106132721A TW201828339A TW 201828339 A TW201828339 A TW 201828339A TW 106132721 A TW106132721 A TW 106132721A TW 106132721 A TW106132721 A TW 106132721A TW 201828339 A TW201828339 A TW 201828339A
- Authority
- TW
- Taiwan
- Prior art keywords
- semiconductor substrate
- plasma
- processing
- deposition
- layer
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 78
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 title abstract description 5
- 229910052814 silicon oxide Inorganic materials 0.000 title abstract description 5
- 230000015572 biosynthetic process Effects 0.000 title description 15
- 238000004519 manufacturing process Methods 0.000 title description 13
- 239000000758 substrate Substances 0.000 claims abstract description 106
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims abstract description 103
- 238000009832 plasma treatment Methods 0.000 claims abstract description 40
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 9
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 8
- 239000001257 hydrogen Substances 0.000 claims abstract description 8
- 239000011368 organic material Substances 0.000 claims abstract description 3
- 238000000034 method Methods 0.000 claims description 190
- 230000008569 process Effects 0.000 claims description 133
- 238000000151 deposition Methods 0.000 claims description 113
- 230000008021 deposition Effects 0.000 claims description 97
- 238000012545 processing Methods 0.000 claims description 86
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 claims description 56
- 239000007789 gas Substances 0.000 claims description 42
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 36
- 229910052707 ruthenium Inorganic materials 0.000 claims description 36
- 239000000463 material Substances 0.000 claims description 32
- 239000002243 precursor Substances 0.000 claims description 32
- 229910001925 ruthenium oxide Inorganic materials 0.000 claims description 29
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical group O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 claims description 29
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 18
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 18
- 229910052760 oxygen Inorganic materials 0.000 claims description 17
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 16
- 239000001301 oxygen Substances 0.000 claims description 16
- 229920002120 photoresistant polymer Polymers 0.000 claims description 16
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 14
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 14
- 239000000376 reactant Substances 0.000 claims description 14
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 claims description 13
- 230000008859 change Effects 0.000 claims description 12
- 238000006243 chemical reaction Methods 0.000 claims description 12
- 230000005855 radiation Effects 0.000 claims description 12
- 238000000059 patterning Methods 0.000 claims description 10
- 239000011261 inert gas Substances 0.000 claims description 6
- 238000002329 infrared spectrum Methods 0.000 claims description 3
- 229910052684 Cerium Inorganic materials 0.000 claims description 2
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 claims description 2
- 229910052734 helium Inorganic materials 0.000 abstract description 12
- 239000001307 helium Substances 0.000 abstract description 11
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 abstract description 11
- 238000005530 etching Methods 0.000 abstract description 9
- 235000012431 wafers Nutrition 0.000 description 41
- 239000012528 membrane Substances 0.000 description 9
- 229910052715 tantalum Inorganic materials 0.000 description 8
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 8
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 239000003085 diluting agent Substances 0.000 description 5
- -1 alkoxy decane Chemical compound 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 238000005259 measurement Methods 0.000 description 4
- 238000003672 processing method Methods 0.000 description 4
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000000052 comparative effect Effects 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000000572 ellipsometry Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 238000011010 flushing procedure Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 230000000977 initiatory effect Effects 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 230000008016 vaporization Effects 0.000 description 2
- WJMXTYZCTXTFJM-UHFFFAOYSA-N 1,1,1,2-tetraethoxydecane Chemical compound C(C)OC(C(OCC)(OCC)OCC)CCCCCCCC WJMXTYZCTXTFJM-UHFFFAOYSA-N 0.000 description 1
- IXADHCVQNVXURI-UHFFFAOYSA-N 1,1-dichlorodecane Chemical compound CCCCCCCCCC(Cl)Cl IXADHCVQNVXURI-UHFFFAOYSA-N 0.000 description 1
- BGJSXRVXTHVRSN-UHFFFAOYSA-N 1,3,5-trioxane Chemical compound C1OCOCO1 BGJSXRVXTHVRSN-UHFFFAOYSA-N 0.000 description 1
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000012707 chemical precursor Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- LMGZGXSXHCMSAA-UHFFFAOYSA-N cyclodecane Chemical compound C1CCCCCCCCC1 LMGZGXSXHCMSAA-UHFFFAOYSA-N 0.000 description 1
- GHVNFZFCNZKVNT-UHFFFAOYSA-M decanoate Chemical compound CCCCCCCCCC([O-])=O GHVNFZFCNZKVNT-UHFFFAOYSA-M 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000005187 foaming Methods 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 238000007726 management method Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 230000010287 polarization Effects 0.000 description 1
- 229920000636 poly(norbornene) polymer Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 230000003252 repetitive effect Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 238000006748 scratching Methods 0.000 description 1
- 230000002393 scratching effect Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000013517 stratification Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02348—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3086—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Formation Of Insulating Films (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
在低於約200℃的溫度下藉由PECVD來在半導體基板上沉積氧化矽層,並使用氦電漿處理氧化矽層以將所沉積層的應力降低至小於約80 MPa的絕對值。電漿處理減少氧化矽層中的氫含量,且導致亦可具有高密度與低粗糙度的低應力膜。在一些實施例中,膜係沉積在含有一或更多無法承受大於250℃的溫度之溫度敏感層的基板上,該溫度敏感層例如有機材料層或旋塗介電質層。在一些實施例中,氧化矽膜係沉積至約100-200 Å之間的厚度,且在半導體基板上之其他層的蝕刻期間用作為硬遮罩層。
Description
本發明關於在半導體基板上形成材料層的方法。尤其,本發明關於藉由電漿輔助化學汽相沉積(PECVD)形成氧化矽層的方法。
半導體元件的製造典型地涉及若干層不同材料的沉積與圖案化。當若干層沉積於堆疊中時,因為高度應力的材料可能導致堆疊中的層的對齊破壞、彎曲、剝離,且最終導致圖案化不精確與半導體元件故障,所以所沉積層的應力特性變得特別重要。
由於外在因素(例如,熱膨脹係數不匹配)及/或內在因素(例如,晶格內的缺陷及/或移位)二者,多數膜沉積與在所沉積膜中的殘餘應力的導入有關。取決於例如基板的特性、沉積膜的類型、沉積膜的性質、沉積膜沉積的方式等等,應力可為壓縮的或者拉伸的。沉積膜中的壓縮應力可能導致膜的起泡或彎曲,而拉伸應力可能導致膜破裂。此外,由此等應力促發的晶圓變形可能造成其他元件層中的可靠性問題,且通常,不利地影響電子與光學的性能以及所製造的半導體元件的機械完整性。因此,在半導體元件製造中,膜應力為元件層積體化策略的主要考量。
氧化矽係作為介電層材料而廣泛使用於半導體元件製造中,且經常藉由電漿輔助化學汽相沉積(PECVD)來沉積。因為許多積體化方案涉及無法承受高溫PECVD之具有溫度敏感材料層(例如,有機介電層)之基板,所以目前存在藉由低溫PECVD沉積氧化矽的需求。然而,習知的低溫PECVD提供具有不可接受的高應力值的氧化矽膜。此外,在低溫下沉積的氧化矽PECVD膜係發現有高氫含量與相對低密度,這使得該膜作為硬遮罩不太有吸引力。
出乎意料地發現,藉由低溫PECVD所獲得之氧化矽膜的電漿處理且特別是氦電漿處理,改變該膜,且能降低膜應力至絕對值小於約80 MPa。進一步地,電漿處理增加所形成膜的密度使得可獲得具有至少2.05 g/cm3
的密度與至少2.1 g/cm3
的密度之低應力膜。
在一態樣中,提供用於處理半導體基板的方法,其中該方法包括:(a)提供半導體基板至PECVD製程腔室;(b)在低於約200℃(例如,在低於約180℃的溫度下)的溫度下藉由PECVD來在半導體基板上沉積氧化矽層,其中該沉積步驟包含使含矽前驅物、含氧反應物與可選的惰性氣體(例如氦)流入PECVD製程腔室內且形成電漿;(c)在沉積之後停止含矽前驅物的氣流;及(d)在溫度低於約200℃下使用電漿處理所沉積的氧化矽層,以從而改變所沉積層並降低所沉積層的應力至絕對值小於約80 MPa。具有應力絕對值低於約50 MPa且甚至低於約10 MPa的氧化矽層係可獲得。在一範例中,氧化矽層的沉積係藉由在約1.5-5 torr之間的壓力下之SiH4
(含矽前驅物)、CO2
(含氧反應物)與氦(惰性氣體)的混合物中形成電漿來執行。在一些實施例中,該方法涉及將用於電漿產生之功率從氧化矽層沉積中使用的第一功率位準,增加至所沉積層的電漿處理中使用的第二的、較大的功率位準。在一些實施例中,電漿處理步驟係在主要由氦構成之製程氣體中執行。電漿處理步驟可在與沉積步驟相同之壓力下或在不同的壓力下執行。在一範例中,電漿處理步驟在與沉積步驟相同的製程腔室中且在與沉積步驟實質上相同的壓力與溫度下執行。在一些實施例中,在氧化矽層的沉積之後、及在停止含矽前驅物流入製程腔室之前,電漿未加以熄滅。進一步,製程可包括沖洗製程腔室以在氧化矽的沉積之後、及電漿處理之前從製程腔室移除含矽前驅物。
有利地,製程可在含有一或更多層溫度敏感材料之基板上執行。例如,在一些實施例中,低應力氧化矽的沉積與電漿處理係在具有一或更多層對於250℃與更高的溫度敏感之溫度敏感材料之半導體基板上執行。溫度敏感材料的範例包括有機材料與旋塗介電質。
氧化矽層的電漿處理減少氧化矽層中的氫含量。在一些實施例中,電漿處理後獲得的氧化矽在IR光譜上2200-2300 cm-1
處不具有Si-H尖峰。
所描述的製程可在單一站或複數站設備中實施。在一實施例中,方法包括在複數站PECVD設備的第一站沉積與電漿處理部分的氧化矽、轉移基板至該複數站PECVD設備的第二站、及在該複數站PECVD設備的第二站重複沉積與電漿處理另一部分的氧化矽。
此處提供的方法可與圖案化方法整合。在一些實施例中,此處描述的製程更包括塗佈光阻劑至半導體基板;暴露光阻劑至光;圖案化光阻劑與轉移圖案至半導體基板;及從半導體基板選擇性移除光阻劑。
在另一態樣中,提供涉及UV處理之用以處理半導體基板的方法。該方法包括(a)提供半導體基板至PECVD製程腔室;(b)在低於約200℃的溫度下藉由PECVD來在半導體基板上沉積氧化矽層;(c)在沉積之後停止含矽前驅物的氣流;及(d)在溫度低於約200℃下使用具有180 nm或更短波長的UV光處理所沉積的氧化矽層,以從而改變所沉積層並降低所沉積層的應力至絕對值小於約80 MPa。
根據另一態樣,提供用於氧化矽膜的沉積與電漿處理之設備。設備包括PECVD製程腔室、配置以在PECVD製程腔室中形成電漿的產生器、及控制器。PECVD製程腔室包括用以在沉積期間將基板固持在適當位置的支撐件,及用於將反應物導入至製程腔室內的一或更多入口。控制器包括用於進行任何此處提供的沉積與電漿處理方法之程式指令。
根據另一態樣,提供用於氧化矽膜的沉積與UV處理之設備。設備包括PECVD製程腔室、配置以在PECVD製程腔室中或在專用於UV處理之不同的製程腔室中產生具有180 nm或更短波長的UV輻射之產生器、及控制器。PECVD製程腔室包括用以在沉積期間將基板固持在適當位置的基板支撐件,及用於將反應物導入至製程腔室內的一或更多入口。控制器包括用於進行任何此處提供的沉積與UV處理方法之程式指令。
根據另一態樣,於此處提供系統,其包括此處描述的任何設備與步進機。
根據另一態樣,提供非暫態電腦機器可讀媒體,其包括用於控制任何此處提供的設備之程式指令。該指令包括用於任何此處提供的沉積與處理方法之程式碼。
本發明的該等及其他特徵及優勢將於以下參考相關圖式更詳細加以描述。
前言與概觀
在低溫下高品質氧化矽的形成係藉由下列操作來實現:在低溫下藉由PECVD在半導體基板上沉積氧化矽層,隨後使用具有波長小於約180nm的電漿及/或UV輻射處理沉積層,以將沉積層的應力降低至小於約80MPa的絕對值。
用語「半導體基板」與「半導體晶圓」代表在基板的任何位置含有半導體材料且可含有例如導體與介電質之其他材料層的基板。
用語「氧化矽」代表由矽、氧及可選的氫所組成的材料。例如碳、氮、硼及氟之其他元素亦可作為低濃度的(例如,不含氫之上至約10%的原子百分比率)摻雜劑出現。「高品質氧化矽」代表具有一或任何下列特性的組合之氧化矽:絕對值小於約80 MPa的應力;大於約2.05 g/cm3
的密度;及小於約1.47的折射率。在一些實施例中,此處提供之高品質氧化矽膜具有所有以上所列之特性。
用語「低溫形成」或「低溫沉積」代表在低於約200℃的溫度之膜的形成。在一些實施例中,低溫膜係在例如約150-180℃之間之約100-180℃之間的溫度下形成。
如此處所使用之用語「PECVD」代表涉及沉積方法,其利用電漿輔助主要以整體型式使一或更多分子反應。此方法不同於原子層沉積(ALD),ALD的反應主要發生在基板的表面上,而在製程腔室的容積中的反應係受到抑制。如此處所使用之用語「PECVD製程腔室」或「PECVD設備」代表能以PECVD模式進行反應的任何製程腔室或設備。
大致上,形成此處所提供之高品質氧化矽膜的低溫方法可在例如在邏輯元件製造中、記憶體元件的製造中、互補式金屬氧化物半導體(CMOS)影像感測元件等等之各式應用中使用。此等方法對於其中若干層材料(包括此處提供之一或更多層高品質氧化矽)以堆疊方式沉積在基板上、隨後圖案化該堆疊的一或更多層之應用尤其有用。在一些實施例中,高品質氧化矽係作為至少3層、至少5層或至少10層之平面堆疊的部分而沉積。低應力(絕對值小於約80 MPa,較佳是小於約50 MPa)與低粗糙度(小於約1.47的RI)的組合對於此等堆疊應用是特別有利的,因為堆疊變形效應將被最小化,且圖案化可高精度地執行。
在一些實施例中,此處所提供之高品質氧化矽係用作為硬遮罩或蝕刻停止層。在此等實施例中,高品質氧化矽除了低應力之外還具有高密度(至少約2.05 g/cm3
,例如至少約2.1 g/cm3
,或例如至少約2.15 g/cm3
)的特性。密度相關於蝕刻選擇性,較密集的材料比較低密度的材料更耐蝕刻,故因此,對於硬遮罩與蝕刻停止應用來說,高密度是特別理想的特性。硬遮罩層保護位於硬遮罩正下方的層不受蝕刻,而未受保護的材料係受到蝕刻以形成凹陷特徵部。在一些實施例中,此處所提供之高品質氧化矽用作為蝕刻停止層。例如,在位於其正上方之SiOC材料的蝕刻期間可將其用作為蝕刻停止層。
在一些實施例中,高品質氧化矽硬遮罩係沉積在第一層(例如,一層ULK介電質)上,圖案化硬遮罩使得在選定的位置移除硬遮罩材料,暴露該第一層,及在暴露的硬遮罩的存在下蝕刻該暴露的第一層。例如,可在暴露的硬遮罩的存在下使用基於氟的化學物(例如,使用CH2
F2
)蝕刻低密度SiOC。
在低溫下氧化矽膜的形成對於含有在高溫下可能在結構上或功能上劣化之溫度敏感材料的基板是特別理想的。在一些實施例中,用於沉積高品質氧化矽的基板包含一或更多層溫度敏感材料。在一些實施例中,此材料或複數材料在200℃及更高、或250℃及更高、或275℃及更高的情況下開始劣化。因此,所有對於此等基板的操作都應在較低的溫度下進行,以避免此等溫度敏感層之不欲見的劣化。溫度敏感材料的範例包括例如聚醯亞胺、聚降冰片烯(polynorbornenes)與基於苯並環丁烯的聚合物之有機介電質(其係典型地藉由旋塗方法來沉積)。
圖1A說明在高品質氧化矽層的形成之前半導體基板100的範例的橫剖面示意圖。基板100含有一層極低k值(ULK)介電質101,其中ULK介電質具有約2.2或更小之介電常數。ULK介電質可藉由CVD、PECVD或旋塗方法沉積在下方層(未顯示)上。在一些實施例中,ULK介電質為包括矽與氧之多孔的介電質。ULK層可位於下方金屬化層之上,該金屬化層可包括具有嵌入的金屬線路之另一層ULK介電質。層103位於ULK介電層101上方並與ULK介電層101接觸,且在所說明的實施例中,層103為含有在習知的PECVD所使用之溫度下(例如,在250℃及更高或300℃及更高)易於降解之有機旋塗的介電質之溫度敏感層。
圖1B說明在高品質氧化矽硬遮罩105的沉積之後半導體基板100的橫剖面示意圖。硬遮罩105使用此處所提供之低溫方法來形成於旋塗的介電層103上方及與旋塗的介電層103接觸。在一些實施例中,所形成的硬遮罩厚度為在約100-200Å之間。在硬遮罩層105的形成之後,一或更多平面層可沉積於硬遮罩層105之上,形成至少3層或至少5層的堆疊。在一些實施例中,此等上方層之中的一層為光阻層,其係接著受到圖案化,且該圖案係使用光微影成像技術轉移至硬遮罩層105。圖案化可在選定位置移除部分高品質氧化矽材料,從而暴露下方層103。接著,暴露的層103(與隨後暴露的ULK介電層101)可在暴露的硬遮罩105的存在下受到蝕刻。在一些實施例中,半導體處理方法包括在暴露的氧化矽的存在下,蝕刻一層在高品質氧化矽層上方或下方的材料,其中該氧化矽用作為硬遮罩或蝕刻停止層。
在此處所提供的方法的發展之前,在低溫下使用PECVD之低應力氧化矽的形成存在未解的問題,因為使用例如壓力、製程氣體組成與電漿產生中所使用的功率之PECVD參數的變化不可能將PECVD膜的應力降低至絕對值小於120 MPa。應力超過100 MPa的膜具有有限的實用性,特別在涉及圖案化的應用中,故因此需要在低溫下生產高品質氧化矽的基於PECVD的新方法。
圖2顯示一實驗圖表,該圖表說明在180℃下藉由習知的PECVD來沉積的氧化矽膜的應力和密度。該膜係使用SiH4
、CO2
與He的混合物作為製程氣體來沉積在300 mm晶圓上。電漿係使用在13.56 MHz下的高頻電漿產生來形成,且針對不同的膜,使用於電漿產生的功率在100W和400W之間變化。針對不同的膜,沉積壓力係在2.5 Torr與5 Torr之間變化,且針對不同的膜,製程氣體的組成之CO2
/SiH4
比率在100與200之間變化。可以從圖表見到,所有沉積的膜具有絕對值超過120 MPa的壓縮應力,且密度在約2.01至約2.14 g /cm3
的範圍內。在這項研究中,密度和膜應力表現為獨立的參數。
已經意外地發現用於將氧化矽膜的應力顯著降低至小於約80 MPa的方法,例如小於約50 MPa或甚至小於約30 MPa。根據一態樣,該方法涉及使用電漿(例如使用氦電漿)處理藉由低溫PECVD沉積的氧化矽,以從而改變氧化矽層並降低所形成的層的應力至絕對值小於約80 MPa。在另一態樣中,該方法涉及使用具有小於約180 nm的波長之UV輻射處理藉由低溫PECVD沉積的氧化矽,以從而改變氧化矽層並降低所形成的層的應力至絕對值小於約80 MPa。形成低應力氧化矽膜的方法
低溫下形成低應力氧化矽膜的方法係在圖3A中與圖3B中顯示之製程流程圖中說明。參照圖3A,製程在301中藉由提供基板至PECVD製程腔室而開始。基板典型地為半導體基板。在一些實施例中,基板為含有如圖1A中所說明之一或更多層溫度敏感材料的平面基板。PECVD製程腔室配置成在PECVD模式下進行反應,且典型地包括用以在沉積期間將基板固持在適當位置的支撐件、一或更多用於導入反應物(例如含矽前驅物與含氧反應物)的入口、及用於從製程腔室移除一或更多氣體的一出口。在一些實施例中,製程腔室配備有配置成在沉積期間加熱基板的加熱器。含有製程腔室的設備係配置成在製程腔室中產生電漿與在PECVD模式下進行反應。PECVD製程腔室亦可具有在不同模式下進行反應的能力,但當其用以進行PECVD反應時係稱為「PECVD製程腔室」。例如,當電漿輔助原子層沉積(PEALD)製程腔室用以在PECVD模式下進行反應時,其為此處所使用之「PECVD製程腔室」。
在基板已提供至PECVD製程腔室之後,在303中,一層氧化矽在低於約200℃的溫度下藉由PECVD沉積。沉積製程涉及提供含矽前驅物與含氧反應物至製程腔室且在製程腔室中形成電漿。合適的含矽前驅物的範例包括例如矽烷(SiH4
)、二矽烷(Si2
H6
)、三矽烷(Si3
H8
)與二氯基矽烷(SiH2
Cl2
)之無碳前驅物,及例如烷氧基矽烷、烷基矽烷、環矽氧烷、炔基矽烷與正矽酸鹽(例如,四乙氧基矽烷(tetraethylorthosilicate))之含碳前驅物。合適的含氧反應物的範例包括O2
、CO2
與N2
O。當含矽前驅物包括矽和氧兩者(例如,四乙氧基矽烷)時,如此的單一前驅物既可作為矽來源又可作為含氧反應物來使用。含有含矽前驅物與含氧反應物的沉積製程氣體係典型地與稀釋劑氣體一起流入製程腔室內(在某些情況下事先氣化液體反應物),該稀釋劑氣體在一些實施例中為不參與PECVD反應的惰性氣體。稀釋劑氣體的範例包括N2
與例如氦、氬、氖與氪之鈍氣。
在沉積製程期間溫度係維持在低於約200℃。在一些實施例中沉積溫度為180℃或更低。例如,可在約100-180℃之間的溫度下沉積膜。在沉積期間的壓力可變化,且在一些實施例中為在於1-10 torr之間,更佳是在1.5-5 torr之間,例如3.5 torr。製程氣體的個別成分的流率取決於製程腔室的大小,且在一些實施例中可在約10-10,000 sccm之間的範圍內。在一些實施例中,含氧反應物流率和含矽前驅物流率的比率為小於約300,例如約200。在一範例中,CO2
流率和SiH4
流率的比率為小於約300。
在一些實施例中,僅使用高頻射頻(HF RF)電漿產生而進行沉積(單一頻率沉積)。在其他實施例中,高頻與低頻射頻(LF RF)電漿產生兩者都被使用(雙頻率沉積)。HF電漿使用例如13.56 MHz之在約1.8 MHz與2.45 GHz之間的頻率而產生。低頻電漿使用例如400 kHz之在約50 kHz與900 kHz之間的頻率而產生。在一些實施例中,氧化矽的沉積係在單一頻率HF沉積中使用相對低功率來執行。例如,對於在單一300 mm晶圓上的沉積,用以產生電漿的功率可在約100-500W的範圍內,對應於在約0.14 W/cm2
至約0.71 W/cm2
之間的功率密度。
沉積係執行直到在基板上形成所欲量的氧化矽。在一些實施例中,在單一步驟中沉積具有厚度約100-200 Å的氧化矽層。在其他實施例中,沉積部分的氧化矽並處理之,且該製程係重複直到達成氧化矽的所欲厚度。
緊接在沉積之後的氧化矽膜通常具有低品質,且具有相對高的氫含量與高應力的特性。如此膜的IR光譜在約2250 cm-1
處展現突出的Si-H尖峰,且應力值的絕對值係典型地超過100 MPa(膜為壓縮性的)。
在此等低品質膜已藉由低溫PECVD沉積之後,在操作305中,所沉積的膜在沒有含矽前驅物的存在下使用電漿處理,以降低所沉積膜的應力至絕對值小於約80 MPa。在一些實施例中,含矽前驅物與含氧反應物的氣流係在已經沉積氧化矽膜之後停止,而剩餘的含矽前驅物係從製程腔室藉由沖洗及/或排空來移除。接著,提供例如氦之電漿處理氣體至製程腔室內,並使用電漿處理基板。在一些實施例中,電漿處理在基本上由氦組成的電漿處理製程氣體中執行。
沉積操作303與處理操作305可有利地在相同PECVD製程腔室中執行。在一些實施例中,電漿在沉積完成後並未熄滅且維持在從沉積、沖洗到電漿處理步驟的期間。在其他實施例中,電漿可在已經完成沉積之後熄滅,並接著在電漿處理期間再次激發。在又一其他的實施例中,電漿處理305與氧化矽沉積303在不同的製程腔室中執行或甚至在不同設備上執行。在一較佳的實施例中,電漿處理在完成沉積之後不久便執行,例如在已經完成沉積之後約5分鐘內或約1分鐘內。
在一些實施例中(尤其當沉積303與處理305在沒有真空中止的單一腔室內執行時),電漿處理製程氣體(例如氦)和沉積303期間以及沉積303之後的處理腔室的沖洗期間使用之稀釋劑氣體為相同的氣體。在一些實施例中,在電漿處理期間之電漿處理氣體(例如,氦)的流率為沉積期間稀釋劑氣體的流率的至少1.5倍,例如至少2倍。例如,在一些實施例中,沉積303期間的氦流率(作為稀釋劑氣體)為約1000 sccm,且此流率在電漿處理步驟305中增加至約2500,其中氦作為電漿處理製程氣體使用。進一步地,在電漿處理步驟305期間用於電漿產生的功率大於在沉積步驟303期間用於電漿產生的功率。在一些實施例中,在電漿處理步驟期間使用的功率為在沉積步驟期間使用的功率之至少2倍,例如至少3倍。
在電漿處理步驟期間的溫度較佳是維持在低於約200℃,例如在100-180℃。在一些實施例中,電漿處理期間的壓力在約1-10 torr之間的範圍,例如約1-5 torr之間。在一些實施例中,沉積步驟303期間的溫度與壓力與電漿處理305期間的溫度與壓力相同。在其他實施例中,僅此等參數其中之一者維持不變。在沉積303和電漿處理305期間使用相同的壓力及/或溫度增加製程的整體穩定性。
電漿處理的持續時間典型地在約6秒與20秒之間的範圍內,且為可改變以調整所形成的氧化矽層的應力的參數之一。
本發明的另一態樣為調整氧化矽的應力至所欲水準的方法。該方法涉及調整選自由下列組成的群組之一或更多參數:電漿處理壓力、電漿處理持續時間、及電漿處理期間電漿產生所使用的功率位準。
在不希望受到特定操作理論束縛的情況下,吾人推測,至少部分地由於形成在氦電漿中的UV輻射與所沉積的氧化矽層之間的交互作用,因而觀察到氦電漿處理之後獲得的應力改善。在氦電漿中形成的UV輻射具有小於180 nm的波長。在本發明的另一態樣中,提供在低溫下使用具有小於約180 nm的波長之UV輻射來形成高品質氧化矽的方法。此方法的製程流程圖係在圖3B中呈現。步驟307與309等同於圖3A中顯示之步驟301與303。在已經藉由低溫PECVD沉積氧化矽層之後,在311中使用具有小於約180 nm的波長之UV輻射處理氧化矽層,以降低氧化矽層的應力至絕對值小於80 MPa。UV處理較佳是在低於200℃的溫度下執行。UV輻射的合適來源包括利用H、He、Ar、O2
等等的激發(如在電漿中)之來源。
使用氦電漿處理以降低PECVD氧化矽的應力之製程的具體範例係在圖4中說明。圖4提供示意地說明在沉積步驟401、沖洗步驟403與電漿處理步驟405期間製程氣體成分的流率以及電漿功率位準之時序圖。應瞭解,該圖未依比例繪製而提供製程的大致概觀。在步驟401中,將主要由SiH4
、CO2
與He組成之製程氣體提供至製程腔室,並使用在製程氣體中用13.56 MHz頻率與第一功率位準形成的HF RF電漿來沉積氧化矽。
沉積步驟401的具體製程條件係提供在表1中。參數係針對在單一300 mm晶圓基板上的沉積而提供,且應瞭解,電漿功率位準與流率可對於任何所欲基板或複數基板進行縮放。例如,當在具有四個處理站的設備中同時處理四個晶圓時,電漿功率與氣體的流率應為表1-3中所列之參數的四倍。 [表1] 300 mm基板上氧化矽的沉積。
接著,在沖洗步驟403,僅使氦流入製程腔室中且其將矽烷與二氧化碳沖洗出製程腔室。在此步驟期間電漿並未熄滅且電漿功率沒有改變。表2列出在沖洗步驟403期間所使用的製程參數。 [表2] 在300 mm基板上氧化矽的沉積之後的沖洗。
在完成沖洗後,於步驟405中使用氦電漿處理所沉積的氧化矽膜。在此步驟期間,電漿功率與氦流率增加。表3列出用於電漿處理步驟的製程參數。 [表3] 在300 mm基板上氧化矽的電漿處理。 設備
本發明可由容許在PECVD模式下沉積氧化矽之許多不同類型的設備來實施。通常,設備將包括收容一或更多晶圓且合適於處理晶圓之一或更多腔室或「反應器」(有時包括複數站)。各腔室可容納一或更多晶圓以供處理。一或更多腔室將晶圓保持在定義的位置或複數位置(在該位置內有或沒有移動,例如,旋轉、振動或其擾動)。在一實施例中,經受沉積與電漿處理的晶圓在製程期間從反應器中的一站轉移至反應器中的另一站。例如在一些實施例中,部分的氧化矽藉由PECVD沉積在晶圓上,且在PECVD設備的第一站受到電漿處理;晶圓接著轉移到PECVD設備的第二站,其中額外部分的氧化矽藉由PECVD沉積並受到處理。在一實施例中,所欲厚度的高品質氧化矽層的形成在四站中進行沉積,其中各站沉積與處理四分之一的層厚度。例如,如果100 Å為該層的目標厚度,各站沉積與處理25 Å厚的氧化矽膜。
在製程之時,各晶圓藉由基座、晶圓卡盤及/或其他晶圓固持設備來固持在定位。針對其中加熱晶圓的某些操作,設備可包括例如加熱面板的加熱器。在本發明的一實施例中,PECVD系統都使用於膜的沉積與處理二者。當處理為UV處理時,具有小於180 nm的波長之UV輻射來源可併入至PECVD設備中。在其他實施例中,單獨的製程腔室或甚至單獨的設備可用於沉積與處理步驟。
圖5提供簡潔的方塊圖,該圖描繪配置成實施本發明之不同的PECVD反應器元件。如所示,反應器500包括製程腔室524,其圍起反應器的其他元件並用以容納電漿,該電漿藉由包括與接地的加熱器塊520一起運作之噴淋頭514的電容器類型系統來產生。高頻RF產生器502與可選的低頻RF產生器504係連接至匹配網路506,且接著連接至噴淋頭514。
在反應器之內,晶圓基座518支撐基板516。基座518典型地包括卡盤、叉桿或升降銷以在沉積反應期間與沉積反應之間固持並轉移基板。卡盤可為靜電卡盤、機械卡盤或可在工業及/或研究中使用之不同的其他類型的卡盤。
製程氣體係經由入口512導入。複數來源氣體管線510係連接至歧管508。氣體可預先混合或不混合。採用適當的閥調與質量流量控制機制以確保在沉積、沖洗與電漿處理、製程的多個階段期間輸送正確的氣體。在(複數)化學前驅物以液體形式輸送的情況下,採用液體流量控制機制。在到達沉積腔室前,液體接著在其於加熱至高過其氣化點的歧管中之輸送期間,受到氣化並與其他製程氣體混合。
製程氣體經由出口522排出腔室500。真空泵浦526(例如,一或二階段機械乾式泵浦及/或渦輪分子泵浦)典型地抽出製程氣體,並藉由例如節流閥或鐘擺閥之封閉迴路控制的流量限制裝置來在反應器內維持合適低壓。
控制器530係與PECVD反應器500相關且可包括用於執行任何此處所述製程的程式指令。例如,控制器530可指定下列操作之必要參數:用於在低溫下執行PECVD氧化矽的沉積、用於沖洗製程腔室以移除含矽前驅物、以及用於電漿處理所沉積的氧化矽層以降低氧化矽層應力。
在複數實施例中之一者中,複數站設備可用於PECVD沉積與處理。複數站反應器容許在一腔室環境中同時運行不同的製程,從而增加晶圓處理的效率。如此設備的一範例係描繪於圖6中。俯視圖的示意呈現係加以顯示。設備腔室601包含四站603-609。通常,在複數站設備的單一腔室內,任何數目的站為可能的。站603用於基板晶圓的裝載與卸載。分度平面611用以在各站之間分度晶圓。控制器613可包含用於此處所述製程之指令。站603-609可具有相同或不同的功能。例如,有些站可致力於PECVD沉積,而其他站可僅用於所沉積膜的電漿處理。在複數實施例中之一者中,個別的站可在不同的製程條件下操作且可實質上與其他站彼此隔離。在另一實施例中,各站配置成執行PECVD沉積與所沉積膜的處理。
根據上述複數實施例中之一者,所有的站603-609配置成執行相同功能,且各站配置成用於氧化矽的PECVD沉積與用於所沉積層的處理二者。分度面板611用以抬升基板離開基座且在下個處理站準確地定位基板。在晶圓基板裝載於站603之後,其係分度至站605,高品質氧化矽膜的形成(包括PECVD沉積與處理)係在站605執行。晶圓接著移至站607,額外部分的氧化矽係在該處受到沉積與處理。基板進一步分度至站609,在該處進一步執行氧化矽膜的沉積與處理,且接著分度至站603以沉積與處理更多的擴散氧化矽層。例如,各站可沉積與處理25 Å的氧化矽以形成100 Å厚的氧化矽層,或者各站可沉積與處理50 Å的氧化矽以形成200 Å厚的氧化矽層。處理過的晶圓係在站603卸載,而該模組係裝填新的晶圓。在正常操作期間,單獨的基板佔據各站,且每次重複製程時將基板移至新站。因此,具有四站603、605、607與609的設備容許同時處理四晶圓。因為增加了晶圓間沉積的再現性,涉及將膜形成拆解成實質上相同的複數階段之此類型的處理為特別有利的,其中各階段包括部分膜的沉積與處理。
製程條件與製程流量本身可藉由控制器單元613來控制,該控制器單元613包含用於監控、維持及/或調整例如RF電漿功率、氣體流率與時間、溫度、壓力等等之特定製程變數的程式指令。例如,可包括針對氧化矽沉積來指定矽烷與CO2
流率的指令。根據上述方法,指令可指定所有的參數以執行操作。例如,指令可包括PECVD沉積、沖洗與電漿處理的參數。控制器可包含用於不同設備站之不同的或相同的指令,因此容許設備站獨立地或同步地操作。
在一些實施例中,控制器為系統的一部分,系統可為上述範例的一部分。如此系統可包含半導體處理設備,包括處理工具或複數工具、腔室或複數腔室、用於處理的平台或複數平台及/或特定的處理元件(晶圓基座、氣體流量系統等等)。此等系統可與在半導體晶圓或基板的處理之前、期間、與之後用於控制其操作的電子元件整合。電子元件可稱為「控制器」,其可控制不同的元件、或者系統或複數系統的子部分。取決於處理需求及/或系統的類型,控制器可程式化以控制此處所接露的任何製程,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位與操作設定、晶圓轉移進出工具及連接至或介接至特定系統的其他轉移工具及/或負載閘。
廣泛地講,控制器可界定為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、界定為特殊用途積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以不同的單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定的製程(在半導體晶圓上,或針對半導體晶圓)界定操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而界定之配方的一部分:層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些範例中,遠端電腦(例如,伺服器)可通過網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對該參數及/或設定進行程式設計的使用者介面,然後該參數及/或設定自遠端電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間進行之處理步驟的每一者指定參數。應理解,參數可特定地針對待執行之製程的類型、及控制器與之接合或加以控制之工具的類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,本文所描述之製程及控制)而運作的一或更多分離的控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺層次處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
例示性系統可包含但不限於以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯、或使用的任何其他半導體處理系統。
如以上所提及,取決於待藉由工具而執行之(複數)製程步驟,控制器可與半導體製造工廠中之一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將晶圓容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來晶圓容器。含有用於控制根據本發明之製程操作的機器可讀媒體可耦接至系統控制器。
於上文所述之設備/製程可結合微影圖案化工具或製程一起使用,其例如用以製作或製造半導體裝置、顯示器、LED、太陽光電板及類似者。通常(儘管非必需),如此之工具/製程會在一共同製造設備中一起使用或實施。膜的微影圖案化通常包含下列步驟的部分或全部(使用一些適當工具來實施各步驟):(1)利用旋塗或噴塗工具將光阻塗布在工作件(即基板)上;(2)利用熱板或加熱爐或UV固化工具使光阻固化;(3)利用例如晶圓步進機之工具,使光阻曝露至可見光或UV光或x光;(4)使光阻顯影以選擇性移除光阻,並從而利用例如濕式工作臺之工具使其圖案化;(5)藉由利用乾式或電漿輔助蝕刻工具,使光阻圖案轉移至下方膜或工作件內;及(6)利用例如RF或微波電漿光阻剝除器之工具,將光阻移除。
所描述之方法與裝置的若干實施例現將藉由具體範例說明。實驗範例
範例1,具有低應力與高密度之氧化矽膜的形成。
在可從Lam Research Corporation, Fremont CA獲得的Vector PECVD反應器中,在溫度180℃下、與2.5 Torr-3.5 Torr的壓力,於平面300 mm晶圓上藉由PECVD,沉積若干氧化矽膜。沉積期間使用的製程氣體由SiH4
(以30sccm提供)、CO2
(以4200 sccm)與He組成。電漿係使用在100-400W的功率位準下、在13.56 MH下的HF RF產生而在該製程氣體中產生。沉積持續進行5秒;接著流入製程腔室之SiH4
與CO2
的氣流停止,同時保留電漿與氦氣流5秒以將SiH4
與CO2
沖洗出製程腔室。接著,電漿功率增加至500-1000W且氦氣流增加至1000-4000 sccm,而沉積的氧化矽膜係在此等條件下受到持續6-20秒的電漿處理。對於整個製程期間的各膜,溫度與壓力維持不變。流率與電漿功率位準係針對單一晶圓上的處理而給定。膜係沉積至400 Å的厚度以促進應力的準確量測。沉積之後,量測所形成之膜的應力與密度。應力係使用Si晶圓基板的彎曲度改變與膜厚度橢圓偏振之量測來計算。密度係藉由Si基板上的質量改變與膜厚度橢圓偏振來計算。所形成的膜的密度值與應力值係在顯示於圖7中之圖表中說明。可以見到,獲得具有應力絕對值小於80 MPa且甚至小於50 MPa的膜。一些膜展現小於30 MPa的應力值。所形成的膜具有大於2.05 g/cm3
的密度。一些膜具有大於2.1 g/cm3
的密度。可從圖7見到,因為較低的應力(絕對值)與較低的密度有關,密度與應力趨勢之間存在相關性。儘管如此,提供的方法生產具有適用於硬遮罩應用之可接受的應力與密度值之膜。相反,在沒有電漿處理的情況下,在低溫下形成的PECVD氧化矽膜具有超過100 MPa的應力絕對值。
範例2,所形成之低應力膜的結構。
獲取低應力氧化矽材料的FT IR光譜。使用下列製程參數,如在範例1中所述形成該膜:180℃的溫度、3.5 Torr的壓力、100W的電漿功率(在13.56 MHz下產生)、30 sccm的SiH4
流率、4200 sccm的CO2
流率與1250 sccm的He流率。所形成的膜具有小於-40 MPa的應力。可以見到,FT IR光譜在2250 cm-1
處不具有Si-H尖峰,其係典型地存在於沒有電漿後處理之藉由低溫PECVD沉積的氧化矽膜中。這表示電漿後處理降低所形成的膜中的氫濃度。
範例3,藉由電漿後處理改善的應力、密度與RI。
對比的氧化矽膜係藉由低溫PECVD沉積至厚度411 Å,且量測其應力、密度與RI。該沉積係在180℃的溫度下與3.5Torr的壓力下使用100W的電漿功率(13.56 MHz)、30 sccm的SiH4
流率、4200 sccm的CO2
流率與1250 sccm的He流率來進行。
另一氧化矽膜係使用如同前文對比膜的沉積中所用之相同製程條件藉由低溫PECVD來沉積,並接著在180℃的溫度下、3.5Torr的壓力下、使用500W的電漿功率(13.56MHz)與1000 sccm的He流率受到電漿處理。量測經處理的膜的應力、密度與RI。
針對對比膜與針對經處理的膜之參數係提供於表4中。 [表4] 電漿處理之後的應力、密度與RI的改善。
應注意,獲得大於1.47的RI係由於薄膜的計量偏移。RI使用橢圓偏振量測。
範例4,在處理階段使用壓力之應力的調變。
如範例1所述,四個膜使用氦電漿沉積與處理。使用下列的條件:180℃的溫度、100W的電漿功率(13.56MHz)、30 sccm的SiH4
流率、4200 sccm的CO2
流率與1250 sccm的He流率。對於所有的四個膜,沉積期間的壓力不變且為3.5 Torr。電漿處理步驟期間的壓力係在1.5 torr、2.5 torr、3.5 torr與5.5 torr之間變化。處理壓力為在膜形成期間唯一變化的製程參數。圖9A提供作為處理壓力的函數之4個膜的應力值之圖表。可以見到,應力的絕對值隨著壓力增加而從約50 MPa降低至約35 MPa。
範例5,使用電漿處理時間之應力的調變。
具有不同厚度的若干膜係使用如此處所述之氦來沉積與電漿處理。研究電漿處理步驟的持續時間對膜應力的影響並顯示於圖9B中。隨著處理的持續時間從3秒增加至12秒,50 Å膜(曲線a)展現應力的絕對值從約50 MP降低至約10 MPa。對於處理持續時間的改變,33 Å膜(曲線b)與25 Å膜(曲線c)某種程度上反應較差。
範例6,針對不同厚度的膜使用電漿處理時間之應力的調變。
具有不同厚度的若干膜係使用如此處所述之氦來沉積與電漿處理。研究電漿處理步驟的持續時間對膜應力的影響並顯示於圖9C中。隨著處理的持續時間從6秒增加至12秒,使用500W電漿功率的50 Å膜(曲線a)展現應力絕對值的降低。隨著處理的持續時間從12秒增加至20秒,使用500W電漿功率處理之具有100 Å厚度的膜(曲線b)亦展現應力絕對值的降低。
儘管各種細節為清楚之目的予以省略,然可實施各種設計替代例。因此,本範例應視為說明性而非限制性,且本發明不受限於本文所提出之細節,而是可在隨附請求項之範圍中進行變更。
100‧‧‧基板
101‧‧‧介電質/介電層
103‧‧‧層
105‧‧‧硬遮罩
301‧‧‧步驟
303‧‧‧步驟
305‧‧‧步驟
307‧‧‧步驟
309‧‧‧步驟
311‧‧‧步驟
401‧‧‧步驟
403‧‧‧步驟
405‧‧‧步驟
500‧‧‧反應器
502‧‧‧高頻RF產生器
504‧‧‧低頻RF產生器
506‧‧‧匹配網路
508‧‧‧歧管
510‧‧‧來源氣體管線
512‧‧‧入口
514‧‧‧噴淋頭
516‧‧‧基板
518‧‧‧晶圓基座
520‧‧‧加熱器塊
522‧‧‧出口
524‧‧‧製程腔室
526‧‧‧真空泵浦
530‧‧‧控制器
601‧‧‧設備腔室
603‧‧‧站
605‧‧‧站
607‧‧‧站
609‧‧‧站
611‧‧‧平面
613‧‧‧控制器
圖1A-1B顯示經受使用此處提供的方法來處理之例示性半導體元件的示意橫剖面。
圖2為在沒有後處理情況下使用低溫PECVD所沉積的膜之應力對密度的實驗圖表。
圖3A-3B呈現根據此處提供的實施例之氧化矽形成製程的製程流程圖。
圖4根據此處提供的一些實施例,顯示氧化矽形成製程的示意時序圖。
圖5根據本發明的一些實施例,為合適於形成氧化矽膜之PECVD設備的示意圖。
圖6根據本發明的一些實施例,為合適於形成氧化矽膜之複數站設備的一範例的示意圖。
圖7根據此處提供的實施例,為在具有後處理情況下使用低溫PECVD所沉積的膜之應力對密度的實驗圖表。
圖8為藉由此處揭露的實施例所提供而獲得之低應力氧化矽膜的FT IR光譜。
圖9A為膜應力對於此處提供的氧化矽膜的電漿處理期間之壓力的相依性之實驗圖表。
圖9B為膜應力對於此處提供的氧化矽膜之電漿處理的持續時間的相依性之實驗圖表。
圖9C為膜應力對於此處提供的不同厚度的氧化矽膜之電漿處理的持續時間的相依性之實驗圖表。
Claims (28)
- 一種處理半導體基板的方法,該方法包含: (a)提供一半導體基板至一PECVD製程腔室; (b)在低於約200℃的溫度下藉由PECVD來在該半導體基板上沉積一層氧化矽,其中該沉積步驟包含使一含矽前驅物與一含氧反應物流入該PECVD製程腔室內且形成一電漿; (c)在沉積之後停止該含矽前驅物的氣流;及 (d)在低於約200℃的溫度下使用一電漿來處理該氧化矽的沉積層,以從而改變該沉積層及降低該沉積層的應力至小於約80 MPa的一絕對值。
- 如申請專利範圍第1項之處理半導體基板的方法,其中該方法包含將用於電漿產生之功率從一第一功率位準增加至一第二、較大的功率位準,其中該第一功率位準係在(b)中使用且該第二功率位準係在(d)中使用。
- 如申請專利範圍第1項之處理半導體基板的方法,其中(d)包含使用在主要由氦組成之一處理氣體中形成的一電漿來處理該氧化矽的沉積層。
- 如申請專利範圍第1項之處理半導體基板的方法,其中在(a)中提供之該半導體基板包含一或更多層對250℃與更高的溫度敏感之溫度敏感材料。
- 如申請專利範圍第4項之處理半導體基板的方法,其中該溫度敏感材料為一有機材料。
- 如申請專利範圍第4項之處理半導體基板的方法,其中該溫度敏感材料為一旋塗介電質。
- 如申請專利範圍第1項之處理半導體基板的方法,其中該氧化矽層的該沉積係在低於約180℃的溫度下執行。
- 如申請專利範圍第1項之處理半導體基板的方法,其中在(d)之後獲得的該氧化矽層的應力的絕對值係小於約50 MPa。
- 如申請專利範圍第1項之處理半導體基板的方法,其中在(d)之後獲得的該氧化矽層的應力的絕對值係小於約10 MPa。
- 如申請專利範圍第1項之處理半導體基板的方法,其中在(d)中之該氧化矽層的該電漿處理降低該氧化矽層中的氫含量。
- 如申請專利範圍第1項之處理半導體基板的方法,其中在(d)中之電漿處理之後獲得的該氧化矽在一IR光譜上2200-2300 cm-1 處不具有一Si-H尖峰。
- 如申請專利範圍第1項之處理半導體基板的方法,其中該方法包含在(b)之後與(c)之前維持該電漿。
- 如申請專利範圍第1項之處理半導體基板的方法,更包含在(b)之後與(c)之前沖洗該PEVCD製程腔室,以從該製程腔室移除該含矽前驅物。
- 如申請專利範圍第1項之處理半導體基板的方法,其中(b)更包含使一惰性氣體流入該PECVD製程腔室內。
- 如申請專利範圍第14項之處理半導體基板的方法,其中該含矽前驅物為SiH4 、該含氧反應物為CO2 及該惰性氣體為He。
- 如申請專利範圍第1項之處理半導體基板的方法,其中(b)係在約1.5-5 Torr之間的壓力下執行。
- 如申請專利範圍第1項之處理半導體基板的方法,其中(b)與(c)係在相同的PECVD製程腔室中、在實質上相同的壓力與溫度下執行。
- 如申請專利範圍第1項之處理半導體基板的方法,其中(b)-(d)係在一複數站PECVD設備的一第一站執行,其中該方法更包含在(d)之後將該半導體基板轉移至該複數站PECVD設備的一第二站,且在該複數站PECVD設備的該第二站中重複(b)-(d)。
- 如申請專利範圍第1項之處理半導體基板的方法,更包含: 塗佈光阻劑至該半導體基板; 暴露該光阻劑至光; 圖案化該光阻劑且轉移該圖案至該半導體基板;及 選擇性地從該半導體基板移除該光阻劑。
- 一種處理半導體基板的方法,該方法包含: (a)提供一半導體基板至一PECVD製程腔室; (b)在低於約200℃的溫度下藉由PECVD來在該半導體基板上沉積一層氧化矽; (c)在沉積之後停止該含矽前驅物的氣流;及 (d)在低於約200℃的溫度下使用具有180 nm或更短波長的UV光來處理該氧化矽的沉積層,以改變該沉積層及降低該沉積層的應力至小於約80 MPa的一絕對值。
- 一種用於半導體基板上之氧化矽膜的沉積與電漿處理的設備,該設備包含: (a)一PECVD製程腔室,其包含用以在沉積期間將該半導體基板固持在適當位置的一基板支撐件; (b)一電漿產生器,其用以產生用於該PECVD製程腔室的一電漿;及 (c)一控制器,其包含用於下列操作的程式指令: (i)在低於約200℃的溫度下,在該PECVD製程腔室中之該半導體基板上沉積一層氧化矽,其中用於沉積之該程式指令包含用於使一含矽前驅物與一含氧反應物流入該PECVD製程腔室內且形成一電漿之指令; (ii)在沉積之後停止該含矽前驅物的氣流;及 (iii)在低於約200℃的溫度下使用一電漿來處理該氧化矽的沉積層,以從而改變該沉積層及降低該沉積層的應力至小於約80 MPa的一絕對值。
- 如申請專利範圍第21項之用於半導體基板上之氧化矽膜的沉積與電漿處理的設備,其中該程式指令包含用於將用於電漿產生之功率從一第一功率位準增加至一第二、較大的功率位準的指令,其中該第一功率位準係在(i)中使用且該第二功率位準係在(iii)中使用。
- 一種用於半導體基板上之氧化矽膜的沉積與UV處理的設備,該設備包含: (a)一PECVD製程腔室,其包含用以在沉積期間將該半導體基板固持在適當位置的一基板支撐件; (b)一電漿產生器,其用以產生用於該PECVD製程腔室的一電漿; (c)一產生器,其配置成產生具有180 nm波長之UV輻射;及 (d)一控制器,其包含用於下列操作的程式指令: (i)在低於約200℃的溫度下、在該PECVD製程腔室中之該半導體基板上沉積一層氧化矽,其中用於沉積之該程式指令包含用於使一含矽前驅物與一含氧反應物流入該PECVD製程腔室內且形成一電漿之指令; (ii)在沉積之後停止該含矽前驅物的氣流;及 (iii)在低於約200℃的溫度下使用具有180 nm 或更短波長的UV光來處理該氧化矽的沉積層,以改變該沉積層及降低該沉積層的應力至小於約80 MPa的一絕對值。
- 如申請專利範圍第23項之用於半導體基板上之氧化矽膜的沉積與UV處理的設備,其中配置成產生UV輻射的該產生器係位在該PECVD製程腔室中。
- 如申請專利範圍第23項之用於半導體基板上之氧化矽膜的沉積與UV處理的設備,其中配置成產生UV輻射的該產生器係位在不同於該PECVD製程腔室之一製程腔室中。
- 一種包含申請專利範圍第21項或第23項的一設備及一步進機的系統。
- 一種非暫態電腦機器可讀媒體,包含用於下列者的程式碼: (i)在低於約200℃的溫度下、在一PECVD製程腔室中的一半導體基板上沉積一層氧化矽,其中用於沉積之該程式碼包含用於使一含矽前驅物與一含氧反應物流入該PECVD製程腔室內且形成一電漿的程式指令; (ii)在沉積之後停止該含矽前驅物的氣流;及 (iii)在低於約200℃的溫度下使用具有180 nm或更短波長之UV光來處理該氧化矽的沉積層,以改變該沉積層及降低該沉積層的應力至小於約80 MPa的一絕對值。
- 一種非暫態電腦機器可讀媒體,包含用於下列者的程式碼: (i)在低於約200℃的溫度下、在該PECVD製程腔室中的該半導體基板上沉積一層氧化矽,其中用於沉積之該程式碼包含用於使一含矽前驅物與一含氧反應物流入該PECVD製程腔室內且形成一電漿的程式指令; (ii)在沉積之後停止該含矽前驅物的氣流;及 (iii)在低於約200℃的溫度下使用具有180 nm或更短波長之UV光來處理該氧化矽的沉積層,以改變該沉積層及降低該沉積層的應力至小於約80 MPa的一絕對值。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/280,049 US9847221B1 (en) | 2016-09-29 | 2016-09-29 | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
US15/280,049 | 2016-09-29 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201828339A true TW201828339A (zh) | 2018-08-01 |
Family
ID=60629250
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106132721A TW201828339A (zh) | 2016-09-29 | 2017-09-25 | 於半導體裝置製造中高品質氧化矽之低溫形成 |
Country Status (5)
Country | Link |
---|---|
US (1) | US9847221B1 (zh) |
KR (2) | KR102570744B1 (zh) |
CN (1) | CN109791870B (zh) |
TW (1) | TW201828339A (zh) |
WO (1) | WO2018063804A1 (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI781667B (zh) * | 2020-07-22 | 2022-10-21 | 南韓商圓益Ips股份有限公司 | 薄膜沉積方法及利用該薄膜沉積方法的半導體器件的製造方法 |
TWI807230B (zh) * | 2019-11-27 | 2023-07-01 | 美商應用材料股份有限公司 | 用於電漿沉積的初始調制 |
Families Citing this family (264)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10515822B2 (en) * | 2016-06-20 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for preventing bottom layer wrinkling in a semiconductor device |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
CN108122790B (zh) * | 2016-11-29 | 2020-12-18 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) * | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
JP6903040B2 (ja) * | 2018-09-21 | 2021-07-14 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
CN112868087A (zh) * | 2018-10-10 | 2021-05-28 | 朗姆研究公司 | 用于膜沉积和表面处理的连续等离子体 |
KR20210061453A (ko) | 2018-10-16 | 2021-05-27 | 램 리써치 코포레이션 | 박막 증착을 위한 플라즈마 강화 웨이퍼 소킹 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
US11158561B2 (en) | 2019-05-01 | 2021-10-26 | Micron Technology, Inc. | Memory device with low density thermal barrier |
US10964621B2 (en) | 2019-05-01 | 2021-03-30 | Micron Technology, Inc. | Memory device with high resistivity thermal barrier |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
CN112397372B (zh) * | 2019-08-19 | 2023-03-24 | 芯恩(青岛)集成电路有限公司 | 半导体器件的制作方法、半导体器件及其处理装置 |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20220139376A (ko) * | 2020-02-07 | 2022-10-14 | 램 리써치 코포레이션 | 실리콘-함유 막들의 고온 증착을 위한 전구체들 |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11640905B2 (en) | 2020-12-17 | 2023-05-02 | Applied Materials, Inc. | Plasma enhanced deposition of silicon-containing films at low temperature |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US20220336216A1 (en) * | 2021-04-20 | 2022-10-20 | Applied Materials, Inc. | Helium-free silicon formation |
WO2022232224A1 (en) * | 2021-04-27 | 2022-11-03 | Applied Materials, Inc. | Stress and overlay management for semiconductor processing |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
WO2023102376A1 (en) * | 2021-12-01 | 2023-06-08 | Lam Research Corporation | Deposition of high compressive stress thermally stable nitride film |
Family Cites Families (385)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3704219A (en) | 1971-04-07 | 1972-11-28 | Mcdowell Electronics Inc | Impedance matching network for use with sputtering apparatus |
US3983385A (en) | 1974-08-23 | 1976-09-28 | Union Carbide Corporation | Method and apparatus for operating a mercury vapor lamp |
US4313969A (en) | 1979-09-10 | 1982-02-02 | Fusion Systems Corporation | Method and apparatus for providing low gloss and gloss controlled radiation-cured coatings |
US4357451A (en) | 1980-05-21 | 1982-11-02 | Phillips Petroleum Company | Chemical dehydroxylation of silica |
US4391663A (en) | 1980-12-05 | 1983-07-05 | Hutter Iii Charles G | Method of curing adhesive |
US4563589A (en) | 1984-01-09 | 1986-01-07 | Scheffer Herbert D | Ultraviolet curing lamp device |
JPS62229833A (ja) | 1986-03-29 | 1987-10-08 | Hitachi Ltd | 光化学反応方法 |
US4837289A (en) | 1987-04-30 | 1989-06-06 | Ciba-Geigy Corporation | UV- and heat curable terminal polyvinyl functional macromers and polymers thereof |
JPS63307740A (ja) | 1987-06-09 | 1988-12-15 | Semiconductor Energy Lab Co Ltd | 光化学反応処理装置 |
US4751191A (en) | 1987-07-08 | 1988-06-14 | Mobil Solar Energy Corporation | Method of fabricating solar cells with silicon nitride coating |
JPH01107519A (ja) | 1987-10-20 | 1989-04-25 | Nec Corp | 気相成長装置 |
US4956582A (en) | 1988-04-19 | 1990-09-11 | The Boeing Company | Low temperature plasma generator with minimal RF emissions |
US5174881A (en) | 1988-05-12 | 1992-12-29 | Mitsubishi Denki Kabushiki Kaisha | Apparatus for forming a thin film on surface of semiconductor substrate |
JPH01296611A (ja) | 1988-05-25 | 1989-11-30 | Canon Inc | 半導体薄膜堆積法 |
US5178682A (en) | 1988-06-21 | 1993-01-12 | Mitsubishi Denki Kabushiki Kaisha | Method for forming a thin layer on a semiconductor substrate and apparatus therefor |
JPH01319944A (ja) | 1988-06-21 | 1989-12-26 | Mitsubishi Electric Corp | 半導体基板表面に薄膜を形成する方法およびその装置 |
JPH06105691B2 (ja) | 1988-09-29 | 1994-12-21 | 株式会社富士電機総合研究所 | 炭素添加非晶質シリコン薄膜の製造方法 |
US4885262A (en) | 1989-03-08 | 1989-12-05 | Intel Corporation | Chemical modification of spin-on glass for improved performance in IC fabrication |
US5088003A (en) | 1989-08-24 | 1992-02-11 | Tosoh Corporation | Laminated silicon oxide film capacitors and method for their production |
JPH03277774A (ja) | 1990-03-27 | 1991-12-09 | Semiconductor Energy Lab Co Ltd | 光気相反応装置 |
DE69133169D1 (de) | 1990-05-09 | 2003-01-16 | Canon Kk | Verfahren zur Erzeugung einer Struktur und Verfahren zum Vorbereiten einer halbleitenden Anordnung mit Hilfe dieses Verfahrens |
US5268320A (en) | 1990-12-26 | 1993-12-07 | Intel Corporation | Method of increasing the accuracy of an analog circuit employing floating gate memory devices |
US5195045A (en) | 1991-02-27 | 1993-03-16 | Astec America, Inc. | Automatic impedance matching apparatus and method |
JPH0812847B2 (ja) | 1991-04-22 | 1996-02-07 | 株式会社半導体プロセス研究所 | 半導体製造装置及び半導体装置の製造方法 |
US5282121A (en) | 1991-04-30 | 1994-01-25 | Vari-Lite, Inc. | High intensity lighting projectors |
JPH0531735A (ja) | 1991-08-02 | 1993-02-09 | Canon Inc | 光学素子の成形装置 |
JP2925799B2 (ja) | 1991-08-30 | 1999-07-28 | ホーヤ株式会社 | 耐候性試験機用光学フィルター構造体および耐候性試験機 |
US5300331A (en) | 1991-09-27 | 1994-04-05 | Fusion Systems Corporation | Method and apparatus for UV curing thick pigmented coatings |
US5298939A (en) | 1991-11-04 | 1994-03-29 | Swanson Paul A | Method and apparatus for transfer of a reticle pattern onto a substrate by scanning |
JP2934084B2 (ja) | 1991-11-25 | 1999-08-16 | キヤノン株式会社 | 成形装置 |
US5215588A (en) | 1992-01-17 | 1993-06-01 | Amtech Systems, Inc. | Photo-CVD system |
US5582880A (en) | 1992-03-27 | 1996-12-10 | Canon Kabushiki Kaisha | Method of manufacturing non-single crystal film and non-single crystal semiconductor device |
US5281546A (en) | 1992-09-02 | 1994-01-25 | General Electric Company | Method of fabricating a thin film transistor using hydrogen plasma treatment of the intrinsic silicon/doped layer interface |
US5339198A (en) | 1992-10-16 | 1994-08-16 | The Dow Chemical Company | All-polymeric cold mirror |
US7097712B1 (en) | 1992-12-04 | 2006-08-29 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus for processing a semiconductor |
US5407524A (en) | 1993-08-13 | 1995-04-18 | Lsi Logic Corporation | End-point detection in plasma etching by monitoring radio frequency matching network |
US5556549A (en) | 1994-05-02 | 1996-09-17 | Lsi Logic Corporation | Power control and delivery in plasma processing equipment |
DE4419234A1 (de) | 1994-06-01 | 1995-12-07 | Wacker Chemie Gmbh | Verfahren zur Silylierung von anorganischen Oxiden |
US6015503A (en) | 1994-06-14 | 2000-01-18 | Fsi International, Inc. | Method and apparatus for surface conditioning |
US5504042A (en) | 1994-06-23 | 1996-04-02 | Texas Instruments Incorporated | Porous dielectric material with improved pore surface properties for electronics applications |
US5840600A (en) | 1994-08-31 | 1998-11-24 | Semiconductor Energy Laboratory Co., Ltd. | Method for producing semiconductor device and apparatus for treating semiconductor device |
JP3632256B2 (ja) | 1994-09-30 | 2005-03-23 | 株式会社デンソー | 窒化シリコン膜を有する半導体装置の製造方法 |
US6251758B1 (en) | 1994-11-14 | 2001-06-26 | Applied Materials, Inc. | Construction of a film on a semiconductor wafer |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
MY113904A (en) | 1995-05-08 | 2002-06-29 | Electron Vision Corp | Method for curing spin-on-glass film utilizing electron beam radiation |
US7025831B1 (en) | 1995-12-21 | 2006-04-11 | Fsi International, Inc. | Apparatus for surface conditioning |
US5648175A (en) | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
RU2156013C2 (ru) | 1996-03-28 | 2000-09-10 | Интел Корпорейшн | Конструкция ячейки памяти с вертикально расположенными друг над другом пересечениями |
US5849640A (en) | 1996-04-01 | 1998-12-15 | Vanguard International Semiconductor Corporation | In-situ SOG etchback and deposition for IMD process |
US5700844A (en) | 1996-04-09 | 1997-12-23 | International Business Machines Corporation | Process for making a foamed polymer |
US5667592A (en) | 1996-04-16 | 1997-09-16 | Gasonics International | Process chamber sleeve with ring seals for isolating individual process modules in a common cluster |
US5660895A (en) * | 1996-04-24 | 1997-08-26 | Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College | Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor |
JPH09306892A (ja) | 1996-05-14 | 1997-11-28 | Hitachi Ltd | クリーニング方法および半導体製造装置 |
US6280171B1 (en) | 1996-06-14 | 2001-08-28 | Q2100, Inc. | El apparatus for eyeglass lens curing using ultraviolet light |
US6020035A (en) | 1996-10-29 | 2000-02-01 | Applied Materials, Inc. | Film to tie up loose fluorine in the chamber after a clean process |
US5789027A (en) | 1996-11-12 | 1998-08-04 | University Of Massachusetts | Method of chemically depositing material onto a substrate |
US5812403A (en) | 1996-11-13 | 1998-09-22 | Applied Materials, Inc. | Methods and apparatus for cleaning surfaces in a substrate processing system |
JPH10284360A (ja) | 1997-04-02 | 1998-10-23 | Hitachi Ltd | 基板温度制御装置及び方法 |
US6090442A (en) | 1997-04-14 | 2000-07-18 | University Technology Corporation | Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry |
US6218260B1 (en) | 1997-04-22 | 2001-04-17 | Samsung Electronics Co., Ltd. | Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby |
US6531193B2 (en) * | 1997-07-07 | 2003-03-11 | The Penn State Research Foundation | Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
US5858457A (en) | 1997-09-25 | 1999-01-12 | Sandia Corporation | Process to form mesostructured films |
US7829144B2 (en) | 1997-11-05 | 2010-11-09 | Tokyo Electron Limited | Method of forming a metal film for electrode |
US5876798A (en) | 1997-12-29 | 1999-03-02 | Chartered Semiconductor Manufacturing, Ltd. | Method of fluorinated silicon oxide film deposition |
JPH11214364A (ja) | 1998-01-28 | 1999-08-06 | Matsushita Electron Corp | 半導体ウェハ処理装置 |
US7582575B2 (en) | 1998-02-05 | 2009-09-01 | Asm Japan K.K. | Method for forming insulation film |
US6383955B1 (en) | 1998-02-05 | 2002-05-07 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6171661B1 (en) | 1998-02-25 | 2001-01-09 | Applied Materials, Inc. | Deposition of copper with increased adhesion |
US6098637A (en) | 1998-03-03 | 2000-08-08 | Applied Materials, Inc. | In situ cleaning of the surface inside a vacuum processing chamber |
US6273022B1 (en) | 1998-03-14 | 2001-08-14 | Applied Materials, Inc. | Distributed inductively-coupled plasma source |
JP4521992B2 (ja) | 1998-04-01 | 2010-08-11 | 旭化成株式会社 | 配線構造体の製造方法 |
US6284050B1 (en) | 1998-05-18 | 2001-09-04 | Novellus Systems, Inc. | UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition |
US6316167B1 (en) | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
US6232248B1 (en) | 1998-07-03 | 2001-05-15 | Tokyo Electron Limited | Single-substrate-heat-processing method for performing reformation and crystallization |
KR100343134B1 (ko) | 1998-07-09 | 2002-10-25 | 삼성전자 주식회사 | 유전막형성방법 |
US6150272A (en) | 1998-11-16 | 2000-11-21 | Taiwan Semiconductor Manufacturing Company | Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage |
US6407007B1 (en) | 1998-11-17 | 2002-06-18 | Taiwan Semiconductor Manufacturing Company | Method to solve the delamination of a silicon nitride layer from an underlying spin on glass layer |
US6290589B1 (en) | 1998-12-09 | 2001-09-18 | Applied Materials, Inc. | Polishing pad with a partial adhesive coating |
US6329017B1 (en) | 1998-12-23 | 2001-12-11 | Battelle Memorial Institute | Mesoporous silica film from a solution containing a surfactant and methods of making same |
US6383466B1 (en) | 1998-12-28 | 2002-05-07 | Battelle Memorial Institute | Method of dehydroxylating a hydroxylated material and method of making a mesoporous film |
KR20000043888A (ko) | 1998-12-29 | 2000-07-15 | 김영환 | 플래쉬 메모리 소자의 제조 방법 |
US6239018B1 (en) | 1999-02-01 | 2001-05-29 | United Microelectronics Corp. | Method for forming dielectric layers |
US6770572B1 (en) | 1999-01-26 | 2004-08-03 | Alliedsignal Inc. | Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films |
US6254689B1 (en) | 1999-03-09 | 2001-07-03 | Lucent Technologies Inc. | System and method for flash photolysis cleaning of a semiconductor processing chamber |
JP2000286254A (ja) | 1999-03-31 | 2000-10-13 | Hitachi Ltd | 半導体集積回路装置およびその製造方法 |
JP3410385B2 (ja) | 1999-04-19 | 2003-05-26 | 株式会社ディスコ | 洗浄装置及び切削装置 |
US6268288B1 (en) | 1999-04-27 | 2001-07-31 | Tokyo Electron Limited | Plasma treated thermal CVD of TaN films from tantalum halide precursors |
JP2001104776A (ja) | 1999-10-06 | 2001-04-17 | Tokyo Electron Ltd | 処理装置及び処理方法 |
KR100613674B1 (ko) | 1999-05-14 | 2006-08-21 | 동경 엘렉트론 주식회사 | 웨이퍼 처리 장치 및 처리 방법 |
US6821571B2 (en) | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6376387B2 (en) | 1999-07-09 | 2002-04-23 | Applied Materials, Inc. | Method of sealing an epitaxial silicon layer on a substrate |
US6136963A (en) | 1999-07-27 | 2000-10-24 | Heska Corporation | Parasitic helminth DiAg2 nucleic acid molecules, and uses thereof |
KR20010017820A (ko) | 1999-08-14 | 2001-03-05 | 윤종용 | 반도체 소자 및 그 제조방법 |
EP1077480B1 (en) | 1999-08-17 | 2008-11-12 | Applied Materials, Inc. | Method and apparatus to enhance properties of Si-O-C low K films |
KR100338057B1 (ko) | 1999-08-26 | 2002-05-24 | 황 철 주 | 유도 결합형 플라즈마 발생용 안테나 장치 |
US6228563B1 (en) | 1999-09-17 | 2001-05-08 | Gasonics International Corporation | Method and apparatus for removing post-etch residues and other adherent matrices |
US6740566B2 (en) | 1999-09-17 | 2004-05-25 | Advanced Micro Devices, Inc. | Ultra-thin resist shallow trench process using high selectivity nitride etch |
US6420441B1 (en) | 1999-10-01 | 2002-07-16 | Shipley Company, L.L.C. | Porous materials |
JP4774568B2 (ja) | 1999-10-01 | 2011-09-14 | ソニー株式会社 | 半導体装置の製造方法 |
US6875687B1 (en) | 1999-10-18 | 2005-04-05 | Applied Materials, Inc. | Capping layer for extreme low dielectric constant films |
JP2001329088A (ja) | 1999-10-18 | 2001-11-27 | Nippon Sheet Glass Co Ltd | 二酸化珪素被覆ポリオレフィン樹脂及びその製造方法 |
US20050022839A1 (en) | 1999-10-20 | 2005-02-03 | Savas Stephen E. | Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing |
US6580094B1 (en) | 1999-10-29 | 2003-06-17 | Semiconductor Energy Laboratory Co., Ltd. | Electro luminescence display device |
JP3430091B2 (ja) | 1999-12-01 | 2003-07-28 | Necエレクトロニクス株式会社 | エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置 |
US6365266B1 (en) | 1999-12-07 | 2002-04-02 | Air Products And Chemicals, Inc. | Mesoporous films having reduced dielectric constants |
US6348420B1 (en) | 1999-12-23 | 2002-02-19 | Asm America, Inc. | Situ dielectric stacks |
US6475854B2 (en) | 1999-12-30 | 2002-11-05 | Applied Materials, Inc. | Method of forming metal electrodes |
US6136680A (en) | 2000-01-21 | 2000-10-24 | Taiwan Semiconductor Manufacturing Company | Methods to improve copper-fluorinated silica glass interconnects |
US6367412B1 (en) | 2000-02-17 | 2002-04-09 | Applied Materials, Inc. | Porous ceramic liner for a plasma source |
JP3419745B2 (ja) | 2000-02-28 | 2003-06-23 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
US6329062B1 (en) | 2000-02-29 | 2001-12-11 | Novellus Systems, Inc. | Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits |
US6270846B1 (en) | 2000-03-02 | 2001-08-07 | Sandia Corporation | Method for making surfactant-templated, high-porosity thin films |
US6387453B1 (en) | 2000-03-02 | 2002-05-14 | Sandia Corporation | Method for making surfactant-templated thin films |
AU2001245388A1 (en) | 2000-03-07 | 2001-09-17 | Asm America, Inc. | Graded thin films |
US20030157267A1 (en) | 2000-03-20 | 2003-08-21 | Carlo Waldfried | Fluorine-free plasma curing process for porous low-k materials |
US6759098B2 (en) | 2000-03-20 | 2004-07-06 | Axcelis Technologies, Inc. | Plasma curing of MSQ-based porous low-k film materials |
US6576300B1 (en) | 2000-03-20 | 2003-06-10 | Dow Corning Corporation | High modulus, low dielectric constant coatings |
US6558755B2 (en) | 2000-03-20 | 2003-05-06 | Dow Corning Corporation | Plasma curing process for porous silica thin film |
US6913796B2 (en) | 2000-03-20 | 2005-07-05 | Axcelis Technologies, Inc. | Plasma curing process for porous low-k materials |
US6572252B1 (en) | 2000-04-25 | 2003-06-03 | Advanced Micro Devices, Inc. | System and method for illuminating a semiconductor processing system |
US6444715B1 (en) | 2000-06-06 | 2002-09-03 | Honeywell International Inc. | Low dielectric materials and methods of producing same |
US6867143B1 (en) | 2000-06-22 | 2005-03-15 | International Business Machines Corporation | Method for etching a semiconductor substrate using germanium hard mask |
JP3910344B2 (ja) | 2000-06-28 | 2007-04-25 | リンテック株式会社 | セラミックグリーンシート製造用工程フィルム |
US6485599B1 (en) | 2000-07-11 | 2002-11-26 | International Business Machines Corporation | Curing of sealants using multiple frequencies of radiation |
US6271273B1 (en) | 2000-07-14 | 2001-08-07 | Shipley Company, L.L.C. | Porous materials |
US6794311B2 (en) | 2000-07-14 | 2004-09-21 | Applied Materials Inc. | Method and apparatus for treating low k dielectric layers to reduce diffusion |
JP4731694B2 (ja) | 2000-07-21 | 2011-07-27 | 東京エレクトロン株式会社 | 半導体装置の製造方法および基板処理装置 |
US6391932B1 (en) | 2000-08-08 | 2002-05-21 | Shipley Company, L.L.C. | Porous materials |
US6566278B1 (en) | 2000-08-24 | 2003-05-20 | Applied Materials Inc. | Method for densification of CVD carbon-doped silicon oxide films through UV irradiation |
WO2002021593A2 (en) | 2000-09-08 | 2002-03-14 | Applied Materials, Inc. | Method of forming titanium nitride (tin) films using metal-organic chemical vapor deposition (mocvd) |
TW535253B (en) | 2000-09-08 | 2003-06-01 | Applied Materials Inc | Plasma treatment of silicon carbide films |
JP2004509468A (ja) | 2000-09-13 | 2004-03-25 | シップレーカンパニー エル エル シー | 電子デバイスの製造 |
US6856712B2 (en) | 2000-11-27 | 2005-02-15 | University Of Washington | Micro-fabricated optical waveguide for use in scanning fiber displays and scanned fiber image acquisition |
WO2002045145A2 (en) | 2000-11-30 | 2002-06-06 | Shipley Company, L.L.C. | Uv-free curing of organic dielectrica |
JP3516941B2 (ja) | 2000-11-30 | 2004-04-05 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
TWI313059B (zh) | 2000-12-08 | 2009-08-01 | Sony Corporatio | |
US6340628B1 (en) | 2000-12-12 | 2002-01-22 | Novellus Systems, Inc. | Method to deposit SiOCH films with dielectric constant below 3.0 |
KR100384850B1 (ko) | 2000-12-14 | 2003-05-22 | 주식회사 하이닉스반도체 | 탄탈륨옥사이드 유전막 형성 방법 |
AU2002219478A1 (en) | 2001-01-04 | 2002-07-16 | Laser Imaging Systems Gmbh And Co. Kg | Direct pattern writer |
US6451685B1 (en) | 2001-02-05 | 2002-09-17 | Micron Technology, Inc. | Method for multilevel copper interconnects for ultra large scale integration |
US20020117109A1 (en) | 2001-02-27 | 2002-08-29 | Hazelton Andrew J. | Multiple stage, stage assembly having independent reaction force transfer |
KR100897771B1 (ko) | 2001-03-13 | 2009-05-15 | 도쿄엘렉트론가부시키가이샤 | 막형성방법 및 막형성장치 |
US6348407B1 (en) | 2001-03-15 | 2002-02-19 | Chartered Semiconductor Manufacturing Inc. | Method to improve adhesion of organic dielectrics in dual damascene interconnects |
US20020172766A1 (en) | 2001-03-17 | 2002-11-21 | Laxman Ravi K. | Low dielectric constant thin films and chemical vapor deposition method of making same |
US20020187627A1 (en) | 2001-06-06 | 2002-12-12 | Yu-Shen Yuang | Method of fabricating a dual damascene structure |
JP4682456B2 (ja) | 2001-06-18 | 2011-05-11 | 株式会社日立ハイテクノロジーズ | 基板処理方法及び基板処理装置 |
US6861334B2 (en) | 2001-06-21 | 2005-03-01 | Asm International, N.V. | Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition |
KR20030002993A (ko) | 2001-06-29 | 2003-01-09 | 학교법인 포항공과대학교 | 저유전체 박막의 제조방법 |
US6455417B1 (en) | 2001-07-05 | 2002-09-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer |
US6570256B2 (en) | 2001-07-20 | 2003-05-27 | International Business Machines Corporation | Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates |
US7183201B2 (en) | 2001-07-23 | 2007-02-27 | Applied Materials, Inc. | Selective etching of organosilicate films over silicon oxide stop etch layers |
US6596654B1 (en) | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
JP2003119562A (ja) | 2001-08-14 | 2003-04-23 | Samsung Corning Co Ltd | インラインスパッタリング装置及びスパッタリング方法 |
US20030045098A1 (en) | 2001-08-31 | 2003-03-06 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
US6756318B2 (en) | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US20040058090A1 (en) | 2001-09-14 | 2004-03-25 | Carlo Waldfried | Low temperature UV pretreating of porous low-k materials |
US6756085B2 (en) | 2001-09-14 | 2004-06-29 | Axcelis Technologies, Inc. | Ultraviolet curing processes for advanced low-k materials |
US6605549B2 (en) | 2001-09-29 | 2003-08-12 | Intel Corporation | Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics |
JP2003115576A (ja) | 2001-10-03 | 2003-04-18 | Matsushita Electric Ind Co Ltd | 電子デバイスの製造方法 |
US6677253B2 (en) | 2001-10-05 | 2004-01-13 | Intel Corporation | Carbon doped oxide deposition |
US6759327B2 (en) | 2001-10-09 | 2004-07-06 | Applied Materials Inc. | Method of depositing low k barrier layers |
US6680262B2 (en) | 2001-10-25 | 2004-01-20 | Intel Corporation | Method of making a semiconductor device by converting a hydrophobic surface of a dielectric layer to a hydrophilic surface |
US6899857B2 (en) | 2001-11-13 | 2005-05-31 | Chartered Semiconductors Manufactured Limited | Method for forming a region of low dielectric constant nanoporous material using a microemulsion technique |
AU2002352903A1 (en) | 2001-11-21 | 2003-06-10 | University Of Massachusetts | Mesoporous materials and methods |
US6563092B1 (en) | 2001-11-28 | 2003-05-13 | Novellus Systems, Inc. | Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry |
US7017514B1 (en) | 2001-12-03 | 2006-03-28 | Novellus Systems, Inc. | Method and apparatus for plasma optimization in water processing |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
AU2003201435A1 (en) | 2002-01-17 | 2003-07-30 | Silecs Oy | Thin films and methods for the preparation thereof |
US6848458B1 (en) | 2002-02-05 | 2005-02-01 | Novellus Systems, Inc. | Apparatus and methods for processing semiconductor substrates using supercritical fluids |
JP2003234402A (ja) | 2002-02-12 | 2003-08-22 | Tokyo Electron Ltd | 半導体製造方法及び半導体製造装置 |
DE10208450B4 (de) | 2002-02-27 | 2004-09-16 | Infineon Technologies Ag | Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen |
US6805801B1 (en) | 2002-03-13 | 2004-10-19 | Novellus Systems, Inc. | Method and apparatus to remove additives and contaminants from a supercritical processing solution |
JP2003273111A (ja) | 2002-03-14 | 2003-09-26 | Seiko Epson Corp | 成膜方法及びその方法を用いて製造したデバイス、並びにデバイスの製造方法 |
JP4090347B2 (ja) | 2002-03-18 | 2008-05-28 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
WO2003083167A1 (en) | 2002-03-28 | 2003-10-09 | President And Fellows Of Harvard College | Vapor deposition of silicon dioxide nanolaminates |
US20030199603A1 (en) | 2002-04-04 | 2003-10-23 | 3M Innovative Properties Company | Cured compositions transparent to ultraviolet radiation |
KR100480500B1 (ko) | 2002-04-25 | 2005-04-06 | 학교법인 포항공과대학교 | 절연막의 저온 증착법 |
US6812043B2 (en) | 2002-04-25 | 2004-11-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a carbon doped oxide low-k insulating layer |
US6764810B2 (en) | 2002-04-25 | 2004-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for dual-damascene formation using a via plug |
US6936551B2 (en) | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US7060330B2 (en) | 2002-05-08 | 2006-06-13 | Applied Materials, Inc. | Method for forming ultra low k films using electron beam |
TWI288443B (en) | 2002-05-17 | 2007-10-11 | Semiconductor Energy Lab | SiN film, semiconductor device, and the manufacturing method thereof |
US6873051B1 (en) | 2002-05-31 | 2005-03-29 | Advanced Micro Devices, Inc. | Nickel silicide with reduced interface roughness |
US6812167B2 (en) | 2002-06-05 | 2004-11-02 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for improving adhesion between dielectric material layers |
KR100469126B1 (ko) | 2002-06-05 | 2005-01-29 | 삼성전자주식회사 | 수소 함유량이 적은 박막 형성방법 |
JP2004014841A (ja) | 2002-06-07 | 2004-01-15 | Fujitsu Ltd | 半導体装置及びその製造方法 |
US7847344B2 (en) | 2002-07-08 | 2010-12-07 | Micron Technology, Inc. | Memory utilizing oxide-nitride nanolaminates |
US6644786B1 (en) | 2002-07-08 | 2003-11-11 | Eastman Kodak Company | Method of manufacturing a thermally actuated liquid control device |
US6677251B1 (en) | 2002-07-29 | 2004-01-13 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion |
US6869862B2 (en) | 2002-08-09 | 2005-03-22 | Texas Instruments Incorporated | Method for improving a physical property defect value of a gate dielectric |
EP1535321A4 (en) * | 2002-08-18 | 2009-05-27 | Asml Us Inc | LOW TEMPERATURE DEPOSITION OF OXIDES AND SILICON OXYNITRIDES |
US7234584B2 (en) | 2002-08-31 | 2007-06-26 | Applied Materials, Inc. | System for transporting substrate carriers |
US6767836B2 (en) | 2002-09-04 | 2004-07-27 | Asm Japan K.K. | Method of cleaning a CVD reaction chamber using an active oxygen species |
US6734118B2 (en) | 2002-09-23 | 2004-05-11 | Intel Corporation | Dielectric material treatment |
US6803313B2 (en) | 2002-09-27 | 2004-10-12 | Advanced Micro Devices, Inc. | Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes |
US7005390B2 (en) | 2002-10-09 | 2006-02-28 | Intel Corporation | Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials |
US6991959B2 (en) | 2002-10-10 | 2006-01-31 | Asm Japan K.K. | Method of manufacturing silicon carbide film |
US6759342B2 (en) | 2002-10-11 | 2004-07-06 | Taiwan Semiconductor Manufacturing Co., Ltd | Method of avoiding dielectric arcing |
US6797643B2 (en) | 2002-10-23 | 2004-09-28 | Applied Materials Inc. | Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power |
US20050025892A1 (en) | 2002-11-01 | 2005-02-03 | Sumitomo Chemical Company, Limited | Composition for porous organic film |
US7404990B2 (en) | 2002-11-14 | 2008-07-29 | Air Products And Chemicals, Inc. | Non-thermal process for forming porous low dielectric constant films |
US6831284B2 (en) | 2002-11-21 | 2004-12-14 | Applied Materials, Inc. | Large area source for uniform electron beam generation |
US7018918B2 (en) | 2002-11-21 | 2006-03-28 | Intel Corporation | Method of forming a selectively converted inter-layer dielectric using a porogen material |
US7294934B2 (en) | 2002-11-21 | 2007-11-13 | Intel Corporation | Low-K dielectric structure and method |
US6924222B2 (en) | 2002-11-21 | 2005-08-02 | Intel Corporation | Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide |
KR100483290B1 (ko) | 2002-12-14 | 2005-04-15 | 동부아남반도체 주식회사 | 반도체 소자의 제조 방법 |
US6939800B1 (en) | 2002-12-16 | 2005-09-06 | Lsi Logic Corporation | Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures |
JP2006511075A (ja) | 2002-12-19 | 2006-03-30 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | 無応力複合基板及びその製造方法 |
US20060121208A1 (en) | 2003-01-09 | 2006-06-08 | Siegel Stephen B | Multiple wavelength UV curing |
US7767056B2 (en) | 2003-01-14 | 2010-08-03 | Canon Anelva Corporation | High-frequency plasma processing apparatus |
US20040152239A1 (en) | 2003-01-21 | 2004-08-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interface improvement by electron beam process |
EP1592051A4 (en) | 2003-01-24 | 2012-02-22 | Tokyo Electron Ltd | CHEMICAL VAPOR DEPOSITION METHOD FOR FORMING SILICON NITRIDE FILM ON A SUBSTRATE |
US7709371B2 (en) | 2003-01-25 | 2010-05-04 | Honeywell International Inc. | Repairing damage to low-k dielectric materials using silylating agents |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US7238393B2 (en) | 2003-02-13 | 2007-07-03 | Asm Japan K.K. | Method of forming silicon carbide films |
US7098149B2 (en) | 2003-03-04 | 2006-08-29 | Air Products And Chemicals, Inc. | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
US6921727B2 (en) | 2003-03-11 | 2005-07-26 | Applied Materials, Inc. | Method for modifying dielectric characteristics of dielectric layers |
US6869542B2 (en) | 2003-03-12 | 2005-03-22 | International Business Machines Corporation | Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials |
US6740602B1 (en) | 2003-03-17 | 2004-05-25 | Asm Japan K.K. | Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power |
US7169715B2 (en) | 2003-03-21 | 2007-01-30 | Intel Corporation | Forming a dielectric layer using porogens |
US7241704B1 (en) | 2003-03-31 | 2007-07-10 | Novellus Systems, Inc. | Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups |
US7208389B1 (en) | 2003-03-31 | 2007-04-24 | Novellus Systems, Inc. | Method of porogen removal from porous low-k films using UV radiation |
US7176144B1 (en) | 2003-03-31 | 2007-02-13 | Novellus Systems, Inc. | Plasma detemplating and silanol capping of porous dielectric films |
US20050260420A1 (en) | 2003-04-01 | 2005-11-24 | Collins Martha J | Low dielectric materials and methods for making same |
US6830624B2 (en) | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US6740605B1 (en) | 2003-05-05 | 2004-05-25 | Advanced Micro Devices, Inc. | Process for reducing hydrogen contamination in dielectric materials in memory devices |
US6693050B1 (en) | 2003-05-06 | 2004-02-17 | Applied Materials Inc. | Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques |
US20040221871A1 (en) | 2003-05-07 | 2004-11-11 | Fletcher Matthew F. | Semiconductor wafer processing apparatus and method therefor |
US7265061B1 (en) | 2003-05-09 | 2007-09-04 | Novellus Systems, Inc. | Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties |
JP4209253B2 (ja) | 2003-05-22 | 2009-01-14 | 忠弘 大見 | フッ素添加カーボン膜の形成方法 |
JP3929939B2 (ja) | 2003-06-25 | 2007-06-13 | 株式会社東芝 | 処理装置、製造装置、処理方法及び電子装置の製造方法 |
US7425505B2 (en) | 2003-07-23 | 2008-09-16 | Fsi International, Inc. | Use of silyating agents |
US20050037153A1 (en) * | 2003-08-14 | 2005-02-17 | Applied Materials, Inc. | Stress reduction of sioc low k films |
US6972252B1 (en) | 2003-08-25 | 2005-12-06 | Novellus Systems, Inc. | Method of improving adhesion between two dielectric films |
US20050045206A1 (en) | 2003-08-26 | 2005-03-03 | Smith Patricia Beauregard | Post-etch clean process for porous low dielectric constant materials |
US7264676B2 (en) | 2003-09-11 | 2007-09-04 | United Microelectronics Corp. | Plasma apparatus and method capable of adaptive impedance matching |
US6936540B2 (en) | 2003-09-18 | 2005-08-30 | Micron Technology, Inc. | Method of polishing a semiconductor substrate, post-CMP cleaning process, and method of cleaning residue from registration alignment markings |
US20050064701A1 (en) | 2003-09-19 | 2005-03-24 | International Business Machines Corporation | Formation of low resistance via contacts in interconnect structures |
US7622399B2 (en) | 2003-09-23 | 2009-11-24 | Silecs Oy | Method of forming low-k dielectrics using a rapid curing process |
US7132334B2 (en) | 2003-09-23 | 2006-11-07 | Macronix International Co., Ltd. | Methods of code programming a mask ROM device |
WO2005034194A2 (en) | 2003-10-08 | 2005-04-14 | Honeywell International Inc. | Repairing damage to low-k dielectric materials using silylating agents |
US6902440B2 (en) | 2003-10-21 | 2005-06-07 | Freescale Semiconductor, Inc. | Method of forming a low K dielectric in a semiconductor manufacturing process |
US7390537B1 (en) | 2003-11-20 | 2008-06-24 | Novellus Systems, Inc. | Methods for producing low-k CDO films with low residual stress |
CN1902550B (zh) | 2003-12-26 | 2012-07-18 | 日产化学工业株式会社 | 形成硬掩模用涂布型氮化膜的组合物 |
US7030468B2 (en) | 2004-01-16 | 2006-04-18 | International Business Machines Corporation | Low k and ultra low k SiCOH dielectric films and methods to form the same |
KR100848226B1 (ko) | 2004-01-21 | 2008-07-24 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 장치의 제조 방법 및 기판 처리 장치 |
US7256111B2 (en) | 2004-01-26 | 2007-08-14 | Applied Materials, Inc. | Pretreatment for electroless deposition |
KR100593737B1 (ko) | 2004-01-28 | 2006-06-28 | 삼성전자주식회사 | 반도체 소자의 배선 방법 및 배선 구조체 |
US20050170104A1 (en) | 2004-01-29 | 2005-08-04 | Applied Materials, Inc. | Stress-tuned, single-layer silicon nitride film |
US7341761B1 (en) | 2004-03-11 | 2008-03-11 | Novellus Systems, Inc. | Methods for producing low-k CDO films |
US7381662B1 (en) | 2004-03-11 | 2008-06-03 | Novellus Systems, Inc. | Methods for improving the cracking resistance of low-k dielectric materials |
US7094713B1 (en) | 2004-03-11 | 2006-08-22 | Novellus Systems, Inc. | Methods for improving the cracking resistance of low-k dielectric materials |
US7030041B2 (en) | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US6962871B2 (en) | 2004-03-31 | 2005-11-08 | Dielectric Systems, Inc. | Composite polymer dielectric film |
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
US7102232B2 (en) | 2004-04-19 | 2006-09-05 | International Business Machines Corporation | Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer |
KR100568448B1 (ko) | 2004-04-19 | 2006-04-07 | 삼성전자주식회사 | 감소된 불순물을 갖는 고유전막의 제조방법 |
US7112541B2 (en) | 2004-05-06 | 2006-09-26 | Applied Materials, Inc. | In-situ oxide capping after CVD low k deposition |
US7622400B1 (en) | 2004-05-18 | 2009-11-24 | Novellus Systems, Inc. | Method for improving mechanical properties of low dielectric constant materials |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US7015150B2 (en) | 2004-05-26 | 2006-03-21 | International Business Machines Corporation | Exposed pore sealing post patterning |
JP3972126B2 (ja) | 2004-05-28 | 2007-09-05 | 独立行政法人産業技術総合研究所 | 紫外線発生源、紫外線照射処理装置及び半導体製造装置 |
US7504663B2 (en) | 2004-05-28 | 2009-03-17 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device with a floating gate electrode that includes a plurality of particles |
US7169256B2 (en) | 2004-05-28 | 2007-01-30 | Lam Research Corporation | Plasma processor with electrode responsive to multiple RF frequencies |
JP4503356B2 (ja) | 2004-06-02 | 2010-07-14 | 東京エレクトロン株式会社 | 基板処理方法および半導体装置の製造方法 |
US7629272B2 (en) | 2004-06-07 | 2009-12-08 | Axcelis Technologies, Inc. | Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics |
US20050272220A1 (en) | 2004-06-07 | 2005-12-08 | Carlo Waldfried | Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications |
US7709814B2 (en) | 2004-06-18 | 2010-05-04 | Axcelis Technologies, Inc. | Apparatus and process for treating dielectric materials |
US7182673B2 (en) | 2004-06-29 | 2007-02-27 | Novellus Systems, Inc. | Method and apparatus for post-CMP cleaning of a semiconductor work piece |
US7132374B2 (en) | 2004-08-17 | 2006-11-07 | Cecilia Y. Mak | Method for depositing porous films |
US20060038293A1 (en) | 2004-08-23 | 2006-02-23 | Rueger Neal R | Inter-metal dielectric fill |
US7304302B1 (en) | 2004-08-27 | 2007-12-04 | Kla-Tencor Technologies Corp. | Systems configured to reduce distortion of a resist during a metrology process and systems and methods for reducing alteration of a specimen during analysis |
US7223704B2 (en) | 2004-08-27 | 2007-05-29 | Infineon Technologies Ag | Repair of carbon depletion in low-k dielectric films |
US7235459B2 (en) | 2004-08-31 | 2007-06-26 | Micron Technology, Inc. | Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry |
JP4893905B2 (ja) | 2004-08-31 | 2012-03-07 | 独立行政法人産業技術総合研究所 | ゼオライト用原料液体、ゼオライト結晶作成方法、ゼオライト用原料液体の作成方法、およびゼオライト薄膜 |
US8158488B2 (en) | 2004-08-31 | 2012-04-17 | Micron Technology, Inc. | Method of increasing deposition rate of silicon dioxide on a catalyst |
US7326444B1 (en) | 2004-09-14 | 2008-02-05 | Novellus Systems, Inc. | Methods for improving integration performance of low stress CDO films |
US7332445B2 (en) | 2004-09-28 | 2008-02-19 | Air Products And Chemicals, Inc. | Porous low dielectric constant compositions and methods for making and using same |
US9659769B1 (en) | 2004-10-22 | 2017-05-23 | Novellus Systems, Inc. | Tensile dielectric films using UV curing |
US7148155B1 (en) * | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7335980B2 (en) | 2004-11-04 | 2008-02-26 | International Business Machines Corporation | Hardmask for reliability of silicon based dielectrics |
US20060105106A1 (en) | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Tensile and compressive stressed materials for semiconductors |
US20070134821A1 (en) | 2004-11-22 | 2007-06-14 | Randhir Thakur | Cluster tool for advanced front-end processing |
US20070196011A1 (en) | 2004-11-22 | 2007-08-23 | Cox Damon K | Integrated vacuum metrology for cluster tool |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7354852B2 (en) | 2004-12-09 | 2008-04-08 | Asm Japan K.K. | Method of forming interconnection in semiconductor device |
US20060142143A1 (en) | 2004-12-15 | 2006-06-29 | Hayim Abrevaya | Process for preparing a dielectric interlayer film containing silicon beta zeolite |
US7179755B2 (en) | 2004-12-30 | 2007-02-20 | Intel Corporation | Forming a porous dielectric layer and structures formed thereby |
US7892648B2 (en) | 2005-01-21 | 2011-02-22 | International Business Machines Corporation | SiCOH dielectric material with improved toughness and improved Si-C bonding |
TW200631095A (en) | 2005-01-27 | 2006-09-01 | Koninkl Philips Electronics Nv | A method of manufacturing a semiconductor device |
US7510982B1 (en) | 2005-01-31 | 2009-03-31 | Novellus Systems, Inc. | Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles |
US7166531B1 (en) | 2005-01-31 | 2007-01-23 | Novellus Systems, Inc. | VLSI fabrication processes for introducing pores into dielectric materials |
US8361814B2 (en) | 2005-02-11 | 2013-01-29 | Applied Materials, Israel, Ltd. | Method for monitoring chamber cleanliness |
US7202564B2 (en) | 2005-02-16 | 2007-04-10 | International Business Machines Corporation | Advanced low dielectric constant organosilicon plasma chemical vapor deposition films |
US7253105B2 (en) | 2005-02-22 | 2007-08-07 | International Business Machines Corporation | Reliable BEOL integration process with direct CMP of porous SiCOH dielectric |
US7560144B2 (en) | 2005-03-22 | 2009-07-14 | Asm Japan K.K. | Method of stabilizing film quality of low-dielectric constant film |
US7300891B2 (en) | 2005-03-29 | 2007-11-27 | Tokyo Electron, Ltd. | Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation |
US20060220251A1 (en) | 2005-03-31 | 2006-10-05 | Grant Kloster | Reducing internal film stress in dielectric film |
US8454750B1 (en) | 2005-04-26 | 2013-06-04 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8889233B1 (en) | 2005-04-26 | 2014-11-18 | Novellus Systems, Inc. | Method for reducing stress in porous dielectric films |
US8980769B1 (en) | 2005-04-26 | 2015-03-17 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US7232730B2 (en) | 2005-04-29 | 2007-06-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a locally strained transistor |
US7247582B2 (en) | 2005-05-23 | 2007-07-24 | Applied Materials, Inc. | Deposition of tensile and compressive stressed materials |
US8138104B2 (en) | 2005-05-26 | 2012-03-20 | Applied Materials, Inc. | Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure |
US8129290B2 (en) | 2005-05-26 | 2012-03-06 | Applied Materials, Inc. | Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure |
US7648927B2 (en) | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20070009673A1 (en) | 2005-07-06 | 2007-01-11 | Asm Japan K.K. | Insulation film and method for manufacturing same |
US7410895B2 (en) | 2005-07-12 | 2008-08-12 | Taiwan Semiconductor Manufacturing Co., Ltd | Methods for forming interconnect structures |
US7394067B1 (en) | 2005-07-20 | 2008-07-01 | Kla-Tencor Technologies Corp. | Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems |
US7902008B2 (en) | 2005-08-03 | 2011-03-08 | Globalfoundries Inc. | Methods for fabricating a stressed MOS device |
DE112006002268T5 (de) | 2005-08-31 | 2008-07-24 | Sumitomo Chemical Co., Ltd. | Transistor, organische Halbleitervorrichtung und Verfahren zur Herstellung des Transistors oder der Vorrichtung |
US7465680B2 (en) | 2005-09-07 | 2008-12-16 | Applied Materials, Inc. | Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2 |
US20070065578A1 (en) | 2005-09-21 | 2007-03-22 | Applied Materials, Inc. | Treatment processes for a batch ALD reactor |
US20070077751A1 (en) | 2005-10-03 | 2007-04-05 | Mei-Ling Chen | Method of restoring low-k material or porous low-k layer |
US20090039475A1 (en) | 2005-10-14 | 2009-02-12 | Yoshimi Shioya | Apparatus and Method for Manufacturing Semiconductor |
US20070105292A1 (en) | 2005-11-07 | 2007-05-10 | Neng-Kuo Chen | Method for fabricating high tensile stress film and strained-silicon transistors |
US7381659B2 (en) | 2005-11-22 | 2008-06-03 | International Business Machines Corporation | Method for reducing film stress for SiCOH low-k dielectric materials |
JP4837370B2 (ja) | 2005-12-05 | 2011-12-14 | 東京エレクトロン株式会社 | 成膜方法 |
US7678662B2 (en) | 2005-12-13 | 2010-03-16 | Applied Materials, Inc. | Memory cell having stressed layers |
WO2007075369A1 (en) | 2005-12-16 | 2007-07-05 | Asm International N.V. | Low temperature doped silicon layer formation |
JP2007194582A (ja) | 2005-12-20 | 2007-08-02 | Tokyo Electron Ltd | 高誘電体薄膜の改質方法及び半導体装置 |
US7482265B2 (en) | 2006-01-10 | 2009-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | UV curing of low-k porous dielectrics |
US7632771B2 (en) | 2006-02-07 | 2009-12-15 | Imec | UV light exposure for functionalization and hydrophobization of pure-silica zeolites |
JP5076119B2 (ja) | 2006-02-22 | 2012-11-21 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
JP4666308B2 (ja) | 2006-02-24 | 2011-04-06 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US7964514B2 (en) | 2006-03-02 | 2011-06-21 | Applied Materials, Inc. | Multiple nitrogen plasma treatments for thin SiON dielectrics |
JP4887848B2 (ja) | 2006-03-15 | 2012-02-29 | セイコーエプソン株式会社 | 回路基板、電気光学装置および電子機器 |
US7838428B2 (en) | 2006-03-23 | 2010-11-23 | International Business Machines Corporation | Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species |
US7816253B2 (en) | 2006-03-23 | 2010-10-19 | International Business Machines Corporation | Surface treatment of inter-layer dielectric |
US7744746B2 (en) | 2006-03-31 | 2010-06-29 | Exxonmobil Research And Engineering Company | FCC catalyst stripper configuration |
WO2007116492A1 (ja) | 2006-03-31 | 2007-10-18 | Fujitsu Microelectronics Limited | 半導体装置の製造方法 |
KR101181836B1 (ko) | 2006-04-28 | 2012-09-11 | 삼성에스디아이 주식회사 | 연료 전지용 세퍼레이터, 이의 제조 방법 및 이를 포함하는연료 전지 시스템 |
US7528078B2 (en) | 2006-05-12 | 2009-05-05 | Freescale Semiconductor, Inc. | Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer |
US7825038B2 (en) * | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7851384B2 (en) | 2006-06-01 | 2010-12-14 | Applied Materials, Inc. | Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film |
US7935587B2 (en) | 2006-06-09 | 2011-05-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Advanced forming method and structure of local mechanical strained transistor |
US20080009141A1 (en) | 2006-07-05 | 2008-01-10 | International Business Machines Corporation | Methods to form SiCOH or SiCNH dielectrics and structures including the same |
US20080026579A1 (en) | 2006-07-25 | 2008-01-31 | Kuo-Chih Lai | Copper damascene process |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
US10037905B2 (en) | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US7851232B2 (en) | 2006-10-30 | 2010-12-14 | Novellus Systems, Inc. | UV treatment for carbon-containing low-k dielectric repair in semiconductor processing |
US20100267231A1 (en) | 2006-10-30 | 2010-10-21 | Van Schravendijk Bart | Apparatus for uv damage repair of low k films prior to copper barrier deposition |
US7550758B2 (en) | 2006-10-31 | 2009-06-23 | Atmel Corporation | Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator |
US7906174B1 (en) | 2006-12-07 | 2011-03-15 | Novellus Systems, Inc. | PECVD methods for producing ultra low-k dielectric films using UV treatment |
US7851360B2 (en) | 2007-02-14 | 2010-12-14 | Intel Corporation | Organometallic precursors for seed/barrier processes and methods thereof |
US7500397B2 (en) | 2007-02-15 | 2009-03-10 | Air Products And Chemicals, Inc. | Activated chemical process for enhancing material properties of dielectric films |
TW200842950A (en) | 2007-02-27 | 2008-11-01 | Sixtron Advanced Materials Inc | Method for forming a film on a substrate |
US7936500B2 (en) | 2007-03-02 | 2011-05-03 | Ravenbrick Llc | Wavelength-specific optical switch |
TWI333676B (en) | 2007-03-22 | 2010-11-21 | United Microelectronics Corp | Method for manufacturing mos transistor utilizing hybrid a hard mask |
US20080242118A1 (en) | 2007-03-29 | 2008-10-02 | International Business Machines Corporation | Methods for forming dense dielectric layer over porous dielectrics |
US20140094038A1 (en) | 2007-03-30 | 2014-04-03 | Novellus Systems, Inc. | Enhancing adhesion of cap layer films |
US8242028B1 (en) | 2007-04-03 | 2012-08-14 | Novellus Systems, Inc. | UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement |
TWI455203B (zh) | 2007-05-03 | 2014-10-01 | Lam Res Corp | 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制 |
US7846804B2 (en) | 2007-06-05 | 2010-12-07 | United Microelectronics Corp. | Method for fabricating high tensile stress film |
US7781352B2 (en) * | 2007-06-06 | 2010-08-24 | Asm Japan K.K. | Method for forming inorganic silazane-based dielectric film |
US7622162B1 (en) | 2007-06-07 | 2009-11-24 | Novellus Systems, Inc. | UV treatment of STI films for increasing tensile stress |
CN101687219A (zh) | 2007-06-15 | 2010-03-31 | Sba材料有限公司 | 低k介电材料 |
KR100881396B1 (ko) | 2007-06-20 | 2009-02-05 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
JP4600438B2 (ja) | 2007-06-21 | 2010-12-15 | 株式会社デンソー | 炭化珪素半導体装置の製造方法 |
WO2009012067A1 (en) | 2007-07-13 | 2009-01-22 | Applied Materials, Inc. | Boron derived materials deposition method |
US8211510B1 (en) | 2007-08-31 | 2012-07-03 | Novellus Systems, Inc. | Cascaded cure approach to fabricate highly tensile silicon nitride films |
JP4529095B2 (ja) | 2008-01-18 | 2010-08-25 | ソニー株式会社 | 撮像装置 |
US20090207624A1 (en) | 2008-02-15 | 2009-08-20 | Acumen, Inc. | Headlight assembly permitting compensation for visibility changes |
US7858533B2 (en) | 2008-03-06 | 2010-12-28 | Tokyo Electron Limited | Method for curing a porous low dielectric constant dielectric film |
US8088683B2 (en) | 2008-03-31 | 2012-01-03 | Cypress Semiconductor Corporation | Sequential deposition and anneal of a dielectic layer in a charge trapping memory device |
US20090269507A1 (en) | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
US9050623B1 (en) | 2008-09-12 | 2015-06-09 | Novellus Systems, Inc. | Progressive UV cure |
WO2010038894A1 (ja) | 2008-10-03 | 2010-04-08 | 国立大学法人東京工業大学 | プラズマを用いた処理方法 |
JP4708465B2 (ja) | 2008-10-21 | 2011-06-22 | 東京エレクトロン株式会社 | 半導体装置の製造方法及び半導体装置の製造装置 |
US8268722B2 (en) | 2009-06-03 | 2012-09-18 | Novellus Systems, Inc. | Interfacial capping layers for interconnects |
US20100317198A1 (en) | 2009-06-12 | 2010-12-16 | Novellus Systems, Inc. | Remote plasma processing of interface surfaces |
US8178443B2 (en) | 2009-12-04 | 2012-05-15 | Novellus Systems, Inc. | Hardmask materials |
US8247332B2 (en) | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
US20130157466A1 (en) | 2010-03-25 | 2013-06-20 | Keith Fox | Silicon nitride films for semiconductor device applications |
JP5123349B2 (ja) | 2010-04-19 | 2013-01-23 | Hoya株式会社 | 多階調マスクの製造方法 |
US9064691B2 (en) * | 2010-08-13 | 2015-06-23 | United Microelectronics Corp. | Semiconductor process |
US8076250B1 (en) * | 2010-10-06 | 2011-12-13 | Applied Materials, Inc. | PECVD oxide-nitride and oxide-silicon stacks for 3D memory application |
WO2012134605A1 (en) | 2011-03-25 | 2012-10-04 | Applied Materials, Inc. | Method and apparatus for thermocouple installation or replacement in a substrate support |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
JP6183965B2 (ja) * | 2014-03-27 | 2017-08-23 | Sppテクノロジーズ株式会社 | シリコン酸化膜及びその製造方法、並びにシリコン酸化膜の製造装置 |
US20160138160A1 (en) | 2014-11-18 | 2016-05-19 | Lam Research Corporation | Reactive ultraviolet thermal processing of low dielectric constant materials |
-
2016
- 2016-09-29 US US15/280,049 patent/US9847221B1/en active Active
-
2017
- 2017-09-13 WO PCT/US2017/051393 patent/WO2018063804A1/en active Application Filing
- 2017-09-13 KR KR1020227027073A patent/KR102570744B1/ko active IP Right Grant
- 2017-09-13 CN CN201780060533.0A patent/CN109791870B/zh active Active
- 2017-09-13 KR KR1020197011945A patent/KR102430939B1/ko active IP Right Grant
- 2017-09-25 TW TW106132721A patent/TW201828339A/zh unknown
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI807230B (zh) * | 2019-11-27 | 2023-07-01 | 美商應用材料股份有限公司 | 用於電漿沉積的初始調制 |
TWI781667B (zh) * | 2020-07-22 | 2022-10-21 | 南韓商圓益Ips股份有限公司 | 薄膜沉積方法及利用該薄膜沉積方法的半導體器件的製造方法 |
US11967503B2 (en) | 2020-07-22 | 2024-04-23 | Wonik Ips Co., Ltd. | Method of depositing thin film and method of manufacturing semiconductor device using the same |
Also Published As
Publication number | Publication date |
---|---|
KR20220114105A (ko) | 2022-08-17 |
KR102430939B1 (ko) | 2022-08-08 |
CN109791870B (zh) | 2023-10-20 |
KR20190049893A (ko) | 2019-05-09 |
KR102570744B1 (ko) | 2023-08-24 |
US9847221B1 (en) | 2017-12-19 |
WO2018063804A1 (en) | 2018-04-05 |
CN109791870A (zh) | 2019-05-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW201828339A (zh) | 於半導體裝置製造中高品質氧化矽之低溫形成 | |
KR102505139B1 (ko) | 평탄한 실리콘막들의 pecvd 성막 | |
KR102709919B1 (ko) | 구리의 존재 하에 유전체 표면들 상의 SiO2의 선택적인 성장 | |
TWI828619B (zh) | 半導體裝置製造中之氧化錫膜 | |
US10176984B2 (en) | Selective deposition of silicon oxide | |
TWI547587B (zh) | 平滑之含矽膜 | |
KR101102422B1 (ko) | 비결정 탄소막의 형성 방법, 비결정 탄소막, 다층 레지스트막, 반도체 장치의 제조 방법 및 컴퓨터 가독 기억 매체 | |
TW201704517A (zh) | 藉由原子層沉積及原子層蝕刻的保形膜之沉積 | |
JP2015111668A (ja) | 先進のパターニングのためのソフトランディング・ナノラミネート | |
JP2018152560A (ja) | 触媒制御を用いる酸化シリコン上への窒化シリコンの選択的堆積 | |
JP2023514497A (ja) | 局所応力調整のためのuv硬化 | |
JP2013515376A (ja) | 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理 | |
US20090104541A1 (en) | Plasma surface treatment to prevent pattern collapse in immersion lithography | |
US20090197086A1 (en) | Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography | |
US20170309514A1 (en) | Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing | |
KR20060118405A (ko) | 가변적 광학적 특성 및 에칭 특성을 갖는 물질을 증착하는방법 및 장치 | |
TW201938832A (zh) | 使用水解之選擇性沉積 | |
US12040180B2 (en) | Nitride films with improved etch selectivity for 3D NAND integration |