JP2018152560A - 触媒制御を用いる酸化シリコン上への窒化シリコンの選択的堆積 - Google Patents
触媒制御を用いる酸化シリコン上への窒化シリコンの選択的堆積 Download PDFInfo
- Publication number
- JP2018152560A JP2018152560A JP2018040273A JP2018040273A JP2018152560A JP 2018152560 A JP2018152560 A JP 2018152560A JP 2018040273 A JP2018040273 A JP 2018040273A JP 2018040273 A JP2018040273 A JP 2018040273A JP 2018152560 A JP2018152560 A JP 2018152560A
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- gas
- hydrazine
- chamber
- trimethylaluminum
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- MJFCOTWLFUYTAG-UHFFFAOYSA-N CCC(C)CN(C)N(C)CC(C1)C1S Chemical compound CCC(C)CN(C)N(C)CC(C1)C1S MJFCOTWLFUYTAG-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02277—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
【課題】基板の露出酸化シリコン面上に、露出シリコン面に比して選択的に窒化シリコンを堆積させるための方法および装置を提供する。
【解決手段】基板にトリメチルアルミニウムを提供して、露出酸化シリコン面上にアルミニウム含有部分を形成することと、露出酸化シリコン面上のアルミニウム含有部分によって触媒された熱原子層堆積によって、アミノシランおよびヒドラジンの交互パルスを用いて、露出シリコン面に比して選択的に窒化シリコンを表面上に堆積させることと、が含まれる。さらなる技術には、遷移金属含有ガスを露出酸化シリコン面に提供して、アミノシランおよびヒドラジンの交互パルスを用いた窒化シリコンの熱原子層堆積の間に触媒として機能する遷移金属含有部分を形成することが含まれる。
【選択図】図1
【解決手段】基板にトリメチルアルミニウムを提供して、露出酸化シリコン面上にアルミニウム含有部分を形成することと、露出酸化シリコン面上のアルミニウム含有部分によって触媒された熱原子層堆積によって、アミノシランおよびヒドラジンの交互パルスを用いて、露出シリコン面に比して選択的に窒化シリコンを表面上に堆積させることと、が含まれる。さらなる技術には、遷移金属含有ガスを露出酸化シリコン面に提供して、アミノシランおよびヒドラジンの交互パルスを用いた窒化シリコンの熱原子層堆積の間に触媒として機能する遷移金属含有部分を形成することが含まれる。
【選択図】図1
Description
半導体デバイスの製造には、窒化シリコン膜の堆積が含まれてよい。窒化シリコン薄膜は、独特の物理的、化学的、および機械的特性を有するため、様々な用途に用いられる。例えば、窒化シリコン膜は、拡散バリア、ゲート絶縁体、側壁スペーサ、封止層、トランジスタの歪み膜などに用いられてよい。窒化シリコン膜を堆積させる従来の方法は、誘電材料に選択的ではない。
本明細書で提供されるのは、半導体基板を処理するための方法および装置である。一態様には、基板の露出酸化シリコン面上に窒化シリコンを選択的に堆積させる方法が含まれる。この方法は、露出酸化シリコン面および露出シリコン面を有する基板を提供することと、基板をトリメチルアルミニウムに曝露して、露出シリコン面に比して露出酸化シリコン面上に選択的にアルミニウム含有部分を形成することと、1サイクル以上の熱原子層堆積を実施することとを含む。各サイクルは、プラズマの点火なしに基板をアミノシラン前駆体に曝露し、基板をヒドラジンに曝露して、露出シリコン面に比して露出酸化シリコン面上に選択的に窒化シリコンを形成することを含む。ヒドラジンは化学構造:
を有し、R3、R4、R5、および、R6は各々、水素またはアルキル基である。
様々な実施形態では、この方法は、熱原子層堆積の20サイクルから40サイクルごとに、基板をトリメチルアルミニウムに周期的に曝露することも含む。
いくつかの実施形態では、1サイクル以上の熱原子層堆積の間、基板は、約25℃から約400℃の間の温度に設定された台座上に存在する。いくつかの実施形態では、温度は約200℃から約300℃の間である。
いくつかの実施形態では、1サイクル以上の熱原子層堆積の間、基板は、約10mTorr(約1.3Pa)から約10Torr(約1.3kPa)の間のチャンバ圧を有するチャンバ内に配置される。様々な実施形態では、チャンバ圧は、約1Torr(約130Pa)から約3Torr(約400Pa)の間である。
いくつかの実施形態では、基板をトリメチルアルミニウムに曝露することは、約100sccmから約10,000sccmの間の流量で、基板を収容するチャンバにトリメチルアルミニウムを流すことを含む。
いくつかの実施形態では、ヒドラジンは、t−ブチルヒドラジンおよびテトラメチルヒドラジンのいずれか1つである。
様々な実施形態では、アミノシランは化学式:
を有し、xは1および3を含むその間の整数であり、x+y=4であり、R1およびR2の各々は水素またはアルキル基である。いくつかの実施形態では、アミノシランは、モノアミノシラン、ジアミノシラン、トリアミノシラン、テトラアミノシラン、N,N’−ジメチルシランジアミン、および、これらの組み合わせのうちのいずれか1つである。
様々な実施形態では、基板はチャンバ内に配置され、各サイクルは、プラズマの点火なしに、アミノシラン前駆体への基板の曝露とヒドラジンへの基板の曝露との間にチャンバをパージすることをさらに含む。
いくつかの実施形態では、基板をトリメチルアルミニウムに曝露する間、基板は、約10mTorr(約1.3Pa)から約10Torr(約1.3kPa)の間のチャンバ圧を有するチャンバ内に配置される。
様々な実施形態では、基板をトリメチルアルミニウムに曝露する間、基板は、約25℃から約400℃の間の温度に設定された台座上に存在する。
様々な実施形態では、基板はチャンバ内に配置され、基板をトリメチルアルミニウムに曝露する間のチャンバ圧は、1サイクル以上の熱原子層堆積の間のチャンバ圧と同じである。
様々な実施形態では、基板は、基板をトリメチルアルミニウムに曝露する間に、1サイクル以上の熱原子層堆積の間と同じ温度を有する台座上に存在する。
様々な実施形態では、露出酸化シリコン面は、水酸末端基を含む。
様々な実施形態では、基板のトリメチルアルミニウムへの曝露は、プラズマの点火なしに実施される。
別の態様は、基板の露出酸化シリコン面上に窒化シリコンを選択的に堆積させる方法を含む。この方法は、露出酸化シリコン面および露出シリコン面を有する基板を提供することと、基板を遷移金属含有反応物に曝露して、露出シリコン面に比して露出酸化シリコン面上に選択的に遷移金属含有部分を形成することと、1サイクル以上の熱原子層堆積を実施することであって、各サイクルは、プラズマの点火なしに、基板をアミノシラン前駆体に曝露し、基板をヒドラジンに曝露して、露出シリコン面に比して露出酸化シリコン面上に選択的に窒化シリコンを形成することと、を含む。ヒドラジンは化学構造:
を有し、R3、R4、R5、および、R6は各々、水素またはアルキル基である。
遷移金属は、チタンおよびニッケルを含むがそれらに限定されない、あらゆる適した遷移金属であってよい。
いくつかの実施形態では、この方法は、熱原子層堆積反応の20サイクルから40サイクルごとに、基板を遷移金属含有反応物に周期的に曝露することも含む。
いくつかの実施形態では、遷移金属含有反応物は、強ルイス酸である。
1サイクル以上の熱原子層堆積の間、基板は、約25℃から約400℃の間の温度に設定された台座上に存在してよい。いくつかの実施形態では、温度は約200℃から約300℃の間である。
いくつかの実施形態では、1サイクル以上の熱原子層堆積の間、基板は、約10mTorr(約1.3Pa)から約10Torr(約1.3kPa)の間のチャンバ圧を有するチャンバ内に配置される。様々な実施形態では、チャンバ圧は、約1Torr(約130Pa)から約3Torr(約400Pa)の間である。
基板を遷移金属含有反応物に曝露することは、約100sccmから約10,000sccmの間の流量で、基板を収容するチャンバに遷移金属含有反応物を流すことを含んでよい。
様々な実施形態では、ヒドラジンは、t−ブチルヒドラジンおよびテトラメチルヒドラジンのいずれか1つである。
様々な実施形態では、アミノシランは化学式:
を有し、xは1および3を含むその間の整数であり、x+y=4であり、R1およびR2の各々は水素またはアルキル基である。いくつかの実施形態では、アミノシランは、モノアミノシラン、ジアミノシラン、トリアミノシラン、テトラアミノシラン、N,N’−ジメチルシランジアミン、および、これらの組み合わせのうちのいずれか1つである。
様々な実施形態では、基板はチャンバ内に配置され、各サイクルは、プラズマの点火なしに、アミノシラン前駆体への基板の曝露とヒドラジンへの基板の曝露との間にチャンバをパージすることをさらに含む。
いくつかの実施形態では、基板をトリメチルアルミニウムに曝露する間、基板は、約10mTorr(約1.3Pa)から約10Torr(約1.3kPa)の間のチャンバ圧を有するチャンバ内に配置される。
様々な実施形態では、基板をトリメチルアルミニウムに曝露する間、基板は、約25℃から約400℃の間の温度に設定された台座上に存在する。
様々な実施形態では、基板はチャンバ内に配置され、基板をトリメチルアルミニウムに曝露する間のチャンバ圧は、1サイクル以上の熱原子層堆積の間のチャンバ圧と同じである。
様々な実施形態では、基板は、基板をトリメチルアルミニウムに曝露する間に、1サイクル以上の熱原子層堆積の間と同じ温度を有する台座上に存在する。
様々な実施形態では、露出酸化シリコン面は、水酸末端基を含む。
様々な実施形態では、基板のトリメチルアルミニウムへの曝露は、プラズマの点火なしに実施される。
別の態様は、半導体基板を処理するための装置を含む。この装置は、基板を保持するための台座を備える少なくとも1つのプロセスチャンバと、真空装置に結合するための少なくとも1つの排気口と、1つ以上のトリメチルアルミニウムガス源に結合した1つ以上のプロセスガス流入口と、1つ以上のアミノシランガス源に結合した1つ以上のプロセスガス流入口と、1つ以上のヒドラジンガス源に結合した1つ以上のプロセスガス流入口と、装置における動作を制御するための制御装置であって、トリメチルアルミニウムを基板に導入して、酸化シリコン面上にアルミニウム含有部分を形成するために酸化シリコン面を改質するための機械可読命令と、アミノシランガスおよびヒドラジンガスの交互パルスを導入して、熱原子層堆積によって基板上のシリコン面に比して酸化シリコン面上に選択的に窒化シリコンを形成するための機械可読命令とを含む制御装置と、を備える。
これらおよび他の態様は、図面を参照して以下にさらに説明される。
以下の説明では、本実施形態の十分な理解を提供するために、多数の特定な詳細が記載される。開示の実施形態は、これらの特定な詳細の一部または全てなしで実行されてよい。他の例では、開示の実施形態を不必要に曖昧にしないように、周知のプロセス動作は詳細に説明されていない。開示の実施形態は特定の実施形態と併せて説明されるが、開示の実施形態を限定する意図はないことが理解されるだろう。
半導体製造プロセスには、窒化シリコン材料の堆積が含まれることが多い。一例では、窒化シリコンは、半導体デバイス製造において、拡散バリア、ゲート絶縁体、側壁スペーサ、および封止層として用いられてよい。他の利用では、共形の窒化シリコン層が用いられてもよい。例えば、窒化シリコンは、メモリ構造の製造の間に用いられてよい。
窒化シリコン層堆積のための、従来の原子層堆積(ALD)、プラズマ強化原子層堆積(PEALD)、化学気相堆積(CVD)、および、プラズマ強化化学気相堆積(PECVD)の技術は、一般に、誘電材料に選択的でない。金属に比して酸化物材料上に選択的に金属酸化物を堆積させるため、および、酸化物に比して金属材料上に選択的に金属酸化物を堆積させるためのいくつかの技術は存在するが、かかる技術は、他の誘電材料に対して選択的に誘電材料を堆積させるには不十分である。
本明細書では、シリコンに比して酸化シリコン上に選択的に窒化シリコンを堆積させる方法が提供される。技術には、アルミニウム含有反応物を基板に導入して、酸化シリコン面上にアルミニウム含有部分を形成することで、アミノシランとヒドラジンとの間の反応が触媒されて、プラズマの点火なしに窒化シリコンが形成されることが含まれる。アルミニウム含有反応物は、酸化シリコンと反応するがシリコンにはほとんどまたは全く反応性を有しないように選択されることで、触媒部分のみが酸化シリコン面上に形成できるようにする。遷移金属含有反応物は、アルミニウム含有反応物の代わりとして用いられて、酸化シリコン面上に遷移金属含有部分を形成してもよい。遷移金属含有反応物は、酸化シリコンと反応するがシリコンにはほとんどまたは全く反応性を有しないように選択されて、酸化シリコン面上への窒化シリコンの選択的な形成を可能にする。いくつかの実施形態は、シリコン含有前駆体、および、酸化シリコンを形成するためにアルミニウム含有部分または遷移金属含有部分を触媒として用いる酸化性反応物を用いることによって、酸化シリコン面上に酸化シリコンを選択的に形成することを含んでもよい。
本明細書に記載の技術には、熱原子層堆積(ALD)が含まれる。すなわち、様々な実施形態では、窒化シリコンを形成するためのシリコン含有前駆体とヒドラジンとの間の反応は、プラズマの点火なしに実施される。ALDは、連続的な自己制限反応を用いて材料の薄膜を堆積させる技術である。通常、ALDサイクルは、少なくとも1つの反応物を基板表面に供給して吸着させ、次に、吸着した反応物を1つ以上の反応物と反応させて、膜の部分層を形成する。例として、窒化シリコン堆積サイクルには、次の(i)アミノシランの供給/吸着、(ii)チャンバからのシリコン前駆体のパージ、(iii)ヒドラジンガスの供給、および、(iv)チャンバからのヒドラジンガスのパージ、の動作が含まれてよい。
化学気相堆積(CVD)技術とは異なり、ALDプロセスは、表面媒介堆積反応を用いて一層ずつ成膜する。ALDプロセスの一例では、界面活性部分の群を含む基板表面が、基板を収容するチャンバに提供されたドーズ量で、シリコン含有前駆体などの第1前駆体の気相分布に曝露される。この第1前駆体の化学吸着種および/または物理吸着分子を含む第1前駆体の分子は、基板表面に吸着される。本明細書で説明されるように複合物が基板表面に吸着されるときは、吸着層は、複合物だけでなくその誘導体も含んでよいことを理解されたい。例えば、シリコン含有前駆体の吸着層は、シリコン含有前駆体だけでなく、シリコン含有前駆体の誘導体も含んでよい。第1前駆体のドーズ後、吸着種が主にまたは唯一残るようにチャンバは次に排気されて、気相に残った第1前駆体のほとんどまたは全てが除去される。いくつかの実施例では、チャンバは完全に排気されなくてもよい。例えば、チャンバは、気相中の第1前駆体の分圧が反応を緩和するのに十分なほど低くなるように排気されてよい。ヒドラジンなどの第2反応物は、これらの分子の一部が表面に吸着した第1前駆体と反応するようにチャンバに導入される。いくつかのプロセスでは、第2反応物は、吸着した第1前駆体と即座に反応する。チャンバは次に、未結合の第2反応物分子を除去するために、再び排気されてよい。上述のように、いくつかの実施形態では、チャンバは完全に排気されなくてもよい。膜厚を形成するのに追加のALDサイクルが用いられてよい。
特定の実施形態では、ALDの第1前駆体のドーズは、基板表面を部分的に飽和させる。いくつかの実施形態では、ALDサイクルのドーズ段階は、前駆体が基板に接触して表面を均等に飽和させる前に完了する。通常、前駆体の流れはこの時点でオフまたは迂回されて、パージガスのみが流れる。ALDプロセスは、この準飽和状態で動作することによって、サイクルタイムを低減し、スループットを向上させる。しかし、前駆体吸着は飽和制限されていないため、吸着した前駆体の濃度は、基板表面においてわずかに異なってよい。準飽和状態で動作するALDプロセスの例は、その全てが参照により本明細書に援用される、「SUB−SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」と題した、2013年10月23日出願の米国特許出願第14/061,587号(現在は米国特許第9,355,839号)に記載されている。
上述のように、いくつかの実施形態では、ALD法はプラズマの活性化を含む。本明細書で述べるように、本明細書に記載のALDの方法および装置は、共形膜堆積(CFD)法であってよく、一般に、それらの全てが参照により本明細書に援用される、「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」と題した、2011年4月11日出願の米国特許出願第13/084,399号(現在の米国特許第8,728,956号)、および、「SILICON NITRIDE FILMS AND METHODS」と題した、2011年4月11日出願の米国特許出願第13/084,305号に記載されている。
図1は、特定の開示の実施形態に従って実施した方法の例示的な動作を表すプロセスフロー図である。動作102では、露出シリコン面および露出酸化シリコン面を有する基板がプロセスチャンバに提供される。シリコン面は、様々な実施形態では、ポリシリコン面または非晶質シリコン面であってよい。
プロセスチャンバは、約10mTorr(約1.3Pa)から約10Torr(約1.3kPa)の間、または、約1Torr(約130Pa)から約3Torr(約400Pa)の間のチャンバ圧に設定されてよい。かかるチャンバ圧は、本明細書に記載の動作102〜118を通して用いられてよい。基板は、約25℃から約400℃の間、または、約200℃から約300℃の間の基板温度に加熱されてよい。本明細書で用いられる基板温度とは、基板を保持する台座が設定される温度を意味し、いくつかの実施形態では、基板がプロセスチャンバに提供されるときに、台座上の基板は、基板の処理前に所望の基板温度に加熱されてよい。基板温度は、本明細書に記載の動作102〜118を通して同じであってよい。
基板は、その上に堆積された誘電材料、導電材料、または半導体材料などの材料の1つ以上の層を有するウエハを含むシリコンウエハ(例えば、200mmウエハ、300mmウエハ、または450mmウエハ)であってよい。下地層の非限定的な例には、誘電層および導電層(例えば、酸化シリコン、窒化シリコン、炭化シリコン、金属酸化物、金属窒化物、金属炭化物、および、金属層)が含まれる。いくつかの実施形態では、基板には、図2Aに示す酸化シリコンおよびシリコンが含まれる。
図2Aは、露出酸化シリコン面203、および、露出シリコン面202を有する基板200を示す。酸化シリコン面は、周囲大気(H2OおよびO2)から、または、H2O中の1%のフッ化水素酸(HF)などの弱いエッチング液から形成されうる水酸基末端を含む。酸化シリコン上の水酸基末端は、酸化シリコン材203を形成するのに用いられた技術によって形成されてもよい。例えば、末端に水酸基を有する表面は、酸化シリコンが化学気相堆積(CVD)または原子層堆積(ALD)などの気相法を用いて堆積されるときに形成されてよい。露出シリコン面202は、いくつかのSi−H結合を有してよい。いくつかの実施形態では、図1の動作104において、図2Bの水素204が露出シリコン面202を水素化するように、基板は必要に応じて水素に曝露されてよい。様々な実施形態では、次に説明される窒化シリコンを選択的に堆積させる機構は、露出シリコン面上における完全に水素化されたシリコン原子の存在に依存しなくてもよいため、この動作は任意である。
図1に戻ると、動作106では、基板はトリメチルアルミニウムに曝露されて、酸化シリコン面上にアルミニウム含有部分が形成される。この動作は、プラズマの点火なしに実施される。様々な実施形態では、トリメチルアルミニウムの代わりに、別のアルミニウム含有ガスが酸化シリコン面上にアルミニウム含有部分を形成するのに用いられてよい。様々な実施形態では、アルミニウム含有部分を形成するのに用いられたアルミニウム含有ガスの代わりに、遷移金属含有反応物または遷移金属含有ガスが酸化シリコン面上に遷移金属含有部分を形成するのに用いられる。遷移金属は、あらゆる適した遷移金属であってよい。いくつかの例には、チタンおよびニッケルが含まれるが、それらに限定されない。例えば、いくつかの実施形態では、チタン含有ガスは、酸化シリコン面上にチタン含有部分を形成するのに用いられてよい。例示的なチタン含有ガスには、塩化チタン(例えば、TiCl4)、および、チタンイソプロポキシド(例えば、Ti(OCH(CH3)2)4)などのチタンアルコキシドが含まれる。様々な実施形態では、動作106の間に強ルイス酸が用いられて、酸化シリコン面上にその部分が形成される。例えば、いくつかの実施形態では、テトラキス(ジメチルアミノ)チタン(Ti(N(CH3)2)4)が用いられて、酸化シリコン面上にその部分が形成されてよい。水素化物は、基板表面に反応しすぎるため、動作106の間は用いられなくてよい。例えば、水素化アルミニウムは、酸化シリコン面上にアルミニウム含有部分を形成するのに適したアルミニウム含有ガスでなくてよい。
いくつかの実施形態では、動作106の間に不活性ガスが流されてよい。いくつかの実施形態では、不活性ガスは、トリメチルアルミニウムを基板に供給する際にキャリアガスとして機能する。いくつかの実施形態では、キャリアガスは、基板を収容するプロセスチャンバにトリメチルアルミニウムを供給する前に迂回されてよい。用いられうる不活性ガスの例には、アルゴン、ヘリウム、および、ネオンが含まれる。いくつかの実施形態では、不活性ガスは、プロセスチャンバの圧力および/もしくは温度の制御、液体反応物の蒸発、反応物のより迅速な供給を助けるために、ならびに/または、プロセスチャンバおよび/もしくはプロセスチャンバの配管からプロセスガスを除去するためのスイープガスとして提供されてよい。
図2Cでは、トリメチルアルミニウムが基板に導入される。図2Dに示されるように、トリメチルアルミニウムは露出酸化シリコン面のSi−OH結合と選択的に反応して、露出酸化シリコン面203がたった今改質された酸化シリコン面203の上にSi−OAlMe2を含むように、アルミニウム含有部分が表面上に形成される。トリメチルアルミニウムはシリコン面のSi−H終端面とそれほど容易に反応しないため、シリコン面202は未反応に留まる。トリメチルアルミニウムは、300℃を超えるトリメチルアルミニウムの熱分解温度以上の温度でのみSi−H終端面と反応してよい。従って、本明細書に記載の実施形態は、約200℃から約300℃の間の温度で実施されてよい。
図1に戻ると、動作108では、基板の表面上に吸着しうるアミノシラン前駆体に基板が曝露される。本明細書の他の箇所に記載されるように、動作108〜114は、ALDサイクルを構成してよいことに注意されたい。
本明細書では、アミノシランは、ビス(tert−ブチル)アミノシラン、および、シリルアミン(例えば、トリシリルアミン)などのアミノシランを含む。いくつかの実施形態では、アミノシラン分子は、酸化シリコン面およびシリコン面の両方に吸着しうるが、動作112に関連して以下に述べるように、窒化シリコンを形成するための触媒として用いられた酸化シリコン面上のアルミニウム含有部分または遷移金属含有部分の存在のため、酸化シリコンは、窒化シリコン面上ではなく酸化シリコン面上に選択的に形成される。いくつかの実施形態では、基板表面上への吸着で、基板表面上にアミノシランの薄膜が形成されてよい。薄膜は、単分子膜より薄く、約0.2Åから約0.4Åの間の厚さを有してよい。
動作106で用いられたアミノシランは、次の化学式:
を有し、xは1および3を含むその間の整数であり、x+y=4であり、R1およびR2の各々は水素またはアルキル基である。様々な実施形態では、R1およびR2は、異なる構造および/または異なる数の炭素原子を有しうる異なるアルキル基である。例えば、いくつかの実施形態では、アミノシランは、化学構造:
を有するモノアミノシランであり、R1およびR2の各々は水素またはアルキル基である。
いくつかの実施形態では、アミノシランは、モノアミノシラン、ジアミノシラン、トリアミノシラン、テトラアミノシラン、および、それらの組み合わせのいずれかであってよい。これらの例の化学構造は、以下に提供される。
いくつかの実施形態では、シリコンに結合した窒素含有配位子は、同じシリコン原子に結合した別の窒素含有配位子と必ずしも同一でなくてもよいことが理解されるだろう。例えば、いくつかの実施形態では、R2およびR2は、異なるアルキル配位子であってよい。いくつかの実施形態では、シリコン原子に付着した第1のNR1R2配位子は、同じシリコン原子に付着した別のNR1R2配位子と、同じでなくてよい、または、同じアルキル配位子を有さなくてよい。上述のように、R1およびR2はアルキル配位子であってよい。一例では、アミノシランは、構造:
を有するN,N’−ジメチルシランジアミンであってよい。
テトラエチルオルトシリケート(TEOS)などのシリコンアルコキシド、シリコンハロゲン化物、およびシラン(SiH4)は、窒化シリコン膜上の表面種に対して十分に反応しない可能性があるため、様々な実施形態では、窒化シリコンを堆積させるためのシリコン前駆体として用いられない。
図2Eは、改質された酸化シリコン面213の表面に吸着したモノアミノシランの例を示す。様々な実施形態では、モノアミノシランは、露出シリコン面202(図示せず)に吸着されてもよい。
動作110では、プロセスチャンバが必要に応じてパージされて、基板表面に吸着しなかったアミノシランが除去される。チャンバのパージは、他の動作で用いたキャリアガスまたは異なるガスであってよい、パージガスまたはスイープガスの流入を含んでよい。いくつかの実施形態では、パージガスは、動作106に関連して上述されたような不活性ガスである。いくつかの実施形態では、パージは、チャンバの排気を含んでよい。パージガスの例には、アルゴン、窒素、水素、および、ヘリウムが含まれる。いくつかの実施形態では、動作108は、処理チャンバを排気するための1つ以上の排気サブ段階を含んでよい。あるいは、動作110は、いくつかの実施形態では省略されてよいことが理解されるだろう。動作110は、約0秒から約60秒の間(例えば、約0.01秒)などの任意の適した期間であってよい。いくつかの実施形態では、1つ以上のパージガスの流量の増加は、動作110の期間を短縮してよい。例えば、パージガスの流量は、動作110の期間を変更するために、様々な反応物の熱力学的性質、ならびに/または、プロセスチャンバおよび/もしくはプロセスチャンバの配管の幾何学的性質に応じて調節されてよい。非限定的な一例では、パージ段階の期間は、パージガスの流量を調節することによって調整されてよい。これにより堆積サイクル時間が短縮されて、基板のスループットが向上されてよい。パージ後、アミノシラン分子は基板表面上に吸着されたままである。いくつかの実施形態では、アミノシラン前駆体は、約1000sccmから約5000sccmの間の流量で、基板を収容するチャンバに流される。いくつかの実施形態では、シリコン面に吸着したアミノシラン前駆体は、この動作の間に除去されてよい。
動作112では、基板はプラズマなしでヒドラジンに曝露されて、露出シリコン面に比して酸化シリコン面上に選択的に窒化シリコンが形成される。いくつかのアミノシラン前駆体が露出シリコン面に吸着したままだとしても、プラズマが点火されておらず、シリコン面は、アミノシランをヒドラジンと反応させるのに用いるアルミニウム含有触媒または遷移金属含有触媒を含んでいないため、ヒドラジンは、それらの表面上のアミノシラン前駆体と反応しないだろう。アルミニウム含有部分は、アミノシラン前駆体とヒドラジンとの間の反応を触媒する触媒剤として機能するため、ヒドラジンは、改質された酸化シリコン面の表面上に吸着したアミノシラン前駆体と反応するだろう。図2Fには、Si−OAlMe2がモノアミノシランとヒドラジンとの間の反応を触媒することで、図2Gに示される酸化シリコン面223上に窒化シリコン205が形成される例が示される。そのため、窒化シリコン205は、アルミニウム含有部分を有する表面上に選択的に形成されることで、シリコン面(202)上に形成されるのではなく、酸化シリコン面(223)上に選択的に形成される。
いくつかの実施形態では、1つ以上のヒドラジンが混合物として導入されてよい。ヒドラジンは、ガスとして基板に供給されてよい。いくつかの実施形態では、キャリアガスおよびヒドラジンガスが基板に共に流れるように、ヒドラジンはキャリアガスを用いて供給されてよい。いくつかの実施形態では、キャリアガスは、動作106に関連して上述されたガスなどの不活性ガスであってよい。いくつかの実施形態では、キャリアガスは、基板を収容するチャンバにヒドラジンガスを供給する前に迂回される。いくつかの実施形態では、ヒドラジンは、基板の上流で液相から気化されて、ガスとしてチャンバに導入されてよい。気化したヒドラジンは、気化したヒドラジン前駆体をキャリアガスと共に流すことによって、キャリアガスを用いて同様に導入されてよい。同様に、キャリアガスは、いくつかの実施形態では、気化したヒドラジン前駆体を基板に供給する前に迂回されてよい。動作112で用いられたヒドラジンは、約100sccmから約10000sccmの間、または、約1000sccmから約5000sccmの間の流量で、基板を収容するチャンバに供給されてよい。上述のように、基板を収容するチャンバのチャンバ圧は、動作102〜118を通して一定であってよい。つまり、様々な実施形態では、動作112の間のチャンバ圧は、動作108、動作110、および動作114の間のチャンバ圧と同じである。様々な実施形態では、動作112の間のチャンバ圧は、動作104の間、または動作106の間、または動作104および動作106の間のチャンバ圧と同じであってよい。
動作114では、チャンバは、全ての残留副生成物を除去するために必要に応じてパージされる。動作112は、動作110に関連して上述された条件のいずれかを用いてパージされてよい。例えば、いくつかの実施形態では、チャンバは、アルゴンなどの不活性ガスを流すことによってパージされて、過剰な分子および/または残留副生成物がチャンバから排出される。
動作116では、所望の膜厚が堆積されたかが決定される。堆積されていない場合は、所望の厚さの窒化シリコンを堆積させるのに十分なサイクルで動作108〜112が繰り返される。ALDプロセスには、適した回数の堆積サイクルが含まれて、所望の窒化シリコン膜厚が堆積されてよい。例えば、約20回から約40回の堆積サイクルが実施されて、開示の実施形態を用いて基板上に窒化シリコン膜が堆積されてよい。いくつかの実施形態では、20回から40回の堆積が実施される。
図1および本明細書に記載の説明は、ヒドラジン曝露の前に起こるアミノシラン前駆体への曝露について述べるが、いくつかの実施形態では、ヒドラジン曝露は、アミノシラン前駆体曝露より前に実施されてよいことが理解されることに注意されたい。つまり、いくつかの実施形態では、動作106の後に、動作112が実施され、動作114などのパージ動作が続き、次に動作108が実施され、パージ動作110が続いてよい。かかる実施形態では、基板上の遷移金属含有部分の存在は、それでも、アミノシランの提供前にヒドラジンが基板に提供された場合は、ヒドラジンとアミノシランとの間の反応を触媒するだろう。いくつかの実施形態では、動作106に続いて動作108が実施され、次に動作110、動作112、および動作114が続く。
動作118では、動作108〜114を繰り返すnサイクルごとに、必要に応じて動作106が繰り返される。動作108〜114の実施は、窒化シリコンの熱ALDの1サイクルを構成するため、動作106は、窒化シリコンの熱ALDのnサイクルごとに実施されてよい。様々な実施形態では、nは、20および40を含むその間の整数である。例えば、いくつかの実施形態では、動作106は、動作108〜114を繰り返す20サイクルから40サイクルごとに実施される。動作106は、窒化シリコンの熱ALDを実施するnサイクルごとに実施されて、アミノシランとヒドラジンとの間の反応を触媒するのに十分な遷移金属を有するために、基板表面上に遷移金属含有部分の量が補充される。一例では、モノアミノシランとt−ブチルヒドラジンとの間の反応を触媒するのに十分なアルミニウムが基板上に確実にあるように、基板は、複数サイクルの窒化シリコンのALDの間にトリメチルアルミニウムに周期的に曝露される。基板上に十分なアルミニウムがない場合は、反応は触媒されず、アミノシランはヒドラジンと反応しなくてよい。
本明細書に記載の実施形態は、シリコン面に比して酸化シリコン面上に選択的に窒化シリコンを堆積させる方法について説明するが、シリコン面に比して酸化シリコン面上に選択的に酸化シリコンを堆積させるために類似の技術が実施されてもよい。例えば、酸化シリコン面を改質するのにトリメチルアルミニウムが用いられる場合、改質されたアルミニウム含有部分がそれらの間の反応を触媒するようにシリコン含有前駆体および酸化剤が選択されて、酸化シリコン面上に選択的に酸化シリコンを堆積させてよい。トリメチルアルミニウムはシリコン面とほとんど反応せず、シリコン面はそれぞれの材料を堆積させるための熱原子層堆積反応を触媒しうる終端基を有さないため、遷移金属部分を触媒として用いる酸化シリコン膜または窒化シリコン膜は、シリコン面上にそれぞれ酸化シリコンまたは窒化シリコンを堆積させることなく酸化シリコン面上に選択的に堆積されうる。
図3は、特定の開示の実施形態に従った方法におけるサイクルの例を示すタイミングシーケンス図を提供する。このタイミングシーケンス図は、キャリアガス、アミノシランガス、ヒドラジンガス、および、トリメチルアルミニウムガス(図3では「TMA」と表示)の流れのオン段階またはオフ段階を示す。図3ではトリメチルアルミニウムが表されるが、トリメチルアルミニウムの代わりに、図1の動作106での使用に適したあらゆるガスが用いられてよいことが理解されるだろう。
図3には、トリメチルアルミニウムの曝露段階301A、ならびに、堆積サイクル310Aおよび堆積サイクル310Bの2つの堆積サイクルを有するプロセス300が含まれる。2つの堆積サイクルのみが示されるが、より多くの堆積サイクルが繰り返されてよいことに注意されたい。また、追加のトリメチルアルミニウムの曝露段階は、20回から40回の堆積サイクルごとなど、n堆積サイクルごとに周期的に用いられてよい。
トリメチルアルミニウムの曝露段階301の間、キャリアガスが流れてよい。キャリアガスは、動作106および動作108に関連して上述されたあらゆるガスであってよい。様々な実施形態では、キャリアガスは、アルゴン、ヘリウム、または、ネオンなどの不活性ガスである。トリメチルアルミニウムの曝露段階301は、図1の動作106に相当してよい。トリメチルアルミニウムの曝露段階301の間は、トリメチルアルミニウム流はオンされるが、アミノシラン流およびヒドラジン流はオフされる。堆積サイクル310Aには、アミノシラン曝露段階357A、パージ段階259A、ヒドラジン曝露段階331A、および、パージ段階333Aが含まれる。堆積サイクル310Aのこれらの段階は、本明細書の他の箇所に記載の熱原子層堆積サイクルを構成してよい。図1の動作108に相当するアミノシラン曝露段階357Aでは、アミノシラン流がオンされ、ヒドラジン流およびトリメチルアルミニウム流がオフされるが、キャリアガスは流れ続けてよい。パージ段階359Aでは、キャリアガス流はオンされ続けてよい。いくつかの実施形態では、キャリアガス流は、本明細書の他の箇所に述べられるように、パージガスまたはスイープガスとして機能するようにチャンバ内に向けられる。パージ段階359Aの間に、アミノシランガス流、ヒドラジンガス流、および、トリメチルアルミニウムガス流はオフされる。パージ段階359Aは、図1の動作110に相当してよい。ヒドラジン曝露段階331Aでは、キャリアガスは流れ続け、いくつかの例では、キャリアガスは、処理される基板を収容するチャンバにヒドラジンを提供するのに用いられてよい。ヒドラジン曝露段階331の間は、ヒドラジンガス流はオンされるが、アミノシランガス流およびトリメチルアルミニウムガス流はオフされる。ヒドラジン曝露段階331Aは、図1の動作112に相当してよい。パージ段階333Aの間は、アミノシランガス流、ヒドラジンガス流、および、トリメチルアルミニウムガス流はオフされるが、キャリアガスは流れ続けてよい。上述のように、キャリアガスは、いくつかの実施形態では、反応副生成物を排出するのに用いられる不活性ガスであってよい。パージ段階333Aは、図1の動作114に相当してよい。
堆積サイクル310Aの段階は、図3に示すように堆積サイクル310Bにおいて繰り返されてよい。この段階の繰り返しは、図1の動作116に相当してよい。堆積サイクル310Bには、動作108の繰り返し動作に相当しうるアミノシラン曝露段階357B、動作110の繰り返し動作に相当しうるパージ段階359B、動作112の繰り返し動作に相当しうるヒドラジン曝露段階331B、および、動作114の繰り返し動作に相当しうるパージ段階333Bが含まれる。アミノシラン曝露段階357Bの間は、キャリアガス流およびアミノシランガス流はオンされるが、ヒドラジンガス流およびトリメチルアルミニウムガス流はオフされる。パージ段階359Bの間は、キャリアガス流はオンされ続けるが、アミノシランガス流、ヒドラジンガス流、およびトリメチルアルミニウムガス流はオフされる。ヒドラジン曝露段階331Bの間は、キャリアガス流およびヒドラジンガス流はオンされるが、アミノシランガス流およびトリメチルアルミニウムガス流はオフされる。パージ段階333Bの間は、キャリアガス流はオンのままだが、アミノシランガス流、ヒドラジンガス流、トリメチルアルミニウムガス流はオフされる。
装置
図4は、低圧環境を維持するためのプロセスチャンバ本体402を有する原子層堆積(ALD)プロセスステーション400の実施形態の概略図を表す。複数のALDプロセスステーション400は、共通の低圧プロセスツール環境に備えられてよい。例えば、図5は、マルチステーション型処理ツール500の実施形態を表す。いくつかの実施形態では、以下に詳細に説明されるものを含むALDプロセスステーション400の1つ以上のハードウェアパラメータは、1つ以上のコンピュータ制御装置450によってプログラムで調整されてよい。
図4は、低圧環境を維持するためのプロセスチャンバ本体402を有する原子層堆積(ALD)プロセスステーション400の実施形態の概略図を表す。複数のALDプロセスステーション400は、共通の低圧プロセスツール環境に備えられてよい。例えば、図5は、マルチステーション型処理ツール500の実施形態を表す。いくつかの実施形態では、以下に詳細に説明されるものを含むALDプロセスステーション400の1つ以上のハードウェアパラメータは、1つ以上のコンピュータ制御装置450によってプログラムで調整されてよい。
ALDプロセスステーション400は、プロセスガスを分配シャワーヘッド406に供給するための反応物供給システム401aと流体連通する。反応物供給システム401aには、シャワーヘッド406への供給用の、トリメチルアルミニウム、もしくは遷移金属含有ガス、もしくはアミノシラン前駆体ガス、もしくはヒドラジンガスなどのプロセスガスを混合および/または調整するための混合容器404が含まれる。1つ以上の混合容器流入弁420は、プロセスガスの混合容器404への導入を制御してよい。
例として、図4の実施形態は、混合容器404に供給される液体反応物を蒸発させるための蒸発点403を含む。いくつかの実施形態では、蒸発点403は、加熱気化器であってよい。かかる気化器から生成された飽和反応物蒸気は、供給パイプの下流に凝縮してよい。凝縮反応物への不適合ガスの曝露は、小粒子を生成しうる。これらの小粒子は、パイプを詰まらせる、弁動作を妨げる、基板を汚すなどの可能性がある。これらの問題に対処するいくつかの手段には、残留反応物を除去するための供給パイプのパージおよび/または排気が含まれる。しかし、供給パイプのパージは、プロセスステーションのサイクルタイムを増やし、プロセスステーションのスループットを低下させる可能性がある。そのため、いくつかの実施形態では、蒸発点403の下流の供給パイプは、ヒートトレースされてよい。いくつかの例では、混合容器404もヒートトレースされてよい。非限定的な一例では、蒸発点403の下流のパイプは、混合容器404において約100℃から約150℃まで上昇する温度プロファイルを有する。
いくつかの実施形態では、液体前駆体または液体反応物は、液体注入器で蒸発される。例えば、液体注入器は、液体反応物のパルスを混合容器の上流のキャリアガス流に注入してよい。一実施形態では、液体注入器は、液体を高圧から低圧に流すことによって、反応物を蒸発させてよい。別の例では、液体注入器は、加熱された供給パイプにおいてその後に蒸発される分散微小滴に液体を霧化してよい。より小さい液滴は、より大きな液滴よりも速く蒸発して、液体注入と完全蒸発との間の遅延を低減させてよい。より速い蒸発により、蒸発点403から下流のパイプの長さは短縮されてよい。1つのシナリオでは、液体注入器は、混合容器404に直接取り付けられてよい。別のシナリオでは、液体注入器は、シャワーヘッド406に直接取り付けられてよい。
いくつかの実施形態では、蒸発点403の上流の液体流制御装置(LFC)は、蒸発させる液体の質量流量、および、プロセスステーション400への供給を制御するために提供されてよい。例えば、LFCは、LFCの下流に位置する液体用熱式質量流量計(MFM)を備えてよい。LFCのプランジャ弁は、次に、MFMと電気的に連通する比例積分微分(PID)制御装置によって提供された帰還制御信号に応答して調節されてよい。しかし、帰還制御を用いて液体流を安定させるには1秒以上かかる可能性がある。これにより、液体反応物をドーズするための時間が延びる可能性がある。そのため、いくつかの実施形態では、LFCは、帰還制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態では、これはLFCの感知チューブおよびPID制御装置を停止させることによって実施されてよい。
シャワーヘッド406は、基板412に向かってプロセスガスを分配する。図4に示す実施形態では、基板412は、シャワーヘッド406の下方に位置し、台座408の上にあることが示される。シャワーヘッド406は、あらゆる適した形状を有してよく、基板412にプロセスガスを分配するためのあらゆる適した数量および配置のポートを有してよい。
いくつかの実施形態では、基板412とシャワーヘッド406との間の空間に基板412を露出させるために、台座408は上昇または下降されてよい。いくつかの実施形態では、台座の高さは、適したコンピュータ制御装置450によってプログラムで調整されてよいことが理解されるだろう。
別のシナリオでは、台座408の高さを調整することで、プラズマが点火される実施形態のプロセスにおけるプラズマ活性化サイクルの間に、プラズマ密度が変化するようにしてよい。プロセス段階の最後に、台座408は別の基板の搬送段階の間に下降されて、基板412が台座408から取り外されるようにしてよい。
いくつかの実施形態では、台座408は、ヒータ410によって温度制御されてよい。いくつかの実施形態では、台座408は、開示の実施形態に記載の窒化シリコン膜の選択的堆積中に、約25℃から約400℃の間、または、約200℃から約300℃の間の温度に加熱されてよい。いくつかの実施形態では、台座は、約25℃から約400℃の間、または、約200℃から約300℃の間の温度に設定される。
さらに、いくつかの実施形態では、プロセスステーション400の圧力制御は、バタフライ弁418によって提供されてよい。図4の実施形態に示すように、バタフライ弁418は、下流の真空ポンプ(図示せず)によって提供された真空をスロットル調整する。しかし、いくつかの実施形態では、プロセスステーション400の圧力制御は、プロセスステーション400に導入される1つ以上のガスの流量を変化させることによって調整されてもよい。
いくつかの実施形態では、シャワーヘッド406の位置は、基板412とシャワーヘッド406との間の容積を変化させるために、台座に対して調節されてよい。さらに、台座408および/またはシャワーヘッド406の垂直位置は、本開示の範囲内であらゆる適した機構によって変更されてよいことが理解されるだろう。いくつかの実施形態では、台座408は、基板412の向きを回転させるための回転軸を含んでよい。いくつかの実施形態では、1つ以上のこれらの例示的な調整が、1つ以上の適したコンピュータ制御装置450によってプログラムで実施されてよいことが理解されるだろう。
プラズマが上述のように用いられうるいくつかの実施形態では、シャワーヘッド406および台座408は、プラズマに動力供給するために、無線周波数(RF)電源414および整合ネットワーク416と電気的に連通する。いくつかの実施形態では、プラズマエネルギは、プロセスステーションの圧力、ガス濃度、RF源電力、RF源周波数、および、プラズマ電力パルスタイミングのうち1つ以上を制御することによって制御されてよい。例えば、RF電源414および整合ネットワーク416は任意の適した電力で操作されて、所望の組成のラジカル種を有するプラズマが形成されてよい。適した電力の例は、約150Wから約6000Wである。RF電源414は、任意の適した周波数のRF電力を提供してよい。いくつかの実施形態では、RF電源414は、高周波RF電源および低周波RF電源を互いに独立して制御するように構成されてよい。低周波RF周波数の例には、0kHzから500kHzの間の周波数が含まれてよいが、それに限定されない。高周波RF周波数の例には、1.8MHzから2.45GHzの間、または約13.56MHzより大きい、または27MHzより大きい、または40MHzより大きい、または60MHzより大きい周波数が含まれてよいが、それに限定されない。全ての適したパラメータは、表面反応のプラズマエネルギを提供するように個々にまたは連続的に調整されてよいことが理解されるだろう。
いくつかの実施形態では、プラズマは、1つ以上のプラズマモニタによってin−situで監視されてよい。1つのシナリオでは、プラズマ電力は、1つ以上の電圧・電流センサ(例えば、VIプローブ)によって監視されてよい。別のシナリオでは、プラズマ密度、および/または、プロセスガス濃度は、1つ以上の発光分光センサ(OES)によって測定されてよい。いくつかの実施形態では、1つ以上のプラズマパラメータは、かかるin−situのプラズマモニタからの測定値に基づいてプログラムで調整されてよい。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループに用いられてよい。いくつかの実施形態では、プラズマおよび他のプロセス特性を監視するのに他のモニタが用いられてよいことが理解されるだろう。かかるモニタには、赤外線(IR)モニタ、音響モニタ、および、圧力変換器が含まれてよいが、それらに限定されない。
いくつかの実施形態では、制御装置450のための命令は、入出力制御(IOC)シーケンシング命令によって提供されてよい。一例では、プロセス段階の条件を設定するための命令は、プロセスレシピの対応するレシピ段階に含まれてよい。いくつかの場合では、プロセス段階のための全ての命令がそのプロセス段階と同時に実行されるように、プロセスレシピ段階は連続して配置されてよい。いくつかの実施形態では、1つ以上のリアクタパラメータを設定するための命令は、レシピ段階に含まれてよい。例えば、第1レシピ段階には、トリメチルアルミニウムなどの遷移金属含有ガスの流量を設定するための命令、キャリアガス(アルゴンなど)の流量を設定するための命令、および、第1レシピ段階のための時間遅延命令が含まれてよい。第2レシピ段階には、不活性ガスおよび/またはアミノシラン前駆体ガスの流量を設定するための命令、キャリアガス(アルゴンなど)の流量を設定するための命令、ならびに、第2レシピ段階のための時間遅延命令が含まれてよい。続く第3レシピ段階には、不活性ガスおよび/もしくは反応ガスの流量を調節するまたは停止させるための命令、キャリアガスまたはパージガスの流量を調節するための命令、ならびに、第3レシピ段階のための時間遅延命令が含まれてよい。第4レシピ段階には、ヒドラジンガスの流量を調節するための命令、キャリアガスまたはパージガスの流量を調節するための命令、および、第4レシピ段階のための時間遅延命令が含まれてよい。続く第5レシピ段階には、不活性ガスおよび/もしくは反応ガスの流量を調節するまたは停止させるための命令、キャリアガスまたはパージがガスの流量を調節するための命令、ならびに、第5レシピ段階のための時間遅延命令が含まれてよい。これらのレシピ段階は、開示の実施形態の範囲内の任意の適した方法でさらに細分され、および/または、繰り返されてよいことが理解されるだろう。いくつかの実施形態では、制御装置450は、図5のシステム制御装置550に関連して以下に述べる特徴のいずれかを備えてよい。
上述のように、マルチステーション型処理ツールには1つ以上のプロセスステーションが含まれてよい。図5は、搬入ロードロック502および搬出ロードロック504を備えたマルチステーション型処理ツール500の実施形態の概略図を示す。搬入ロードロック502および搬出ロードロック504のいずれか、または両方は、遠隔プラズマ源を備えてよい。大気圧において、ロボット506は、容器508を通って搭載されたカセットから大気口510を通ってウエハを搬入ロードロック502に移すように構成される。ウエハは、ロボット506によって搬入ロードロック502の台座512上に設置され、大気口510は閉じられ、ロードロックはポンプダウンされる。搬入ロードロック502が遠隔プラズマ源を備える場合は、ウエハは、H2O中の任意の弱いHFエッチング液に曝露されてよい、または、処理チャンバ514に導入される前にロードロック内で大気に曝露されてよい。さらに、ウエハは、例えば湿気および吸着ガスを除去するために、搬入ロードロック502で加熱されてもよい。次に、処理チャンバ514へのチャンバ搬送口516が開き、別のロボット(図示せず)が処理用リアクタに示される第1ステーションの台座上のリアクタにウエハを設置する。図5に示す実施形態はロードロックを含むが、いくつかの実施形態では、ウエハはプロセスステーションに直接搬入されてよいことが理解されるだろう。
図の処理チャンバ514は、図5に示す実施形態で1から4の番号が付けられた4つのプロセスステーションを備える。各ステーションは、加熱台座(ステーション1の518)およびガスライン導入口を有する。いくつかの実施形態では、各プロセスステーションは、異なるまたは複数の目的を有してよいことが理解されるだろう。例えば、いくつかの実施形態では、プロセスステーションは、ALDプロセスモードとプラズマ強化ALDプロセスモードとの間で切り替え可能であってよい。さらにまたはあるいは、いくつかの実施形態では、処理チャンバ514は、1つ以上の対応するペアのALDプロセスステーションおよびプラズマ強化ALDプロセスステーションを備えてよい。図の処理チャンバ514は4つのステーションを備えるが、本開示による処理チャンバは、任意の適した数のステーションを有してよいことが理解されるだろう。例えば、いくつかの実施形態では、処理チャンバは5つ以上のステーションを有してよく、他の実施形態では、処理チャンバは3つ以下のステーションを有してよい。
図5は、処理チャンバ514内でウエハを移送するためのウエハ搬送システム590の実施形態を表す。いくつかの実施形態では、ウエハ搬送システム590は、様々なプロセスステーションの間、および/または、プロセスステーションとロードロックとの間でウエハを移送してよい。任意の適したウエハ搬送システムが採用されてよいことが理解されるだろう。非限定的な例には、ウエハ回転式コンベヤおよびウエハ搬送ロボットが含まれる。図5は、プロセスツール500のプロセス条件およびハードウェア状態を制御するように採用されたシステム制御装置550の実施形態も表す。システム制御装置550は、1つ以上の記憶装置556、1つ以上の大容量記憶装置554、および、1つ以上のプロセッサ552を備えてよい。プロセッサ552は、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続部、ステッパモータ制御装置基板などを含んでよい。
いくつかの実施形態では、システム制御装置550は、プロセスツール500の全ての動作を制御する。システム制御装置550は、大容量記憶装置554に格納され、記憶装置556にロードされ、プロセッサ552で実行される、システム制御ソフトウェア558を実行する。あるいは、制御ロジックは、制御装置550においてハードコードされてよい。特定用途向け集積回路、プログラマブル・ロジックデバイス(例えば、フィールド・プログラマブル・ゲートアレイ(FPGA))などが、これらの目的で用いられてよい。以下の説明において、「ソフトウェア」または「コード」が用いられる場合は、機能的に同等のハードコードされたロジックがその箇所で用いられてよい。システム制御ソフトウェア558は、タイミング、ガスの混合、ガス流量、チャンバ圧および/またはステーション圧、チャンバ温度および/またはステーション温度、ウエハ温度、ターゲット電力レベル、RF電力レベル、基板台座、チャック位置および/またはサセプタ位置、ならびに、プロセスツール500によって実施される特定のプロセスの他のパラメータ、を制御するための命令を含んでよい。システム制御ソフトウェア558は、任意の適した方法で構成されてよい。例えば、様々なプロセスツール部品のサブルーチンまたは制御対象は、様々なプロセスツールのプロセスを実行するのに用いられるプロセスツール部品の動作を制御するように書き込まれてよい。システム制御ソフトウェア558は、任意の適したコンピュータ可読プログラミング言語でコード化されてよい。
いくつかの実施形態では、システム制御ソフトウェア558は、上述の様々なパラメータを制御するための入出力制御(IOC)シーケンシング命令を含んでよい。いくつかの実施形態では、システム制御装置550に関連付けられた大量記憶装置554および/または記憶装置556に格納された他のコンピュータソフトウェアおよび/またはプログラムが採用されてよい。この目的のためのプログラムまたはプログラム区分の例には、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムが含まれる。
基板位置決めプログラムは、基板を台座518の上に搭載して、基板とプロセスツール500の他の部品との間の空間を制御するのに用いられるプロセスツール部品のためのプログラムコードを含んでよい。
プロセスガス制御プログラムは、ガス組成(例えば、本明細書に記載のトリメチルアルミニウムなどの遷移金属含有ガス、アミノシランガス、ヒドラジンガス、キャリアガス、および/または、パージガス)および流量を制御するためのコード、ならびに、必要に応じて、プロセスステーション内の圧力を安定させるために堆積前に1つ以上のプロセスステーションにガスを流すためのコードを含んでよい。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガス流などを調整することによってプロセスステーション内の圧力を制御するためのコードを含んでよい。
ヒータ制御プログラムは、基板を加熱するのに用いられる加熱装置への電流を制御するためのコードを含んでよい。あるいは、ヒータ制御プログラムは、基板への伝熱ガス(ヘリウムなど)の供給を制御してよい。
プラズマ制御プログラムは、本明細書の実施形態に従って、1つ以上のプロセスステーションの加工電極に印加されるRF電力レベルを設定するためのコードを含んでよい。
圧力制御プログラムは、本明細書の実施形態に従って、反応チャンバ内の圧力を維持するためのコードを含んでよい。
いくつかの実施形態では、システム制御装置550に関連付けられたユーザインタフェースがあってよい。ユーザインタフェースは、表示画面、装置および/またはプロセス条件の画像ソフトウェア表示装置、ならびに、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスを含んでよい。
いくつかの実施形態では、システム制御装置550によって調整されたパラメータは、プロセス条件に関連してよい。非限定的な例には、プロセスガスの組成および流量、温度、圧力、プラズマ条件(例えば、RFバイアス電力レベル)などが含まれる。これらのパラメータは、ユーザインタフェースを用いて入力されうるレシピの形式でユーザに提供されてよい。
プロセスを監視するための信号は、システム制御装置550のアナログおよび/またはデジタルの入力接続部によって様々なプロセスツールセンサから提供されてよい。プロセスを制御するための信号は、プロセスツール500のアナログおよびデジタルの出力接続部に出力されてよい。監視されうるプロセスツールセンサの非限定的な例には、マスフローコントローラ、圧力センサ(例えば、ナノメータ)、熱電対などが含まれてよい。適切にプログラムされたフィードバック制御アルゴリズムは、プロセス条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
システム制御装置550は、上述の堆積プロセスを実施するためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの様々なプロセスパラメータを制御してよい。命令は、本明細書に記載の様々な実施形態によって積層膜のin−situ堆積を動作するようにパラメータを制御してよい。
システム制御装置550は、通常、装置が開示の実施形態に従って方法を実施するように命令を実行するように構成された1つ以上の記憶装置、および、1つ以上のプロセッサを備えるだろう。開示の実施形態に従ってプロセス動作を制御するための命令を含む機械可読媒体は、システム制御装置550に結合されてよい。
いくつかの実施形態では、システム制御装置550は、上述の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ台座、ガス流システムなど)を含む、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、処理後の動作を制御するための電子機器と統合されてよい。電子機器は、システムの様々な部品または副部品を制御しうる「制御装置」を意味してよい。システム制御装置550は、処理条件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)生成器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツール、他の搬送ツール、および/または、特定のシステムに接続またはインタフェースされたロードロックに対して搬入出するウエハ搬送を含む、本明細書に開示のプロセスを制御するようにプログラムされてよい。
概して、システム制御装置550は、命令を受け取り、命令を発行し、動作を制御し、クリーニング動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、記憶装置、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、1つ以上のマイクロプロセッサ、もしくは、プログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形式でシステム制御装置550に伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、プロセスエンジニアによって定義されるレシピの一部であって、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/もしくは、ウエハダイの製作中における1つ以上の処理工程を実現してよい。
いくつかの実施形態では、システム制御装置550は、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、システム制御装置550は、「クラウド」内にあってよい、または、ウエハ処理のリモートアクセスを可能にするファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータは、システムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または実施の基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、システム制御装置550は、1つ以上の動作中に実施される各処理工程のためのパラメータを特定するデータ形式の命令を受け取る。パラメータは、実施されるプロセスの種類、および、システム制御装置550がインタフェースするまたは制御するように構成されるツールの種類に固有であってよいことを理解されたい。そのため、上述のように、システム制御装置550は、例えば、互いにネットワーク接続される1つ以上の個別の制御装置を含むことや、本明細書に記載のプロセスや制御など共通の目的に向かって協働することによって分配されてよい。かかる目的で分配された制御装置の例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)位置し、共同でチャンバにおけるプロセスを制御する1つ以上の集積回路と連通する、チャンバ上の1つ以上の集積回路であろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる任意のその他の半導体処理システムを含んでよい。
上述のように、ツールによって実施されるプロセス工程に応じて、システム制御装置550は、他のツール回路もしくはモジュール、他のツール部品、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別の制御装置、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通してよい。
本明細書に開示の方法を実施するのに適した装置は、各々その全てが本明細書に援用される、2011年4月11日出願の「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」と題した米国特許出願第13/084,399号(現在の米国特許第8,728,956号)、および、2011年4月11日出願の「SILICON NITRIDE FILMS AND METHODS」と題した米国特許出願第13/084,305号にさらに説明されている。
本明細書に記載の装置/プロセスは、例えば、半導体デバイス、表示装置、LED、太陽光発電パネルなどの製作または製造のためのリソグラフィパターニングのツールまたはプロセスと併せて用いられてよい。必ずしも一般的ではないが、かかるツール/プロセスは、共通の製造設備で共に用いられるまたは実行されるだろう。膜のリソグラフィパターニングは、通常、次の(1)スピンオンツールまたはスプレーオンツールを用いてワークピース(すなわち、基板)にフォトレジストを塗布する動作、(2)ホットプレート、加熱炉、または、紫外線硬化ツールを用いてフォトレジストを硬化させる動作、(3)ウエハステッパなどのツールを用いてフォトレジストを可視光、紫外線、または、X線に曝露する動作、(4)レジストを選択的に除去することでウェットベンチなどのツールを用いてパターニングできるようにレジストを現像する動作、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いてレジストパターンを下地膜またはワークピースに転写する動作、および、(6)RFプラズマレジスト剥離剤または電磁波プラズマレジスト剥離剤などのツールを用いてレジストを除去する動作、のいくつかまたは全てを含み、各動作は、多数の実行可能なツールによって可能である。
結論
前述の実施形態は、明確な理解のためにある程度詳細に説明されてきたが、添付の特許請求の範囲で一定の変更および修正が実施されてよいことは明らかだろう。本実施形態のプロセス、システム、および、装置を実行する多くの代替方法があることに注意されたい。従って、本実施形態は、限定的ではなく例示的と見なされ、本明細書に記載の詳細に限定されるものではない。
前述の実施形態は、明確な理解のためにある程度詳細に説明されてきたが、添付の特許請求の範囲で一定の変更および修正が実施されてよいことは明らかだろう。本実施形態のプロセス、システム、および、装置を実行する多くの代替方法があることに注意されたい。従って、本実施形態は、限定的ではなく例示的と見なされ、本明細書に記載の詳細に限定されるものではない。
Claims (38)
- 基板の露出酸化シリコン面上に窒化シリコンを選択的に堆積させる方法であって、
前記露出酸化シリコン面および露出シリコン面を有する前記基板を提供することと、
前記基板をトリメチルアルミニウムに曝露して、前記露出シリコン面に比して前記露出酸化シリコン面上に選択的にアルミニウム含有部分を形成することと、
1サイクル以上の熱原子層堆積を実施することであって、各サイクルは、
プラズマの点火なしに、前記基板をアミノシラン前駆体に曝露し、前記基板をヒドラジンに曝露して、前記露出シリコン面に比して前記露出酸化シリコン面上に選択的に窒化シリコンを形成することと、を含み、
前記ヒドラジンは、化学構造:
R3、R4、R5、および、R6は各々、水素またはアルキル基である、方法。 - 請求項1に記載の方法であって、さらに、
前記熱原子層堆積の20サイクルから40サイクルごとに、前記基板を前記トリメチルアルミニウムに周期的に曝露することを含む、方法。 - 請求項1に記載の方法であって、
前記基板を前記トリメチルアルミニウムに曝露することは、約100sccmから約10,000sccmの間の流量で、前記基板を収容するチャンバに前記トリメチルアルミニウムを流すことを含む、方法。 - 請求項1に記載の方法であって、
前記ヒドラジンは、t−ブチルヒドラジンおよびテトラメチルヒドラジンからなる群より選択される、方法。 - 請求項1に記載の方法であって、
前記基板を前記トリメチルアルミニウムに曝露することは、プラズマの点火なしに実施される、方法。 - 請求項1に記載の方法であって、
前記トリメチルアルミニウムへの前記基板の前記曝露の間、前記基板は、約10mTorr(約1.3Pa)から約10Torr(約1.3kPa)の間のチャンバ圧を有するチャンバに配置される、方法。 - 請求項1に記載の方法であって、
前記トリメチルアルミニウムへの前記基板の前記曝露の間、前記基板は、約25℃から約400℃の間の温度に設定された台座の上に存在する、方法。 - 請求項1に記載の方法であって、
前記露出酸化シリコン面は、水酸末端基を含む、方法。 - 請求項9に記載の方法であって、
前記アミノシランは、モノアミノシラン、ジアミノシラン、トリアミノシラン、テトラアミノシラン、N,N’−ジメチルシランジアミン、および、これらの組み合わせからなる群より選択される、方法。 - 請求項1から8のいずれかに記載の方法であって、
前記基板はチャンバ内に配置され、
各サイクルは、さらに、プラズマの点火なしに、前記アミノシラン前駆体への前記基板の曝露と前記ヒドラジンへの前記基板の曝露との間に、前記チャンバをパージすることを含む、方法。 - 請求項1から8のいずれかに記載の方法であって、
前記基板はチャンバ内に配置され、
前記トリメチルアルミニウムへの前記基板の曝露の間のチャンバ圧は、1サイクル以上の熱原子層堆積の間の前記チャンバ圧と同じである、方法。 - 請求項1から8のいずれかに記載の方法であって、
前記基板は、前記トリメチルアルミニウムへの前記基板の曝露の間、前記1サイクル以上の熱原子層堆積の間と同じ温度を有する台座の上に存在する、方法。 - 請求項1から8のいずれかに記載の方法であって、
前記1サイクル以上の熱原子層堆積の間、前記基板は、約10mTorr(約1.3Pa)から約10Torr(約1.3kPa)の間のチャンバ圧を有するチャンバ内に配置される、方法。 - 請求項14に記載の方法であって、
前記チャンバ圧は、約1Torr(約130Pa)から約3Torr(約400Pa)の間である、方法。 - 請求項1から8のいずれかに記載の方法であって、
前記1サイクル以上の熱原子層堆積の間、前記基板は、約25℃から約400℃の間の温度に設定された台座の上に存在する、方法。 - 請求項16に記載の方法であって、
前記温度は、約200℃から約300℃の間である、方法。 - 基板の露出酸化シリコン面上に窒化シリコンを選択的に堆積させる方法であって、
前記露出酸化シリコン面および露出シリコン面を有する前記基板を提供することと、
前記基板を遷移金属含有反応物に曝露して、前記露出シリコン面に比して前記露出酸化シリコン面上に選択的に遷移金属含有部分を形成することと、
1サイクル以上の熱原子層堆積を実施することであって、各サイクルは、プラズマの点火なしに、前記基板をアミノシラン前駆体に曝露し、前記基板をヒドラジンに曝露して、前記露出シリコン面に比して前記露出酸化シリコン面上に選択的に窒化シリコンを形成することと、を含み、
前記ヒドラジンは化学構造:
R3、R4、R5、および、R6は各々、水素またはアルキル基である、方法。 - 請求項18に記載の方法であって、
前記遷移金属含有反応物は、チタンおよびニッケルからなる群より選択された遷移金属を含む、方法。 - 請求項18に記載の方法であって、
前記遷移金属含有反応物は、強ルイス酸である、方法。 - 請求項18から20のいずれかに記載の方法であって、さらに、
前記熱原子層堆積の20サイクルから40サイクルごとに、前記基板を前記遷移金属含有反応物に周期的に曝露することを含む、方法。 - 請求項18から20のいずれかに記載の方法であって、
前記1サイクル以上の熱原子層堆積の間、前記基板は、約25℃から約400℃の間の温度に設定された台座の上に存在する、方法。 - 請求項18から20のいずれかに記載の方法であって、
前記1サイクル以上の熱原子層堆積の間、前記基板は、約10mTorr(約1.3Pa)から約10Torr(約1.3kPa)の間のチャンバ圧を有するチャンバ内に配置される、方法。 - 請求項18から20のいずれかに記載の方法であって、
前記基板を前記遷移金属含有反応物に曝露することは、約100sccmから約10,000sccmの間の流量で、前記基板を収容するチャンバに前記遷移金属含有反応物を流すことを含む、方法。 - 請求項18から20のいずれかに記載の方法であって、
前記ヒドラジンは、t−ブチルヒドラジンである、方法。 - 請求項18から20のいずれかに記載の方法であって、
前記アミノシラン前駆体は、モノアミノシラン、ジアミノシラン、トリアミノシラン、テトラアミノシラン、および、これらの組み合わせからなる群より選択される、方法。 - 半導体基板を処理するための装置であって、
a.基板を保持するための台座を備える少なくとも1つのプロセスチャンバと、
b.真空装置に結合するための少なくとも1つの排気口と、
c.1つ以上のトリメチルアルミニウムガス源に結合された1つ以上のプロセスガス流入口と、
d.1つ以上のアミノシランガス源に結合された1つ以上のプロセスガス流入口と、
e.1つ以上のヒドラジンガス源に結合された1つ以上のプロセスガス流入口と、
f.前記装置における動作を制御するための制御装置であって、
前記少なくとも1つのプロセスチャンバへのトリメチルアルミニウムの導入を行わせるための機械可読命令と、
前記少なくとも1つのプロセスチャンバへのアミノシランガスおよびヒドラジンガスの交互パルスのサイクルの導入を行わせて、熱原子層堆積によって窒化シリコンを選択的に形成するための機械可読命令と、を含む制御装置と、
を備える、装置。 - 請求項27に記載の装置であって、
前記制御装置は、さらに、約100sccmから約10,000sccmの間の流量で流れるトリメチルアルミニウムの導入を行わせるための機械可読命令を含む、装置。 - 請求項27に記載の装置であって、
前記制御装置は、さらに、前記アミノシランガスおよび前記ヒドラジンガスの前記交互パルスの20サイクルから40サイクルごとに前記トリメチルアルミニウムの導入を行わせるための機械可読命令を含む、装置。 - 請求項27から29のいずれかに記載の装置であって、
前記制御装置は、さらに、前記少なくとも1つのプロセスチャンバのチャンバ圧を約10mTorr(約1.3Pa)から約10Torr(約1.3kPa)の間に設定させるための機械可読命令を含む、装置。 - 請求項27から29のいずれかに記載の装置であって、
前記制御装置は、さらに、トリメチルアルミニウムの前記導入の間のチャンバ圧を、前記アミノシランガスおよび前記ヒドラジンガスの前記交互パルスの前記サイクルの前記導入の間のチャンバ圧と同じに設定させるための機械可読命令を含む、装置。 - 請求項27から29のいずれかに記載の装置であって、
前記台座は加熱され、
前記制御装置は、さらに、トリメチルアルミニウムの前記導入の間の前記台座の温度が、前記アミノシランガスおよび前記ヒドラジンガスの前記交互パルスの前記サイクルの前記導入の間の前記台座の温度と同じになるように設定させるための機械可読命令を含む、装置。 - 半導体基板を処理するための装置であって、
a.基板を保持するための台座を備える少なくとも1つのプロセスチャンバと、
b.真空装置に結合するための少なくとも1つの排気口と、
c.1つ以上の遷移金属含有反応ガス源に結合された1つ以上のプロセスガス流入口と、
d.1つ以上のアミノシランガス源に結合された1つ以上のプロセスガス流入口と、
e.1つ以上のヒドラジンガス源に結合された1つ以上のプロセスガス流入口と、
f.前記装置における動作を制御するための制御装置であって、
前記少なくとも1つのプロセスチャンバへの遷移金属含有反応物の導入を行わせるための機械可読命令と、
前記少なくとも1つのプロセスチャンバへのアミノシランガスおよびヒドラジンガスの交互パルスの導入を行わせて、熱原子層堆積によって窒化シリコンを選択的に形成するための機械可読命令と、を含む制御装置と、
を備える、装置。 - 請求項33に記載の装置であって、
前記制御装置は、さらに、約100sccmから約10,000sccmの間の流量で流れる遷移金属含有反応物の前記導入を行わせるための機械可読命令を含む、装置。 - 請求項33に記載の装置であって、
前記制御装置は、さらに、前記アミノシランガスおよび前記ヒドラジンガスの前記交互パルスの20サイクルから40サイクルごとに前記遷移金属含有反応物の導入を行わせるための機械可読命令を含む、装置。 - 請求項33から35のいずれかに記載の装置であって、
前記制御装置は、さらに、前記少なくとも1つのプロセスチャンバのチャンバ圧を約10mTorr(約1.3Pa)から約10Torr(約1.3kPa)の間に設定させるための機械可読命令を含む、装置。 - 請求項33から35のいずれかに記載の装置であって、
前記制御装置は、さらに、遷移金属含有反応物の前記導入の間のチャンバ圧を、前記アミノシランガスおよび前記ヒドラジンガスの前記交互パルスの前記サイクルの前記導入の間のチャンバ圧と同じに設定させるための機械可読命令を含む、装置。 - 請求項33から35のいずれかに記載の装置であって、
前記台座は加熱され、
前記制御装置は、さらに、遷移金属含有反応物の前記導入の間の前記台座の温度が、前記アミノシランガスおよび前記ヒドラジンガスの前記交互パルスの前記サイクルの前記導入の間の前記台座の温度と同じになるように設定させるための機械可読命令を含む、装置。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/453,815 | 2017-03-08 | ||
US15/453,815 US10242866B2 (en) | 2017-03-08 | 2017-03-08 | Selective deposition of silicon nitride on silicon oxide using catalytic control |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2018152560A true JP2018152560A (ja) | 2018-09-27 |
Family
ID=63444356
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2018040273A Pending JP2018152560A (ja) | 2017-03-08 | 2018-03-07 | 触媒制御を用いる酸化シリコン上への窒化シリコンの選択的堆積 |
Country Status (6)
Country | Link |
---|---|
US (2) | US10242866B2 (ja) |
JP (1) | JP2018152560A (ja) |
KR (2) | KR102491414B1 (ja) |
CN (1) | CN108597983B (ja) |
SG (1) | SG10201801817QA (ja) |
TW (1) | TW201843329A (ja) |
Families Citing this family (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10176984B2 (en) | 2017-02-14 | 2019-01-08 | Lam Research Corporation | Selective deposition of silicon oxide |
US10242866B2 (en) | 2017-03-08 | 2019-03-26 | Lam Research Corporation | Selective deposition of silicon nitride on silicon oxide using catalytic control |
US9911595B1 (en) | 2017-03-17 | 2018-03-06 | Lam Research Corporation | Selective growth of silicon nitride |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10460930B2 (en) | 2017-11-22 | 2019-10-29 | Lam Research Corporation | Selective growth of SiO2 on dielectric surfaces in the presence of copper |
KR20200118504A (ko) | 2018-03-02 | 2020-10-15 | 램 리써치 코포레이션 | 가수분해를 사용한 선택적인 증착 |
US10643846B2 (en) | 2018-06-28 | 2020-05-05 | Lam Research Corporation | Selective growth of metal-containing hardmask thin films |
US11450525B2 (en) * | 2018-09-14 | 2022-09-20 | Applied Materials, Inc. | Selective aluminum oxide film deposition |
US10662526B2 (en) * | 2018-10-02 | 2020-05-26 | Lam Research Corporation | Method for selective deposition using a base-catalyzed inhibitor |
JP7286780B2 (ja) * | 2019-02-14 | 2023-06-05 | インテグリス・インコーポレーテッド | 窒化ケイ素の選択的堆積 |
JP6953480B2 (ja) | 2019-07-31 | 2021-10-27 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
KR20210050953A (ko) | 2019-10-29 | 2021-05-10 | 삼성전자주식회사 | 집적회로 장치 및 그 제조 방법 |
JP7227122B2 (ja) * | 2019-12-27 | 2023-02-21 | 株式会社Kokusai Electric | 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム |
CN112038228B (zh) * | 2020-08-27 | 2022-08-09 | 上海华力集成电路制造有限公司 | 改善TiN薄膜连续性的表面处理方法 |
KR102406174B1 (ko) * | 2020-09-08 | 2022-06-08 | 주식회사 이지티엠 | 선택성 부여제를 이용한 영역 선택적 박막 형성 방법 |
Family Cites Families (76)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4539061A (en) | 1983-09-07 | 1985-09-03 | Yeda Research And Development Co., Ltd. | Process for the production of built-up films by the stepwise adsorption of individual monolayers |
EP0559119B1 (en) | 1992-03-02 | 2000-10-11 | Matsushita Electric Industrial Co., Ltd. | Chemically adsorbed film and method of manufacturing the same |
US6423582B1 (en) | 1999-02-25 | 2002-07-23 | Micron Technology, Inc. | Use of DAR coating to modulate the efficiency of laser fuse blows |
CN1332451C (zh) * | 2001-09-12 | 2007-08-15 | 日本电气株式会社 | 半导体器件及其制造方法 |
US6939801B2 (en) * | 2001-12-21 | 2005-09-06 | Applied Materials, Inc. | Selective deposition of a barrier layer on a dielectric material |
JP4142941B2 (ja) | 2002-12-06 | 2008-09-03 | 株式会社東芝 | 半導体装置の製造方法 |
US7972663B2 (en) * | 2002-12-20 | 2011-07-05 | Applied Materials, Inc. | Method and apparatus for forming a high quality low temperature silicon nitride layer |
US6858527B2 (en) | 2003-04-14 | 2005-02-22 | Intel Corporation | Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers |
US6846752B2 (en) | 2003-06-18 | 2005-01-25 | Intel Corporation | Methods and devices for the suppression of copper hillock formation |
US7053010B2 (en) * | 2004-03-22 | 2006-05-30 | Micron Technology, Inc. | Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells |
KR100889362B1 (ko) * | 2004-10-19 | 2009-03-18 | 삼성전자주식회사 | 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법 |
US7368377B2 (en) | 2004-12-09 | 2008-05-06 | Interuniversitair Microelektronica Centrum (Imec) Vzw | Method for selective deposition of a thin self-assembled monolayer |
KR100593752B1 (ko) * | 2005-01-18 | 2006-06-28 | 삼성전자주식회사 | 불순물들이 제거된 실리콘 질화막을 구비하는 반도체소자의 제조방법 |
US7601652B2 (en) * | 2005-06-21 | 2009-10-13 | Applied Materials, Inc. | Method for treating substrates and films with photoexcitation |
US20110178092A1 (en) | 2005-06-22 | 2011-07-21 | Akbar Ali | HIV-1 Protease Inhibitors |
EP1875523B1 (de) * | 2006-02-23 | 2010-09-29 | Azzurro Semiconductors AG | Nitridhalbleiter-bauelement und verfahren zu seiner herstellung |
US8530361B2 (en) | 2006-05-23 | 2013-09-10 | Air Products And Chemicals, Inc. | Process for producing silicon and oxide films from organoaminosilane precursors |
US7875312B2 (en) | 2006-05-23 | 2011-01-25 | Air Products And Chemicals, Inc. | Process for producing silicon oxide films for organoaminosilane precursors |
EP2029790A1 (en) | 2006-06-02 | 2009-03-04 | L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing |
WO2008008319A2 (en) | 2006-07-10 | 2008-01-17 | President And Fellows Of Harvard College | Selective sealing of porous dielectric materials |
US9245739B2 (en) | 2006-11-01 | 2016-01-26 | Lam Research Corporation | Low-K oxide deposition by hydrolysis and condensation |
US20080119057A1 (en) * | 2006-11-20 | 2008-05-22 | Applied Materials,Inc. | Method of clustering sequential processing for a gate stack structure |
US20080242097A1 (en) * | 2007-03-28 | 2008-10-02 | Tim Boescke | Selective deposition method |
US7858525B2 (en) | 2007-03-30 | 2010-12-28 | Intel Corporation | Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill |
EP2257561B1 (en) | 2008-02-27 | 2017-11-08 | L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
JP2011216862A (ja) | 2010-03-16 | 2011-10-27 | Tokyo Electron Ltd | 成膜方法及び成膜装置 |
US9076646B2 (en) * | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US8357614B2 (en) | 2010-04-19 | 2013-01-22 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Ruthenium-containing precursors for CVD and ALD |
US8945305B2 (en) | 2010-08-31 | 2015-02-03 | Micron Technology, Inc. | Methods of selectively forming a material using parylene coating |
CN105289536B (zh) | 2011-02-14 | 2019-07-09 | 迪奥内克斯公司 | 液相色谱柱 |
US8592005B2 (en) | 2011-04-26 | 2013-11-26 | Asm Japan K.K. | Atomic layer deposition for controlling vertical film growth |
US8664126B2 (en) | 2011-06-10 | 2014-03-04 | Applied Materials, Inc. | Selective deposition of polymer films on bare silicon instead of oxide surface |
TW201319299A (zh) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | 用於低溫電漿輔助沉積的活化矽前驅物 |
US8921228B2 (en) | 2011-10-04 | 2014-12-30 | Imec | Method for selectively depositing noble metals on metal/metal nitride substrates |
TWI502645B (zh) | 2012-03-09 | 2015-10-01 | Air Prod & Chem | 低溫含矽膜 |
US9337018B2 (en) | 2012-06-01 | 2016-05-10 | Air Products And Chemicals, Inc. | Methods for depositing films with organoaminodisilane precursors |
WO2014015241A1 (en) | 2012-07-20 | 2014-01-23 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Organosilane precursors for ald/cvd silicon-containing film applications |
JP6538300B2 (ja) * | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US9041125B2 (en) | 2013-03-11 | 2015-05-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin shape for fin field-effect transistors and method of forming |
US9219007B2 (en) | 2013-06-10 | 2015-12-22 | International Business Machines Corporation | Double self aligned via patterning |
US9796739B2 (en) | 2013-06-26 | 2017-10-24 | Versum Materials Us, Llc | AZA-polysilane precursors and methods for depositing films comprising same |
BR112015029548B1 (pt) | 2013-06-27 | 2021-06-01 | Intel Corporation | Método de fabricação e substrato de circuito integrado |
US10453675B2 (en) | 2013-09-20 | 2019-10-22 | Versum Materials Us, Llc | Organoaminosilane precursors and methods for depositing films comprising same |
US20150111374A1 (en) | 2013-10-18 | 2015-04-23 | International Business Machines Corporation | Surface treatment in a dep-etch-dep process |
JP2015109192A (ja) | 2013-12-04 | 2015-06-11 | 株式会社ジャパンディスプレイ | 有機エレクトロルミネッセンス表示装置 |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
EP3134479A1 (en) | 2014-02-28 | 2017-03-01 | Functionalize, Inc. | Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US9331094B2 (en) | 2014-04-30 | 2016-05-03 | Sandisk Technologies Inc. | Method of selective filling of memory openings |
US9881788B2 (en) * | 2014-05-22 | 2018-01-30 | Lam Research Corporation | Back side deposition apparatus and applications |
TW201610204A (zh) | 2014-07-26 | 2016-03-16 | 應用材料股份有限公司 | 矽碳氮氧化物的低溫分子層沉積 |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9627608B2 (en) | 2014-09-11 | 2017-04-18 | Lam Research Corporation | Dielectric repair for emerging memory devices |
CN106716644B (zh) | 2014-09-26 | 2022-03-01 | 英特尔公司 | 用于半导体器件的选择性栅极间隔体 |
US9875888B2 (en) * | 2014-10-03 | 2018-01-23 | Applied Materials, Inc. | High temperature silicon oxide atomic layer deposition technology |
KR102079501B1 (ko) | 2014-10-24 | 2020-02-20 | 버슘머트리얼즈 유에스, 엘엘씨 | 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법 |
JP6317232B2 (ja) | 2014-10-29 | 2018-04-25 | 東京エレクトロン株式会社 | 選択成長方法および基板処理装置 |
US9816180B2 (en) * | 2015-02-03 | 2017-11-14 | Asm Ip Holding B.V. | Selective deposition |
KR20170125876A (ko) | 2015-02-26 | 2017-11-15 | 어플라이드 머티어리얼스, 인코포레이티드 | 자기-조립 단분자층들을 사용하는 선택적인 유전체 증착을 위한 방법들 |
US10566187B2 (en) * | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US11001599B2 (en) | 2015-03-23 | 2021-05-11 | Gelest Technologies, Inc. | N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom |
US9502238B2 (en) * | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
TWI717260B (zh) * | 2015-05-01 | 2021-01-21 | 美商應用材料股份有限公司 | 使用表面封端化學性質的薄膜介電質之選擇性沉積 |
KR102393833B1 (ko) | 2015-06-16 | 2022-05-02 | 버슘머트리얼즈 유에스, 엘엘씨 | 할라이도실란 화합물 및 조성물 및 이를 사용하여 규소-함유 막을 증착시키기 위한 공정 |
CN114121605A (zh) | 2015-06-26 | 2022-03-01 | 应用材料公司 | 氧化硅膜的选择性沉积 |
US20170029948A1 (en) | 2015-07-28 | 2017-02-02 | Asm Ip Holding B.V. | Methods and apparatuses for temperature-indexed thin film deposition |
US11421321B2 (en) | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US9859128B2 (en) | 2015-11-20 | 2018-01-02 | Applied Materials, Inc. | Self-aligned shielding of silicon oxide |
US10176984B2 (en) | 2017-02-14 | 2019-01-08 | Lam Research Corporation | Selective deposition of silicon oxide |
US10242866B2 (en) | 2017-03-08 | 2019-03-26 | Lam Research Corporation | Selective deposition of silicon nitride on silicon oxide using catalytic control |
US10043656B1 (en) | 2017-03-10 | 2018-08-07 | Lam Research Corporation | Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide |
US9911595B1 (en) | 2017-03-17 | 2018-03-06 | Lam Research Corporation | Selective growth of silicon nitride |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10460930B2 (en) | 2017-11-22 | 2019-10-29 | Lam Research Corporation | Selective growth of SiO2 on dielectric surfaces in the presence of copper |
-
2017
- 2017-03-08 US US15/453,815 patent/US10242866B2/en active Active
-
2018
- 2018-03-06 SG SG10201801817QA patent/SG10201801817QA/en unknown
- 2018-03-07 JP JP2018040273A patent/JP2018152560A/ja active Pending
- 2018-03-07 TW TW107107603A patent/TW201843329A/zh unknown
- 2018-03-08 KR KR1020180027176A patent/KR102491414B1/ko active IP Right Grant
- 2018-03-08 CN CN201810189335.0A patent/CN108597983B/zh active Active
-
2019
- 2019-01-14 US US16/247,296 patent/US10777407B2/en active Active
-
2023
- 2023-01-18 KR KR1020230007211A patent/KR102542125B1/ko active IP Right Grant
Also Published As
Publication number | Publication date |
---|---|
US20190148128A1 (en) | 2019-05-16 |
TW201843329A (zh) | 2018-12-16 |
KR102542125B1 (ko) | 2023-06-13 |
CN108597983A (zh) | 2018-09-28 |
US10242866B2 (en) | 2019-03-26 |
US10777407B2 (en) | 2020-09-15 |
KR20180103018A (ko) | 2018-09-18 |
KR102491414B1 (ko) | 2023-01-20 |
KR20230014821A (ko) | 2023-01-30 |
US20180261447A1 (en) | 2018-09-13 |
CN108597983B (zh) | 2024-01-30 |
SG10201801817QA (en) | 2018-10-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10903071B2 (en) | Selective deposition of silicon oxide | |
KR102542125B1 (ko) | 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착 | |
KR102572854B1 (ko) | 실리콘 나이트라이드의 선택적 성장 | |
KR102491771B1 (ko) | 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장 | |
TW201632650A (zh) | 無氨無氯保形氮化矽膜的沉積方法 | |
TWI798371B (zh) | 使用水解之選擇性沉積 |