TW201843329A - 使用催化控制將矽氮化物選擇性沉積於矽氧化物上 - Google Patents

使用催化控制將矽氮化物選擇性沉積於矽氧化物上 Download PDF

Info

Publication number
TW201843329A
TW201843329A TW107107603A TW107107603A TW201843329A TW 201843329 A TW201843329 A TW 201843329A TW 107107603 A TW107107603 A TW 107107603A TW 107107603 A TW107107603 A TW 107107603A TW 201843329 A TW201843329 A TW 201843329A
Authority
TW
Taiwan
Prior art keywords
substrate
tantalum oxide
exposed
gas
chamber
Prior art date
Application number
TW107107603A
Other languages
English (en)
Inventor
大衛 查爾斯 史密斯
丹尼斯 M 豪斯曼恩
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201843329A publication Critical patent/TW201843329A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文提供用於在基板之曝露的矽氧化物表面上相對於曝露的矽表面選擇性地沉積矽氮化物的方法及設備。技術涉及將三甲基鋁提供至基板,以在曝露的矽氧化物表面上形成含鋁的部分、及使用交替之胺基矽烷及聯胺的脈衝在相對於曝露的矽表面之曝露的矽氧化物表面上藉由受含鋁部分催化的熱力式原子層沉積在表面上選擇性地沉積矽氮化物。額外的技術涉及將含過渡金屬的氣體提供至曝露的矽氧化物表面,以在使用交替之胺基矽烷和聯胺之脈衝之矽氮化物的熱力式原子層沉積期間形成作為催化劑之含過渡金屬的部分。

Description

使用催化控制將矽氮化物選擇性沉積於矽氧化物上
本文關於在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法及設備。
半導體元件製造可涉及矽氮化物膜的沉積。矽氮化物薄膜具有獨特的物理、化學、及機械特性,且因此在各種應用中使用。舉例而言,矽氮化物膜可用於擴散阻障、閘極絕緣體、側壁間隔件、封裝層、電晶體中的應變膜等。沉積矽氮化物膜的習知方法對介電材料不具選擇性。
本文提供用於處理半導體基板的方法及設備。一實施態樣涉及一種在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,該方法包含:提供具有曝露的矽氧化物表面及曝露的矽表面之基板;將基板曝露於三甲基鋁,以在曝露的矽氧化物表面上相對於曝露的矽表面選擇性地形成含鋁的部分;及執行熱力式原子層沉積的一或更多循環,各循環包含在不點燃電漿的情況下將基板曝露於胺基矽烷前驅物及將基板曝露於聯胺,以在曝露的矽氧化物表面上相對於曝露的矽表面選擇性地形成矽氮化物,其中聯胺具有下列化學結構:且其中R3 、R4 、R5 、及R6 各自為氫或烷基。
在諸多實施例中,該方法亦包含每20至40個熱力式原子層沉積循環,週期性地將基板曝露於三甲基鋁。
在一些實施例中,在熱力式原子層沉積的一或更多循環期間,基板位在設至約25℃與約400℃間之溫度的底座上。在一些實施例中,該溫度係在約200℃與約300℃之間。
在一些實施例中,在熱力式原子層沉積的一或更多循環期間,基板係設置在具有約10毫托與約10托間之腔室壓力的腔室中。在諸多實施例中,該腔室壓力係在約1托與約3托之間。
在諸多實施例中,將基板曝露於三甲基鋁的步驟包含以約100 sccm與約10,000 sccm之間的流率將三甲基鋁流至容納基板的腔室。
在一些實施例中,聯胺係三級丁基聯胺及四甲基聯胺之其中任一者。
在諸多實施例中,胺基矽烷前驅物具有下列化學式其中x係在1與3之間且包含1和3的整數,x + y = 4,且R1 及R2 之每一者係氫或烷基。在一些實施例中,胺基矽烷前驅物係單胺基矽烷、二胺基矽烷、三胺基矽烷、四胺基矽烷、N ,N ’-二甲基矽烷二胺、及其組合之其中任一者。
在諸多實施例中,基板係設置在腔室中,且各循環更包含在不點燃電漿的情況下,在將基板曝露於胺基矽烷前驅物的步驟與將基板曝露於聯胺的步驟之間沖洗腔室。
在一些實施例中,在將基板曝露於三甲基鋁期間,該基板係設置在具有約10毫托與約10托間之腔室壓力的腔室中。
在諸多實施例中,在將基板曝露於三甲基鋁期間,該基板位在設至約25℃與約400℃間之溫度的底座上。
在諸多實施例中,基板係設置在腔室中,且將基板曝露於三甲基鋁期間的腔室壓力係與熱力式原子層沉積之一或更多循環期間的腔室壓力相同。
在諸多實施例中,基板位在底座上,該底座在將基板曝露於三甲基鋁期間具有與熱力式原子層沉積的一或更多循環期間相同的溫度。
在諸多實施例中,曝露的矽氧化物表面包含羥基端基團。
在諸多實施例中,將基板曝露於三甲基鋁的步驟係在不點燃電漿的情況下執行。
另一實施態樣涉及一種在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,該方法包含:提供具有曝露的矽氧化物表面及曝露的矽表面之基板;將基板曝露於含過渡金屬的反應物,以在曝露的矽氧化物表面上相對於曝露的矽表面選擇性地形成含過渡金屬的部分;及執行熱力式原子層沉積的一或更多循環,各循環包含在不點燃電漿的情況下將基板曝露於胺基矽烷前驅物及將基板曝露於聯胺,以在曝露的矽氧化物表面上相對於曝露的矽表面選擇性地形成矽氮化物,其中聯胺具有下列化學結構:且其中R3 、R4 、R5 、及R6 各自為氫或烷基。
該過渡金屬可為任何適合的過渡金屬,包含但不限於鈦及鎳。
在一些實施例中,該方法亦包含每20至40個熱力式原子層沉積反應循環,週期性地將基板曝露於含過渡金屬的反應物。
在一些實施例中,含過渡金屬的反應物係強路易士酸。
在熱力式原子層沉積的一或更多循環期間,基板可位在設至約25℃與約400℃間之溫度的底座上。在一些實施例中,該溫度係在約200℃與約300℃之間。
在一些實施例中,在熱力式原子層沉積的一或更多循環期間,基板係設置在具有約10毫托與約10托間之腔室壓力的腔室中。在諸多實施例中,該腔室壓力係在約1托與約3托之間。
將基板曝露於含過渡金屬的反應物之步驟可包含以約100 sccm與約10,000 sccm之間的流率將含過渡金屬的反應物流至容納基板的腔室。
在諸多實施例中,聯胺係三級丁基聯胺及四甲基聯胺之其中任一者。
在諸多實施例中,胺基矽烷前驅物具有下列化學式其中x係在1與3之間且包含1和3的整數,x + y = 4,且R1 及R2 之每一者係氫或烷基。在一些實施例中,胺基矽烷前驅物係單胺基矽烷、二胺基矽烷、三胺基矽烷、四胺基矽烷、N ,N ’-二甲基矽烷二胺、及其組合之其中任一者。
在諸多實施例中,基板係設置在腔室中,且各循環更包含在不點燃電漿的情況下,在將基板曝露於胺基矽烷前驅物的步驟與將基板曝露於聯胺的步驟之間沖洗腔室。
在一些實施例中,在將基板曝露於三甲基鋁期間,該基板係設置在具有約10毫托與約10托間之腔室壓力的腔室中。
在諸多實施例中,在將基板曝露於三甲基鋁期間,該基板位在設至約25℃與約400℃間之溫度的底座上。
在諸多實施例中,基板係設置在腔室中,且將基板曝露於三甲基鋁期間的腔室壓力係與熱力式原子層沉積之一或更多循環期間的腔室壓力相同。
在諸多實施例中,基板位在底座上,該底座在將基板曝露於三甲基鋁期間具有與熱力式原子層沉積的一或更多循環期間相同的溫度。
在諸多實施例中,曝露的矽氧化物表面包含羥基端基團。
在諸多實施例中,將基板曝露於三甲基鋁的步驟係在不點燃電漿的情況下執行。
另一實施態樣涉及一種用於處理半導體基板的設備,該設備包括:包含用於固持基板之底座的至少一處理腔室;用於耦接至真空的至少一出口;耦接至一或更多三甲基鋁氣體源的一或更多處理氣體入口;耦接至一或更多胺基矽烷氣體源的一或更多處理氣體入口;耦接至一或更多聯胺氣體源的一或更多處理氣體入口;及用於控制設備中之操作的控制器,其包含機器可讀指令,用於:將三甲基鋁引入至基板以改質矽氧化物表面,以在矽氧化物表面上形成含鋁的部分;及引入交替之胺基矽烷氣體和聯胺氣體的脈衝,以在基板上藉由熱力式原子層沉積在矽氧化物表面上相對於矽表面選擇性地形成矽氮化物。
這些及其他實施態樣係參照圖式進一步描述於下。
為了透徹理解本發明的實施例,在以下的敘述中說明眾多具體細節。所揭示的實施例可以不具有某些或全部這些具體細節而實施。另一方面,未詳細說明眾所周知的製程操作,以免不必要地模糊所揭示的實施例。雖然所揭示的實施例將結合具體的實施例描述,但吾人將理解其係非意圖限制所揭示的實施例。
半導體製造過程通常涉及矽氮化物材料的沉積。在一示例中,矽氮化物可在半導體元件製造中用作擴散阻障、閘極絕緣體、側壁間隔件、及封裝層。保形的矽氮化物層亦可在其他應用中使用。舉例而言,矽氮化物可在記憶體結構的製造期間使用。
用於沉積矽氮化物層之傳統的原子層沉積(ALD)、電漿加強原子層沉積(PEALD)、化學氣相沉積(CVD)、及電漿加強化學氣相沉積(PECVD)技術通常對介電材料不具選擇性。雖然存在一些技術,用於在氧化物材料上相對於金屬選擇性地沉積金屬氧化物、及在金屬材料上相對於氧化物選擇性地沉積金屬氧化物,但此等技術不足以相對於其他介電材料選擇性地沉積介電材料。
本文提供在矽氧化物上相對於矽選擇性地沉積矽氮化物的方法。技術涉及將含鋁反應物引入至基板以在矽氧化物表面上形成含鋁的部分(moiety),其可從而在不點燃電漿的情況下催化胺基矽烷與聯胺之間的反應以形成矽氮化物。選擇含鋁反應物使得其與矽氧化物反應但對矽幾乎沒有反應性,從而僅允許催化的部分形成在矽氧化物表面上。含過渡金屬的反應物亦可用作含鋁反應物的替代物,以在矽氧化物表面上形成含過渡金屬的部分。亦選擇含過渡金屬的反應物,使得其與矽氧化物反應但與矽幾乎沒有反應性,以允許在矽氧化物表面上選擇性地形成矽氮化物。一些實施例亦可包含藉由使用含矽前驅物及氧化性的反應物選擇性地在矽氧化物表面上形成矽氧化物,該氧化性的反應物使用含鋁的部分或含過渡金屬的部分作為形成矽氧化物用的催化劑。
本文描述的技術涉及熱力式原子層沉積ALD。也就是說,在諸多實施例中,含矽前驅物與聯胺間形成矽氮化物的反應係在不點燃電漿的情況下執行。ALD係使用序列式自限制反應沉積薄層材料的技術。通常,ALD循環包含操作用以將至少一反應物遞送及吸附至基板表面,且接著將所吸附的反應物與一或更多反應物反應以形成部分的膜層。作為示例,矽氮化物沉積循環可包含下列操作:(i)胺基矽烷的遞送/吸附,(ii)自腔室沖洗矽前驅物,(iii)聯胺氣體的遞送,及(iv)自腔室沖洗聯胺氣體。
不像化學氣相沉積(CVD)技術,ALD製程使用表面介導沉積反應以逐層地沉積膜。在ALD製程的一示例中,包含一群表面活性部位的基板表面係曝露於在提供至容納基板之腔室的劑量下之第一前驅物(諸如含矽前驅物)的氣相分佈。此第一前驅物的分子被吸附至基板表面之上,包含第一前驅物的化學吸附物種及/或物理吸附分子。應理解當化合物係如此處所述吸附至基板表面之上時,所吸附的層可包含該化合物及該化合物的衍生物。舉例而言,含矽前驅物的吸附層可包括含矽前驅物及含矽前驅物的衍生物。在一個第一前驅物劑量之後,接著抽空腔室以移除殘留在氣相之大部分或所有第一前驅物,使得主要是或僅有吸附的物種保留。在一些實施方式中,腔室可能未完全抽空。舉例而言,可將腔室抽空使得氣相之第一前驅物的分壓係足夠低以緩和反應。第二反應物(諸如聯胺)係引入至腔室,使得這些分子的其中一些與吸附在表面上的第一前驅物反應。在一些製程中,第二反應物立即與所吸附的第一前驅物反應。可接著再次抽空腔室以移除未受束縛的第二反應物分子。如上所述,在一些實施例中,可不完全抽空腔室。額外的ALD循環可用以建立膜厚度。
在某些實施例中,ALD第一前驅物劑量使基板表面部分地飽和。在一些實施例中,ALD循環的劑量階段在前驅物接觸基板之前結束以使表面均勻地飽和。通常,前驅物流在此時點係關閉或轉向,且僅流動沖洗氣體。藉由在此亞飽和狀態下操作,ALD製程減少循環時間及增加生產率。然而,因為前驅物吸附係非飽和限制的,所以所吸附的前驅物之濃度在整個基板表面可能稍微變化。在亞飽和狀態下之ALD製程操作的示例係在2013年10月23日申請、題為“SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”的美國專利申請案第14/061,587號(現為美國專利第9,355,839號)中提供,其全部內容於此藉由參照納入本案揭示內容。
如所述,在一些實施方式中,ALD方法包含電漿活化。如本文所述,此處描述的ALD方法及設備可為保形膜沉積(CFD)方法,其係在下列文件中一般性地描述:於2011年4月11日申請、題為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美國專利申請案第13/084,399號(現為美國專利第8,728,956號);及於2011年4月11日申請、題為“SILICON NITRIDE FILMS AND METHODS”的美國專利申請案第13/084,305號,其全部內容於此藉由參照納入本案揭示內容。
圖1係製程流程圖,其根據某些所揭示實施例描述執行之方法的示例操作。在操作102中,將具有曝露的矽表面及曝露的矽氧化物表面之基板提供至處理腔室。在諸多實施例中,矽表面可為多晶矽或非晶矽的表面。
可將處理腔室設成具有約10毫托與約10托之間、或約1托與約3托之間的腔室壓力。如此腔室壓力可在如此處描述的操作102-118之整個期間使用。可將基板加熱至約25℃與約400℃之間、或約200℃與約300℃之間的基板溫度。吾人將理解如本文使用的基板溫度意指固持基板之底座所設定的溫度,且在一些實施例中,當將基板提供至處理腔室並在底座上時,在處理基板之前,可將基板加熱至期望的基板溫度。基板溫度在如本文描述的操作102-118之整個期間可為相同的。
基板可為矽晶圓,例如200-mm晶圓、300-mm晶圓、或450-mm晶圓,包含具有例如介電、導電或半導電材料之一或更多層材料沉積於其上的晶圓。下方層之非限制性的示例包含介電層及導電層,例如:矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。在一些實施例中,基板包含如圖2A顯示的矽氧化物及矽。
圖2A顯示具有曝露的矽氧化物表面203及曝露的矽表面202之基板200。該矽氧化物表面包含羥基端基團,該羥基端基團可自周圍空氣(H2 O及O2 )、或自溫和的蝕刻劑(諸如在H2 O中的1%氫氟酸(HF))形成。矽氧化物上的羥基端基團亦可由於所使用的技術形成而形成矽氧化物203材料。舉例而言,當矽氧化物係使用諸如化學氣相沉積(CVD)或原子層沉積(ALD)的氣相方法沉積時,可形成羥基終端的表面。曝露的矽表面202可具有一些Si-H鍵。在一些實施例中,在圖1的操作104中,基板可選用性地曝露於氫,使得圖2B中的氫204氫化曝露的矽表面202。在諸多實施例中,因為隨後討論之用於選擇性沉積矽氮化物的機制可能不依賴曝露的矽表面上之完全氫化的矽原子之存在,所以此操作係選用性的。
返回至圖1,在操作106中,基板係曝露於三甲基鋁以在矽氧化物表面上形成含鋁的部分。此操作係在不點燃電漿的情況下執行。在諸多實施例中,可使用另一含鋁氣體取代三甲基鋁以在矽氧化物表面上形成含鋁的部分。在諸多實施例中,取代用以形成含鋁部分的含鋁氣體,含過渡金屬的反應物或氣體係用以在矽氧化物表面的表面上形成含過渡金屬的部分。過渡金屬可為任何合適的過渡金屬。一些示例包含但不限於鈦及鎳。舉例而言,在一些實施例中,含鈦氣體可用以在矽氧化物表面上形成含鈦的部分。含鈦氣體的示例包含氯化鈦(例如TiCl4 )及鈦烷氧化物(諸如異丙醇鈦(例如Ti(OCH(CH3 )2 )4 ))。在諸多實施例中,強路易士酸係在操作106期間使用以在矽氧化物表面上形成部分(moiety)。舉例而言,在一些實施例中,四(二甲胺基)鈦(Ti(N(CH3 )2 )4 )可用以在矽氧化物表面上形成部分(moiety)。在操作106期間可不使用氫化物,因為其可能與基板表面過度反應。舉例而言,鋁氫化物對於在矽氧化物表面上形成含鋁部分而言可能不是合適的含鋁氣體。
在一些實施例中,惰性氣體可在操作106期間流動。在一些實施例中,惰性氣體在將三甲基鋁遞送至基板時作為載體氣體。在一些實施例中,在遞送三甲基鋁至容納基板的處理腔室之前,可將載體氣體轉向。可使用的示例惰性氣體包含氬、氦、及氖。在一些實施例中,可提供惰性氣體以幫助處理腔室的壓力及/或溫度控制、液體反應物的蒸發、反應物之較快速的遞送、及/或作為用於自處理腔室及/或處理腔室管線移除處理氣體的吹掃氣體。
在圖2C中,三甲基鋁係引入至基板。如圖2D所示,三甲基鋁選擇性地與曝露的矽氧化物表面203的Si-OH鍵反應以在表面上形成含鋁的部分,使得曝露的矽氧化物表面203現在包含改質之矽氧化物表面213上的Si-OAlMe2 。因為三甲基鋁不輕易地與矽表面之Si-H終端表面反應,所以矽表面202保持未反應。三甲基鋁僅可在三甲基鋁的熱分解溫度以上與Si-H終端的表面反應,該熱分解溫度係高於300℃。因此,本文描述的實施例可在約200℃與約300℃之間的溫度下執行。
返回至圖1,在操作108中,基板係曝露於胺基矽烷前驅物,該胺基矽烷前驅物可吸附至基板的表面之上。注意,操作108-114可構成ALD循環,如本文其他地方所述。
本文所指之胺基矽烷包含諸如雙(三級丁基)胺基矽烷的胺基矽烷、及諸如三甲矽胺的矽烷胺。在一些實施例中,胺基矽烷分子可吸附至矽氧化物表面及矽表面兩者之上,但如以下關於操作112所述,由於在矽氧化物表面上用作形成矽氮化物之催化劑之含鋁部分或含過渡金屬部分的存在,矽氧化物係選擇性地在矽氧化物表面上且不在矽氮化物表面上形成。在一些實施例中,基板表面上的吸附可在基板表面上形成薄的胺基矽烷層。該薄層可小於單層,且可具有約0.2 Å與約0.4 Å之間的厚度。
操作106中使用的胺基矽烷具有如下之化學式:其中x係在1與3之間且包含1和3的整數,x + y = 4,且R1 及R2 之每一者係氫或烷基。在諸多實施例中,R1 及R2 係不同的烷基,其可具有不同的結構及/或不同的碳原子數。舉例而言,在一些實施例中,胺基矽烷係單胺基矽烷,其具有下列化學結構:其中R1 及R2 之每一者係氫或烷基。
在一些實施例中的胺基矽烷可為單胺基矽烷、二胺基矽烷、三胺基矽烷、四胺基矽烷、及其組合之其中任一者。以下提供這些示例的化學結構:
吾人將理解在一些實施例中,鍵結至矽的含氮配位基可能未必與鍵結至相同矽原子的另一含氮配位基相同。舉例而言,在一些實施例中,R1 及R2 可為不同的烷基配位基。在一些實施例中,連接至矽原子的第一NR1 R2 配位基可能與連接至相同矽原子的另一NR1 R2 配位基不同或具有相同的烷基配位基。如上所述,R1 及R2 可為任何的烷基配位基。在一示例中,胺基矽烷可為N ,N ’-二甲基矽烷二胺,其具有下列結構: N ,N ’-二甲基矽烷二胺
諸如四乙氧基矽烷(TEOS)的矽烷氧化物、矽鹵化物、及甲矽烷(SiH4 )在諸多實施例中不用作沉積矽氮化物的矽前驅物,因為其對於矽氮化物膜上的表面物種可能不夠具有反應性。
圖2E顯示吸附在改質之矽氧化物表面213之表面上的單胺基矽烷之示例。在諸多實施例中,單胺基矽烷亦可吸附在曝露的矽表面202之上(未顯示)。
在操作110中,選用性地沖洗處理腔室以移除未吸附至基板表面之上的胺基矽烷。沖洗腔室可涉及流動沖洗氣體或吹掃氣體,其可為其他操作中使用的載體氣體或可為不同的氣體。在一些實施例中,沖洗氣體係惰性氣體,諸如以上關於操作106描述的惰性氣體。在一些實施例中,沖洗可涉及將腔室抽空。示例沖洗氣體包含氬、氮、氫、及氦。在一些實施例中,操作108可包含用於抽空處理腔室的一或更多抽空子階段。或者,吾人將察知在一些實施例中可省略操作110。操作110可具有任何適合的持續期間,諸如在約0秒與約60秒之間,例如約0.01秒。在一些實施例中,增加一或更多沖洗氣體的流率可減少操作110的持續期間。舉例而言,沖洗氣體的流率可根據諸多反應物的熱力學特性、及/或處理腔室及/或處理腔室管線的幾何特性而調整,以修改操作110的持續期間。在一非限制性的示例中,沖洗階段的持續期間可藉由調節沖洗氣體的流率而調整。此可減少沉積循環時間而改善基板生產率。在沖洗之後,胺基矽烷分子仍保持吸附在基板表面之上。在一些實施例中,胺基矽烷前驅物係以約1000 sccm與約5000 sccm之間的流率流至容納基板的腔室。在一些實施例中,吸附在矽表面之上的胺基矽烷前驅物可在此操作期間移除。
在操作112中,基板係在沒有電漿的情況下曝露於聯胺,以在矽氧化物表面上相對於曝露的矽表面選擇性地形成矽氮化物。雖然一些胺基矽烷前驅物可能仍吸附在曝露的矽表面上,但聯胺將不與那些表面上的胺基矽烷前驅物反應,因為電漿未被點燃且矽表面不包含用以使胺基矽烷與聯胺反應之含鋁或含過渡金屬的催化劑。聯胺將與吸附在改質之矽氧化物表面之表面上的胺基矽烷前驅物反應,因為含鋁的部分作為催化劑以催化胺基矽烷前驅物與聯胺之間的反應。圖2F顯示一示例,其中Si-OAlMe2 催化單胺基矽烷與聯胺之間的反應,以從而在矽氧化物表面223上形成矽氮化物205,如圖2G所示。因此,矽氮化物205係選擇性地在具有含鋁部分的表面上形成,從而選擇性地在矽氧化物表面(223)上形成且不在矽表面(202)上形成。
操作110期間使用的聯胺具有下列結構:其中R3 、R4 、R5 、及R6 各自為氫或烷基。舉例而言,可使用聯胺,其中聯胺具有下列結構:
在另一示例中,可使用三級丁基聯胺,其具有下列結構:
在另一示例中,可使用四甲基聯胺,其具有下列結構:
在一些實施例中,可將一或更多聯胺引入作為混合物。聯胺可作為氣體遞送至基板。在一些實施例中,聯胺可使用載體氣體遞送,使得載體氣體及聯胺氣體共同流至基板。在一些實施例中,載體氣體可為惰性氣體,諸如以上關於操作106所描述者。在一些實施例中,在遞送聯胺氣體至容納基板的腔室中之前,將載體氣體轉向。在一些實施例中,聯胺可在基板的上游自液相汽化並作為氣體引入至腔室中。汽化的聯胺可同樣地使用載體氣體藉由使汽化的聯胺前驅物與載體氣體共同流動而引入。類似地,在一些實施例中,在遞送汽化的聯胺前驅物至基板之前,可將載體氣體轉向。操作112中使用的聯胺可以約100 sccm與約10000 sccm之間、或約1000 sccm與約5000 sccm之間的流率遞送至容納基板的腔室。如上所述,在整個操作102-118中,容納基板之腔室的腔室壓力可為恆定的。也就是說,在諸多實施例中,操作112期間的腔室壓力係與操作108、110、及114期間的腔室壓力相同。在諸多實施例中,操作112期間的腔室壓力可能與操作104、或操作106、或操作104和106兩者期間的腔室壓力相同。
在操作114中,選用性地沖洗腔室以移除任何殘留副產物。該腔室可使用以上關於操作110描述的任何條件沖洗。舉例而言,在一些實施例中,腔室係藉由流動惰性氣體(諸如氬)沖洗,以自腔室抽排過量分子及/或殘留副產物。
在操作116中,判定是否已沉積期望的膜厚度。若為否,則將操作108-114重複足夠的循環以沉積期望的矽氮化物厚度。任何合適數目的沉積循環可被包含在ALD製程中,以沉積期望的矽氮化物膜厚度。舉例而言,可執行約20至約40個沉積循環以使用所揭示的實施例在基板上沉積矽氮化物膜。在一些實施例中,執行20至40個沉積。
注意雖然圖1及本文提供的說明描述曝露於胺基矽烷前驅物發生在聯胺曝露之前,但吾人將理解在一些實施例中,在胺基矽烷前驅物曝露之前可執行聯胺曝露。也就是說,在一些實施例中,在操作106之後,可執行操作112,接著諸如操作114的沖洗操作,然後可執行操作108,後接沖洗操作110。在如此實施例中,若在提供胺基矽烷之前將聯胺提供至基板,則基板上含過渡金屬之部分的存在仍將催化聯胺與胺基矽烷之間的反應。在一些實施例中,在操作106之後,執行操作108,後接操作110、和操作112、及操作114。
在操作118中,每n 個重複操作108-114的循環選用性地將操作106重複。由於執行操作108-114可構成一個矽氮化物的熱力式ALD循環,所以可每n 個矽氮化物的熱力式ALD循環執行操作106。在諸多實施例中,n 係在20與40之間且包含20及40的整數。舉例而言,在一些實施例中,每20至每40個重複操作108-114的循環執行操作106。每n 個執行矽氮化物之熱力式ALD的循環執行操作106,以補充基板表面上含過渡金屬之部分的量,以具有足夠的過渡金屬來催化胺基矽烷與聯胺之間的反應。在一示例中,在多個矽氮化物的ALD循環之間週期性地將基板曝露於三甲基鋁,以確保基板上有足夠的鋁來催化單胺基矽烷與三級丁基聯胺之間的反應。若基板上沒有足夠的鋁,則反應可能不被催化,且胺基矽烷可能不與聯胺反應。
注意雖然本文所述的實施例描述在矽氧化物表面上相對於矽表面選擇性地沉積矽氮化物的方法,但亦可執行類似的技術以在矽氧化物表面上相對於矽表面選擇性地沉積矽氧化物。舉例而言,在使用三甲基鋁以改質矽氧化物表面的情況下,可選擇含矽前驅物及氧化劑,使得經改質的含鋁部分催化選擇的含矽前驅物與選擇的氧化劑之間的反應,以在矽氧化物表面上選擇性地沉積矽氧化物。由於三甲基鋁與矽表面幾乎沒有反應性,所以使用作為催化劑之過渡金屬部分的矽氧化物或矽氮化物膜可選擇性地沉積在矽氧化物表面上而不在矽表面上分別沉積矽氧化物或矽氮化物,因為矽表面不具有可催化熱力式原子層沉積反應以沉積個別材料的終端基團。
圖3根據某些揭示的實施例提供顯示方法中之循環的示例之時序圖。該時序圖顯示載體氣體、胺基矽烷氣體、聯胺氣體、及三甲基鋁氣體(在圖3中描繪作「TMA」)流的開啟或關閉階段。雖然圖3中描繪三甲基鋁,但吾人將理解操作106中使用之任何適合的氣體可用以取代三甲基鋁。
圖3包含具有三甲基鋁曝露階段301A及兩個沉積循環—沉積循環310A和沉積循環310B的製程300。注意雖然僅描繪兩個沉積循環,但可重複更多沉積循環。此外,每n 個沉積循環(諸如每20至40沉積循環)可週期性地使用額外的三甲基鋁曝露階段。
在三甲基鋁曝露階段301A期間,可流動載體氣體。載體氣體可為如上關於操作106及108描述的任何氣體。在諸多實施例中,載體氣體係惰性氣體,諸如氬、氦、或氖。注意三甲基鋁曝露階段301A可對應於圖1的操作106。在三甲基鋁曝露階段301A期間,關閉胺基矽烷流及聯胺流,且同時開啟三甲基鋁流。沉積循環310A包含胺基矽烷曝露階段357A、沖洗階段359A、聯胺曝露階段331A、及沖洗階段333A。沉積循環310A中的這些階段可構成如本文其他地方描述的熱力式原子層沉積循環。在可對應於圖1操作108的胺基矽烷曝露階段357A中,當開啟胺基矽烷流時載體氣體可繼續流動,且同時關閉聯胺流及三甲基鋁流。在沖洗階段359A中,可繼續開啟載體氣體流。在一些實施例中,載體氣體流係被引導至腔室中作為如本文其他地方所述的沖洗或吹掃氣體。在沖洗階段359A期間,關閉胺基矽烷氣體流、聯胺氣體流、及三甲基鋁氣體流。沖洗階段359A可對應於圖1的操作110。在聯胺曝露階段331A中,載體氣體可繼續流動,其在一些情況下可用以將聯胺提供至容納待處理之基板的腔室。在聯胺曝露階段331A期間,關閉胺基矽烷氣體流及三甲基鋁氣體流,且同時開啟聯胺氣體流。聯胺曝露階段331A可對應於圖1的操作112。在沖洗階段333A期間,載體氣體可繼續流動,且同時關閉胺基矽烷氣體、聯胺氣體、及三甲基鋁氣體流。如上所述,載體氣體在一些實施例中可為用以泵出反應副產物的惰性氣體。沖洗階段333A可對應於圖1的操作114。
沉積循環310A的階段可在沉積循環310B中重複,如圖3所示。重複這些階段可對應於圖1的操作116。沉積循環310B包含:胺基矽烷曝露階段357B,其可對應於操作108之重複的操作;沖洗階段359B,其可對應於操作110之重複的操作;聯胺曝露階段331B,其可對應於操作112之重複的操作;及沖洗階段333B,其可對應於操作114之重複的操作。在胺基矽烷曝露階段357B期間,開啟載體氣體流及胺基矽烷氣體流,且同時關閉聯胺及三甲基鋁氣體流。在沖洗階段359B期間,繼續開啟載體氣體流,且同時關閉胺基矽烷、聯胺、及三甲基鋁氣體流。在聯胺曝露階段331B期間,開啟載體氣體流及聯胺氣體流,且同時關閉胺基矽烷及三甲基鋁氣體流。在沖洗階段333B期間,載體氣體流保持開啟,且同時關閉胺基矽烷氣體流、聯胺氣體流、及三甲基鋁氣體流。 設備
圖4描繪原子層沉積(ALD)處理工作站400之實施例的示意說明,該處理工作站400具有用於維持低壓環境的處理腔體402。複數ALD處理工作站400可被包含在共同的低壓處理工具環境中。舉例而言,圖5描繪多工作站式處理工具500的一實施例。在一些實施例中,ALD處理工作站400的一或更多硬體參數(包含以下詳細討論者)可由一或更多電腦控制器450以編程方式調整。
ALD處理工作站400與反應物遞送系統401a呈流體連通,該反應物遞送系統401a用於將處理氣體遞送至分配噴淋頭406。反應物遞送系統401a包含混合容器404,該混合容器404用於混合及/或調節處理氣體(諸如三甲基鋁、或含過渡金屬的氣體、或胺基矽烷前驅物氣體、或聯胺氣體)以遞送至噴淋頭406。一或更多混合容器入口閥420可控制處理氣體至混合容器404的引入。
舉例來說,圖4的實施例包含一汽化點403,用於將待供應至混合容器404的液體反應物汽化。在一些實施例中,汽化點403可為加熱的汽化器。從此等汽化器產生之飽和的反應物蒸氣可能在下游遞送管路內凝結。不相容的氣體曝露於凝結的反應物可能產生小顆粒。這些小顆粒可能堵塞管路、妨礙閥操作、污染基板等。解決這些問題的一些方法涉及沖洗及/或抽空遞送管路以移除殘留的反應物。然而,沖洗遞送管路可能增加處理工作站的循環時間、降低處理工作站的生產率。因此,在一些實施例中,汽化點403下游的遞送管路可為伴熱的(heat traced)。在一些實例中,混合容器404亦可為伴熱的。在一非限制性的示例中,汽化點403下游的管路具有從大約100℃延伸至混合容器404處大約150℃的漸增溫度分布。
在一些實施例中,液體前驅物或液體反應物可在液體注射器處汽化。舉例而言,液體注射器可將液體反應物的脈衝注入至混合容器上游的載體氣流內。在一實施例中,液體注射器可藉由將液體自一較高壓力至較低壓力驟沸而汽化反應物。在另一實施例中,液體注射器可將液體霧化成分散的微滴,其隨後在加熱的遞送管內汽化。較小的液滴可比較大的液滴更快汽化,縮短液體注入和完全汽化之間的延遲。較快的汽化可降低自汽化點403下游之管路的長度。在一情況下,液體注射器可直接安裝至混合容器404。在另一情況下,液體注射器可直接安裝至噴淋頭406。
在一些實施例中,可設置汽化點403上游的液體流量控制器(LFC),以控制用於汽化及遞送至處理工作站400之液體的質量流量。舉例而言,LFC可包含位在LFC下游的熱質量流量計(MFM)。LFC的柱塞閥可接著響應回授控制訊號而調整,該回授控制訊號係由與MFM電連通的一比例-積分-微分作用(PID, proportional-integral-derivative)控制器提供。然而,使用回授控制可能耗用一秒以上以穩定液體流量。此可能延長供給液體反應物的時間。因此,在一些實施例中,LFC可在回授控制模式與直接控制模式之間動態地切換。在一些實施例中,此可藉由將PID控制器和LFC的感測管停用而執行。
噴淋頭406將處理氣體朝基板412散佈。在圖4顯示的實施例中,基板412係位於噴淋頭406下方,且係顯示配置在底座408上。噴淋頭406可具有任何適合的形狀,且可具有任何適合數量及排列的埠口,以供將處理氣體散佈至基板412。
在一些實施例中,底座408可升高或降低以將基板412曝露於基板412與噴淋頭406之間的容積。吾人將察知在一些實施例中,底座高度可藉由適合的電腦控制器450以編程方式調整。
在另一情況下,在點燃電漿的實施例中,調整底座408的高度可允許電漿密度在製程中的電漿活化循環期間受到改變。在製程階段結束時,底座408可在另一基板傳送階段期間降低,以允許自底座408移除基板412。
在一些實施例中,底座408可透過加熱器410控制溫度。在一些實施例中,在如所揭示實施例中所述之矽氮化物膜的選擇性沉積期間,底座408可加熱至約25℃與約400℃之間、或約200℃與約300℃之間的溫度。在一些實施例中,底座的溫度係設在約25℃與約400℃之間、或約200℃與約300℃之間的溫度。
此外,在一些實施例中,處理工作站400的壓力控制可透過蝶形閥418提供。如圖4的實施例所示,蝶形閥418調節由下游真空泵(未顯示)提供的真空。然而,在一些實施例中,處理工作站400的壓力控制亦可藉由改變被導入處理工作站400之一或更多氣體的流率而調整。
在一些實施例中,噴淋頭406的位置可相對於底座408調整,以改變基板412與噴淋頭406之間的容積。此外,吾人將察知底座408及/或噴淋頭406的垂直位置可藉由在本揭示內容範圍內之任何適合的機構變化。在一些實施例中,底座408可包含用於旋轉基板412之方向的旋轉軸。吾人將察知在一些實施例中,這些示例調整的其中一或更多者可藉由一或更多適合的電腦控制器450以編程方式執行。
在電漿可如上所述使用的一些實施例中,噴淋頭406及底座408與用於對電漿供電的射頻(RF)電源414及匹配網路416電連通。在一些實施例中,電漿能量可藉由控制處理工作站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序之其中一或更多者而受控制。舉例而言,RF電源414及匹配網路416可在任何適合的功率下操作,以形成具有期望之自由基物種成分的電漿。合適功率的例子係約150 W至約6000 W。RF電源414可提供任何合適頻率的RF功率。在一些實施例中,RF電源414可配置成彼此獨立地控制高頻及低頻RF功率源。示例低頻RF頻率可包含但不限於0 kHz與500 kHz之間的頻率。示例高頻RF頻率可包含但不限於1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz的頻率。吾人將察知任何合適的參數可不連續地或連續地加以調整,以提供用於表面反應的電漿能量。
在一些實施例中,電漿可藉由一或更多電漿監視器原位監控。在一情況下,電漿功率可藉由一或更多電壓、電流感測器(例如VI探針)監控。在另一情況下,電漿密度及/或處理氣體濃度可藉由一或更多光學發射光譜感測器(OES)測量。在一些實施例中,一或更多電漿參數可基於來自此等原位電漿監視器的測量以編程方式調整。舉例而言,OES感測器可在回授迴路中使用,該回授迴路用於提供電漿功率的編程控制。吾人將察知在一些實施例中,其他監視器可用以監控電漿及其他製程特性。此等監視器可包含但不限於紅外線(IR)監視器、聲學監視器、及壓力轉換器。
在一些實施例中,控制器450的指令可透過輸入/輸出控制(IOC)序列指令提供。在一示例中,用於設定製程階段之條件的指令可被包含於製程配方之相對應的配方階段中。在某些情況下,製程配方階段可依序排列,使得製程階段的所有指令係與該製程階段同時執行。在一些實施例中,用於設定一或更多反應器參數的指令可被包含於一配方階段中。舉例而言,第一配方階段可包含用於設定諸如三甲基鋁之含過渡金屬的氣體之流率的指令、用於設定載體氣體(諸如氬)之流率的指令、及該第一配方階段的時間延遲指令。第二配方階段可包含用於設定惰性及/或胺基矽烷前驅物氣體之流率的指令、用於設定載體氣體(諸如氬)之流率的指令、及該第二配方階段的時間延遲指令。後續的第三配方階段可包含用於調節或停止惰性及/或反應物氣體之流率的指令、及用於調節載體或沖洗氣體之流率的指令、及該第三配方階段的時間延遲指令。第四配方階段可包含用於調節聯胺氣體之流率的指令、用於調節載體或沖洗氣體之流率的指令、及該第四配方階段的時間延遲指令。後續的第五配方階段可包含用於調節或停止惰性及/或反應物氣體之流率的指令、及用於調節載體或沖洗氣體之流率的指令、及該第五配方階段的時間延遲指令。吾人將察知這些配方階段可在所揭示實施例的範圍內以任何適合的方式進一步細分及/或重複。在一些實施例中,控制器450可包含以下關於圖5之系統控制器550所描述的任何特徵。
如上所述,一或更多處理工作站可被包含在多工作站式處理工具內。圖5顯示多工作站式處理工具500之實施例的示意圖,該多工作站式處理工具500具有入站裝載鎖定部502及出站裝載鎖定部504,其中的一者或兩者可包含遠程電漿源。處於大氣壓力下的機器人506係配置以將晶圓從卡匣(經由晶圓傳送盒(pod)508裝載)通過大氣埠510而移動到入站裝載鎖定部502中。晶圓係由機器人506置放在入站裝載鎖定部502中的底座512上,將大氣埠510關閉,並將裝載鎖定部抽真空。若入站裝載鎖定部502包含遠程電漿源,則晶圓在被引入至處理腔室514之前,可曝露於選用性之H2 O中HF的溫和蝕刻劑或可在裝載鎖定部中曝露於周圍。此外,基板亦可在入站裝載鎖定部502中受到加熱,以例如將水分及吸附的氣體移除。接著,開啟通往處理腔室514的腔室傳送埠516,且另一機器人(未顯示)將晶圓放至反應器中,在反應器中顯示之第一工作站的底座上進行處理。雖然圖5中描繪的實施例包含裝載鎖定部,但吾人將察知在一些實施例中,可設置晶圓進入處理工作站的直接通道。
在圖5顯示的實施例中,所描繪的處理腔室514包含四個處理工作站(編號從1到4)。各工作站具有加熱的底座(對於工作站1顯示為518)及氣體管線入口。吾人將察知在一些實施例中,各處理工作站可具有不同或多種用途。舉例而言,在一些實施例中,處理工作站可在ALD與電漿加強的ALD處理模式之間切換。此外或替代地,在一些實施例中,處理腔室514可包含一對以上匹配的ALD和電漿加強的ALD處理工作站。雖然所描繪的處理腔室514包含四個工作站,但吾人將理解根據本揭示內容的處理腔室可具有任何適當數量的工作站。舉例而言,在一些實施例中,處理腔室可具有五個以上工作站,而在其他實施例中,處理腔室可具有三個或更少的工作站。
圖5描繪晶圓搬運系統的實施例,其在處理腔室514內傳送晶圓。在一些實施例中,晶圓搬運系統可在諸多處理工作站之間、及/或在處理工作站與裝載鎖定部之間傳送晶圓。吾人將察知可使用任何適當的晶圓搬運系統。非限制性的例子包含晶圓旋轉料架及晶圓搬運機器人。圖5亦描繪系統控制器550的一實施例,該系統控制器550用於控制處理工具500的製程條件及硬體狀態。系統控制器550可包含一或更多記憶體裝置556、一或更多大量儲存裝置554、及一或更多處理器552。處理器552可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,系統控制器550控制處理工具500的所有活動。系統控制器550執行系統控制軟體558,該系統控制軟體558係儲存在大量儲存裝置554中、加載至記憶體裝置556、及在處理器552上執行。或者,控制邏輯可在控制器550中加以硬編碼。針對此等目的,可使用特殊應用積體電路、可程式化邏輯裝置(例如現場可程式化閘陣列(FPGAs))等。在下面的討論中,在任何使用「軟體」或「程式碼」之處,皆可使用功能性相當的硬編碼邏輯來取代。系統控制軟體558可包含用於控制下述的指令:時序、氣體的混合、氣體流率、腔室及/或工作站壓力、腔室及/或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、基板底座、卡盤及/或基座的位置、及由處理工具500執行之特殊製程的其他參數。系統控制軟體558可以任何適合的方式配置。舉例而言,可撰寫諸多處理工具元件的副程式或控制物件,以控制用以執行諸多處理工具製程之處理工具元件的操作。系統控制軟體558可以任何適合的電腦可讀程式語言編碼。
在一些實施例中,系統控制軟體558可包含輸入/輸出控制(IOC)定序指令,用於控制上述諸多參數。儲存在與系統控制器550相關聯之大量儲存裝置554及/或記憶體裝置556中的其他電腦軟體及/或程式可在一些實施例中使用。用於此目的之程式或程式區段的示例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具元件的程式碼,該處理工具元件係用以將基板裝載至底座518之上、並用以控制基板與處理工具500之其他部分間的間距。
處理氣體控制程式可包含程式碼,用於控制氣體成分(例如:諸如三甲基鋁之含過渡金屬的氣體、胺基矽烷氣體、及聯胺氣體、如本文描述的載體氣體及/或沖洗氣體)和流率、及選用性地用於在沉積之前將氣體流進一或更多處理工作站,以使處理工作站內的氣壓穩定。壓力控制程式可包含程式碼,用於藉由調節例如在處理工作站之排氣系統內的節流閥、進入處理工作站的氣流等而控制處理工作站內的壓力。
加熱器控制程式可包含程式碼,用於控制電流流至用以加熱基板的加熱單元。或者,該加熱器控制程式可控制熱轉移氣體(諸如氦)至基板的遞送。
電漿控制程式可包含程式碼,用於根據本文實施例在一或更多處理工作站內設定施加至處理電極的RF功率位準。
壓力控制程式可包含程式碼,用於根據本文實施例維持反應腔室內的壓力。
在一些實施例中,可能有與系統控制器550相關聯的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示器、及使用者輸入裝置(諸如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器550調整的參數可能與製程條件有關。非限制性的例子包含處理氣體成分及流率、溫度、壓力、電漿條件(諸如RF偏壓功率位準)等。這些參數可以配方的形式提供給使用者,其可利用使用者介面輸入。
用於監控製程的訊號可由系統控制器550的類比及/或數位輸入連接件自諸多處理工具感測器提供。用於控制製程的訊號可在處理工具500的類比及數位輸出連接件上輸出。可被監控之處理工具感測器之非限制性的例子包含質流控制器、壓力感測器(諸如壓力計)、熱電偶等。適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持製程條件。
系統控制器550可提供用於執行上述沉積製程的程式指令。該等程式指令可控制各種製程參數,諸如:DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制參數以根據本文描述的諸多實施例操作膜堆疊的原位沉積。
系統控制器550一般包含配置成執行指令的一或更多記憶體裝置及一或更多處理器,使得該設備將根據所揭示的實施例執行方法。包含用於根據所揭示的實施例控制製程操作之指令的機器可讀媒體可耦接至該系統控制器550。
在一些實施方式中,系統控制器550為系統的一部分,其可為上述示例的一部分。此等系統可包括半導體處理設備,其包含處理工具或複數處理工具、腔室或複數腔室、用於處理的平臺或複數平臺、及/或特定處理元件(晶圓底座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制系統或複數系統的諸多元件或子部分。依據系統的處理條件及/或類型,系統控制器550可加以編程以控制本文揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,系統控制器550可定義為具有接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可為以諸多個別設定(或程式檔案)之形式與系統控制器550通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間完成一或更多處理步驟。
在一些實施方式中,系統控制器550可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。舉例而言,系統控制器550可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些例子中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,系統控制器550接收數據形式的指令,該數據明確指定於一或更多操作期間將執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型及系統控制器550受配置所介接或控制之工具的類型。因此,如上所述,系統控制器550可為分散式的,諸如藉由包含一或更多分散的控制器,其由網路連在一起且朝共同的目的(諸如本文描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室中的一或更多積體電路,其連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或更多積體電路,而結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中的其他半導體處理系統。
如上所述,依據將由工具執行的製程步驟或複數製程步驟,系統控制器550可與下列其中一或更多者通訊:其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
用於執行本文所揭示方法的適當設備係進一步在下列美國專利申請案中討論及描述:於2011年4月11日申請、題為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”之美國專利申請案第13/084,399號(現為美國專利第8,728,956號);及於2011年4月11日申請、題為“SILICON NITRIDE FILMS AND METHODS”之美國專利申請案第13/084,305號,其中每一者的全部內容於此納入本案揭示內容。
本文描述的設備/製程可結合微影圖案化的工具或製程(例如半導體元件、顯示器、LED、太陽光電板等的製造或生產)使用。通常,雖然不一定,此等工具/製程將在共同的製造設施內一起使用或執行。膜的微影圖案化一般包含下列操作的一些或全部者,各操作係以幾個可能的工具達成:(1)工件(即基板)上光阻的施加,其使用旋轉式或噴塗式的工具;(2)光阻的固化,其使用熱板或加熱爐或UV固化工具;(3)以諸如晶圓步進機的工具將光阻曝露於可見光或UV或x射線光;(4)顯影光阻以便選擇性地移除光阻且從而使其圖案化,其使用諸如溼檯的工具;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移進入下方的膜或工件;及(6)使用諸如RF或微波電漿光阻剝除器的工具移除光阻。 結論
雖然上述實施例為了清楚理解的目的已以一些細節描述,但顯然地,某些改變與修飾可在隨附申請專利範圍的範疇內實施。應注意有許多替代方式執行本發明實施例的製程、系統、及設備。因此,本發明實施例係被視為說明性而非限制性的,且該等實施例不限於本文提供的細節。
102‧‧‧操作
104‧‧‧操作
106‧‧‧操作
108‧‧‧操作
110‧‧‧操作
112‧‧‧操作
114‧‧‧操作
116‧‧‧操作
118‧‧‧操作
200‧‧‧基板
202‧‧‧矽表面
203‧‧‧矽氧化物表面(矽氧化物)
204‧‧‧氫
205‧‧‧矽氮化物
213‧‧‧改質之矽氧化物表面
223‧‧‧矽氧化物表面
300‧‧‧製程
301A‧‧‧三甲基鋁曝露階段
310A‧‧‧沉積循環
310B‧‧‧沉積循環
331A‧‧‧聯胺曝露階段
331B‧‧‧聯胺曝露階段
333A‧‧‧沖洗階段
333B‧‧‧沖洗階段
357A‧‧‧胺基矽烷曝露階段
357B‧‧‧胺基矽烷曝露階段
359A‧‧‧沖洗階段
359B‧‧‧沖洗階段
400‧‧‧處理工作站
401a‧‧‧反應物遞送系統
402‧‧‧處理腔體
403‧‧‧汽化點
404‧‧‧混合容器
406‧‧‧噴淋頭
408‧‧‧底座
410‧‧‧加熱器
412‧‧‧基板
414‧‧‧射頻(RF)電源
416‧‧‧匹配網路
418‧‧‧蝶形閥
420‧‧‧混合容器入口閥
450‧‧‧控制器
500‧‧‧處理工具
502‧‧‧入站裝載鎖定部
504‧‧‧出站裝載鎖定部
506‧‧‧機器人
508‧‧‧晶圓傳送盒
510‧‧‧大氣埠
512‧‧‧底座
514‧‧‧處理腔室
516‧‧‧腔室傳送埠
518‧‧‧底座
550‧‧‧控制器
552‧‧‧處理器
554‧‧‧大量儲存裝置
556‧‧‧記憶體裝置
558‧‧‧系統控制軟體
圖1係製程流程圖,其根據某些所揭示實施例描述用於方法的操作。
圖2A-2G係經歷根據某些所揭示實施例描述的操作之基板的示意圖。
圖3係根據某些所揭示實施例的時序圖,其顯示在方法中之循環的示例。
圖4係用於執行某些所揭示實施例之示例處理腔室的示意圖。
圖5係用於執行某些所揭示實施例之示例處理工具的示意圖。

Claims (38)

  1. 一種在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,該方法包含: 提供具有曝露的矽氧化物表面及曝露的矽表面之一基板; 將該基板曝露於三甲基鋁,以在該曝露的矽氧化物表面上相對於該曝露的矽表面選擇性地形成含鋁的部分;及 執行熱力式原子層沉積的一或更多循環,各循環包含在不點燃電漿的情況下將該基板曝露於胺基矽烷前驅物及將該基板曝露於聯胺,以在該曝露的矽氧化物表面上相對於該曝露的矽表面選擇性地形成矽氮化物,其中聯胺具有下列化學結構:其中R3 、R4 、R5 、及R6 各自為氫或烷基。
  2. 如申請專利範圍第1項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,更包含每20至40個熱力式原子層沉積循環,週期性地將該基板曝露於三甲基鋁。
  3. 如申請專利範圍第1項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,將該基板曝露於三甲基鋁的步驟包含以約100 sccm與約10,000 sccm之間的流率將三甲基鋁流至容納該基板的一腔室。
  4. 如申請專利範圍第1項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,聯胺係選自由三級丁基聯胺及四甲基聯胺所組成之群組。
  5. 如申請專利範圍第1項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,將該基板曝露於三甲基鋁的步驟係在不點燃電漿的情況下執行。
  6. 如申請專利範圍第1項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,在將該基板曝露於三甲基鋁期間,該基板係設置在具有約10毫托與約10托間之腔室壓力的一腔室中。
  7. 如申請專利範圍第1項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,在將該基板曝露於三甲基鋁期間,該基板位在設至約25℃與約400℃間之溫度的一底座上。
  8. 如申請專利範圍第1項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,該曝露的矽氧化物表面包含羥基端基團。
  9. 如申請專利範圍第1-8項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中該胺基矽烷前驅物具有下列化學式且其中x係在1與3之間且包含1和3的整數,x + y = 4,且R1 及R2 之每一者係氫或烷基。
  10. 如申請專利範圍第9項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,該胺基矽烷前驅物係選自由單胺基矽烷、二胺基矽烷、三胺基矽烷、四胺基矽烷、N ,N ’-二甲基矽烷二胺、及其組合所組成之群組。
  11. 如申請專利範圍第1-8項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中該基板係設置在一腔室中,且各循環更包含在不點燃電漿的情況下,在將該基板曝露於胺基矽烷前驅物的步驟與將該基板曝露於聯胺的步驟之間沖洗該腔室。
  12. 如申請專利範圍第1-8項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中該基板係設置在一腔室中,且將該基板曝露於三甲基鋁期間的腔室壓力係與熱力式原子層沉積之該一或更多循環期間的腔室壓力相同。
  13. 如申請專利範圍第1-8項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,該基板位在一底座上,該底座在將該基板曝露於三甲基鋁期間具有與熱力式原子層沉積的該一或更多循環期間相同的溫度。
  14. 如申請專利範圍第1-8項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,在熱力式原子層沉積的該一或更多循環期間,該基板係設置在具有約10毫托與約10托間之腔室壓力的一腔室中。
  15. 如申請專利範圍第14項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,該腔室壓力係在約1托與約3托之間。
  16. 如申請專利範圍第1-8項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,在熱力式原子層沉積的該一或更多循環期間,該基板位在設至約25℃與約400℃間之溫度的一底座上。
  17. 如申請專利範圍第16項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,該溫度係在約200℃與約300℃之間。
  18. 一種在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,該方法包含: 提供具有曝露的矽氧化物表面及曝露的矽表面之一基板; 將該基板曝露於含過渡金屬的反應物,以在該曝露的矽氧化物表面上相對於該曝露的矽表面選擇性地形成含過渡金屬的部分;及 執行熱力式原子層沉積的一或更多循環,各循環包含在不點燃電漿的情況下將該基板曝露於胺基矽烷前驅物及將該基板曝露於聯胺,以在該曝露的矽氧化物表面上相對於該曝露的矽表面選擇性地形成矽氮化物,其中聯胺具有下列化學結構:其中R3 、R4 、R5 、及R6 各自為氫或烷基。
  19. 如申請專利範圍第18項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,該含過渡金屬的反應物包含選自由鈦及鎳所組成之群組的一過渡金屬。
  20. 如申請專利範圍第18項之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,該含過渡金屬的反應物係強路易士酸。
  21. 如申請專利範圍第18-20項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,更包含每20至40個熱力式原子層沉積,週期性地將該基板曝露於該含過渡金屬的反應物。
  22. 如申請專利範圍第18-20項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,在熱力式原子層沉積的該一或更多循環期間,該基板位在設至約25℃與約400℃間之溫度的一底座上。
  23. 如申請專利範圍第18-20項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,在熱力式原子層沉積的該一或更多循環期間,該基板係設置在具有約10毫托與約10托間之腔室壓力的一腔室中。
  24. 如申請專利範圍第18-20項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,將該基板曝露於含過渡金屬的反應物之步驟包含以約100 sccm與約10,000 sccm之間的流率將該含過渡金屬的反應物流至容納該基板的一腔室。
  25. 如申請專利範圍第18-20項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,聯胺係三級丁基聯胺。
  26. 如申請專利範圍第18-20項其中任一者之在基板之曝露的矽氧化物表面上選擇性地沉積矽氮化物的方法,其中,該胺基矽烷前驅物係選自由單胺基矽烷、二胺基矽烷、三胺基矽烷、四胺基矽烷、及其組合所組成之群組。
  27. 一種用於處理半導體基板的設備,該設備包含: a. 至少一處理腔室,其包含用於固持一基板的一底座; b. 至少一出口,用於耦接至真空; c. 耦接至一或更多三甲基鋁氣體源的一或更多處理氣體入口; d. 耦接至一或更多胺基矽烷氣體源的一或更多處理氣體入口; e. 耦接至一或更多聯胺氣體源的一或更多處理氣體入口;及 f. 一控制器,用於控制該設備中的操作,該控制器包含機器可讀指令,用於: 致使將三甲基鋁引入至該至少一處理腔室;及 致使將交替之胺基矽烷氣體和聯胺氣體之脈衝的循環引入至該至少一處理腔室,以藉由熱力式原子層沉積選擇性地形成矽氮化物。
  28. 如申請專利範圍第27項之用於處理半導體基板的設備,其中,該控制器更包含機器可讀指令,用於致使三甲基鋁之引入以約100 sccm與約10,000 sccm之間的流率流動。
  29. 如申請專利範圍第27項之用於處理半導體基板的設備,其中,該控制器更包含機器可讀指令,用於致使每20至40個交替之胺基矽烷氣體和聯胺氣體之脈衝的循環引入該三甲基鋁。
  30. 如申請專利範圍第27-29項其中任一者之用於處理半導體基板的設備,其中,該控制器更包含機器可讀指令,用於致使該至少一處理腔室的腔室壓力設至約10毫托與約10托之間。
  31. 如申請專利範圍第27-29項其中任一者之用於處理半導體基板的設備,其中,該控制器更包含機器可讀指令,用於致使將引入三甲基鋁期間之腔室壓力設成與引入交替之胺基矽烷氣體和聯胺氣體之脈衝的循環期間之腔室壓力相同。
  32. 如申請專利範圍第27-29項其中任一者之用於處理半導體基板的設備,其中該底座受到加熱,且其中該控制器更包含機器可讀指令,用於致使引入三甲基鋁期間之該底座的溫度設成與引入交替之胺基矽烷氣體和聯胺氣體之脈衝的循環期間之該底座的溫度相同。
  33. 一種用於處理半導體基板的設備,該設備包含: a. 至少一處理腔室,其包含用於固持一基板的一底座; b. 至少一出口,用於耦接至真空; c. 耦接至一或更多含過渡金屬的反應物之氣體源的一或更多處理氣體入口; d. 耦接至一或更多胺基矽烷氣體源的一或更多處理氣體入口; e. 耦接至一或更多聯胺氣體源的一或更多處理氣體入口;及 f. 一控制器,用於控制該設備中的操作,該控制器包含機器可讀指令,用於: 致使將含過渡金屬的反應物引入至該至少一處理腔室;及 致使將交替之胺基矽烷氣體和聯胺氣體的脈衝引入至該至少一處理腔室,以藉由熱力式原子層沉積選擇性地形成矽氮化物。
  34. 如申請專利範圍第33項之用於處理半導體基板的設備,其中,該控制器更包含機器可讀指令,用於致使含過渡金屬的反應物之引入以約100 sccm與約10,000 sccm之間的流率流動。
  35. 如申請專利範圍第33項之用於處理半導體基板的設備,其中,該控制器更包含機器可讀指令,用於致使每20至40個交替之胺基矽烷氣體和聯胺氣體之脈衝的循環引入該含過渡金屬的反應物。
  36. 如申請專利範圍第33-35項其中任一者之用於處理半導體基板的設備,其中,該控制器更包含機器可讀指令,用於致使該至少一處理腔室的腔室壓力設至約10毫托與約10托之間。
  37. 如申請專利範圍第33-35項其中任一者之用於處理半導體基板的設備,其中,該控制器更包含機器可讀指令,用於致使將引入含過渡金屬的反應物期間之腔室壓力設成與引入交替之胺基矽烷氣體和聯胺氣體之脈衝的循環期間之腔室壓力相同。
  38. 如申請專利範圍第33-35項其中任一者之用於處理半導體基板的設備,其中該底座受到加熱,且其中該控制器更包含機器可讀指令,用於致使引入含過渡金屬的反應物期間之該底座的溫度設成與引入交替之胺基矽烷氣體和聯胺氣體之脈衝的循環期間之該底座的溫度相同。
TW107107603A 2017-03-08 2018-03-07 使用催化控制將矽氮化物選擇性沉積於矽氧化物上 TW201843329A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/453,815 2017-03-08
US15/453,815 US10242866B2 (en) 2017-03-08 2017-03-08 Selective deposition of silicon nitride on silicon oxide using catalytic control

Publications (1)

Publication Number Publication Date
TW201843329A true TW201843329A (zh) 2018-12-16

Family

ID=63444356

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107107603A TW201843329A (zh) 2017-03-08 2018-03-07 使用催化控制將矽氮化物選擇性沉積於矽氧化物上

Country Status (6)

Country Link
US (2) US10242866B2 (zh)
JP (1) JP2018152560A (zh)
KR (2) KR102491414B1 (zh)
CN (1) CN108597983B (zh)
SG (1) SG10201801817QA (zh)
TW (1) TW201843329A (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US11450525B2 (en) 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP7286780B2 (ja) * 2019-02-14 2023-06-05 インテグリス・インコーポレーテッド 窒化ケイ素の選択的堆積
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210050953A (ko) 2019-10-29 2021-05-10 삼성전자주식회사 집적회로 장치 및 그 제조 방법
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN112038228B (zh) * 2020-08-27 2022-08-09 上海华力集成电路制造有限公司 改善TiN薄膜连续性的表面处理方法
KR102406174B1 (ko) * 2020-09-08 2022-06-08 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
KR100646296B1 (ko) * 2001-09-12 2006-11-23 닛본 덴끼 가부시끼가이샤 반도체 장치 및 그 제조 방법
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6846752B2 (en) 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
KR100889362B1 (ko) * 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
KR100593752B1 (ko) * 2005-01-18 2006-06-28 삼성전자주식회사 불순물들이 제거된 실리콘 질화막을 구비하는 반도체소자의 제조방법
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
SG10201405004WA (en) * 2006-02-23 2014-10-30 Azzurro Semiconductors Ag Nitride semiconductor component and process for its production
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US7858525B2 (en) 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
CN101959897A (zh) 2008-02-27 2011-01-26 乔治洛德方法研究和开发液化空气有限公司 使用原子层沉积(ald)法在基底上形成含钛层的方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2011216862A (ja) 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
CN105289536B (zh) 2011-02-14 2019-07-09 迪奥内克斯公司 液相色谱柱
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
US11626279B2 (en) 2012-03-09 2023-04-11 Versum Materials Us, Llc Compositions and methods for making silicon containing films
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
KR20150036114A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
CN105474359B (zh) 2013-06-27 2019-04-12 英特尔公司 以非光刻方式图案化的定向自组装对准促进层
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
EP3134479A1 (en) 2014-02-28 2017-03-01 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
KR102331913B1 (ko) 2014-09-26 2021-12-01 인텔 코포레이션 반도체 디바이스들에 대한 선택적 게이트 스페이서들
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
KR102079501B1 (ko) 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
KR102185458B1 (ko) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
CN107406977A (zh) 2015-02-26 2017-11-28 应用材料公司 使用自组装单层的选择性电介质沉积的方法
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102579784B1 (ko) * 2015-05-01 2023-09-15 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
TWI663281B (zh) * 2015-06-16 2019-06-21 美商慧盛材料美國責任有限公司 鹵代矽烷化合物的製備方法、組合物及含有其的容器
WO2016209570A1 (en) 2015-06-26 2016-12-29 Applied Materials, Inc. Selective deposition of silicon oxide films
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Also Published As

Publication number Publication date
KR20180103018A (ko) 2018-09-18
KR102542125B1 (ko) 2023-06-13
US10777407B2 (en) 2020-09-15
KR102491414B1 (ko) 2023-01-20
US10242866B2 (en) 2019-03-26
JP2018152560A (ja) 2018-09-27
US20190148128A1 (en) 2019-05-16
US20180261447A1 (en) 2018-09-13
CN108597983B (zh) 2024-01-30
CN108597983A (zh) 2018-09-28
SG10201801817QA (en) 2018-10-30
KR20230014821A (ko) 2023-01-30

Similar Documents

Publication Publication Date Title
US10903071B2 (en) Selective deposition of silicon oxide
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
US10490413B2 (en) Selective growth of silicon nitride
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
TWI718131B (zh) 超薄原子層沉積膜精度厚度控制
KR20160061885A (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
US11404275B2 (en) Selective deposition using hydrolysis