KR20230014821A - 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착 - Google Patents

촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착 Download PDF

Info

Publication number
KR20230014821A
KR20230014821A KR1020230007211A KR20230007211A KR20230014821A KR 20230014821 A KR20230014821 A KR 20230014821A KR 1020230007211 A KR1020230007211 A KR 1020230007211A KR 20230007211 A KR20230007211 A KR 20230007211A KR 20230014821 A KR20230014821 A KR 20230014821A
Authority
KR
South Korea
Prior art keywords
substrate
silicon
hydrazine
exposed
silicon oxide
Prior art date
Application number
KR1020230007211A
Other languages
English (en)
Other versions
KR102542125B1 (ko
Inventor
데이비드 찰스 스미스
데니스 엠. 하우스만
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230014821A publication Critical patent/KR20230014821A/ko
Application granted granted Critical
Publication of KR102542125B1 publication Critical patent/KR102542125B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

노출된 실리콘 표면들에 상대적으로 기판의 노출된 실리콘 옥사이드 표면들 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법들 및 장치들이 본 명세서에 제공된다. 기법들은 노출된 실리콘 옥사이드 표면 상에 알루미늄-함유 모이어티 (moiety) 를 형성하도록 기판에 트리메틸알루미늄을 제공하는 단계 및 노출된 실리콘 표면에 상대적으로 노출된 실리콘 옥사이드 표면 상에 알루미늄-함유 모이어티에 의해 촉매 작용된 열적 ALD (atomic layer deposition) 에 의해 아미노실란 및 하이드라진의 교번하는 펄스들을 사용하여 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 단계를 수반한다. 부가적인 기법들은 아미노실란 및 하이드라진의 교번하는 펄스들을 사용한 실리콘 나이트라이드의 열적 ALD 동안 촉매로서 작용하는 전이 금속-함유 모이어티를 형성하도록 노출된 실리콘 옥사이드 표면에 전이 금속-함유 가스를 제공하는 단계를 수반한다.

Description

촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착{SELECTIVE DEPOSITION OF SILICON NITRIDE ON SILICON OXIDE USING CATALYTIC CONTROL}
반도체 디바이스 제조는 실리콘 나이트라이드 막들의 증착을 수반할 수도 있다. 실리콘 나이트라이드 박막들은 고유한 물리적, 화학적, 및 기계적 특성들을 갖고, 따라서 다양한 애플리케이션들에 사용된다. 예를 들어, 실리콘 나이트라이드 막들은 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 캡슐화 층들, 트랜지스터들 내 스트레인드 (strained) 막들, 등에 사용될 수도 있다. 실리콘 나이트라이드 막들을 증착하는 종래의 방법들은 유전체 재료들에 선택적이지 않다.
반도체 기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는 기판의 노출된 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법에 있어서, 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 표면을 갖는 기판을 제공하는 단계; 노출된 실리콘 표면에 대해 노출된 실리콘 옥사이드 표면 상에 알루미늄-함유 모이어티 (moiety) 를 선택적으로 형성하도록 기판을 트리메틸알루미늄에 노출하는 단계; 및 열적 ALD (atomic layer deposition) 의 하나 이상의 사이클들을 수행하는 단계를 포함하고, 사이클 각각은 노출된 실리콘 표면에 대해 노출된 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 형성하기 위해 기판을 아미노실란 전구체에 노출하고 플라즈마를 점화하지 않고 기판을 하이드라진에 노출하는 단계를 포함하고, 하이드라진은 다음의 화학 구조를 갖고,
Figure pat00001
R3, R4, R5, 및 R6은 각각 수소 또는 알킬기이다.
다양한 실시예들에서, 방법은 또한 열적 ALD의 매 20 내지 40 사이클들마다 기판을 트리메틸알루미늄에 주기적으로 노출하는 단계를 더 포함한다.
일부 실시예들에서, 열적 ALD의 하나 이상의 사이클들 동안, 기판은 약 25 ℃ 내지 약 400 ℃의 온도로 설정된 페데스탈 상에 놓인다. 일부 실시예들에서, 온도는 약 200 ℃ 내지 약 300 ℃이다.
일부 실시예들에서, 열적 ALD의 하나 이상의 사이클들 동안, 기판은 약 10 mTorr 내지 약 10 Torr의 챔버 압력을 갖는 챔버 내에 배치된다. 다양한 실시예들에서, 챔버 압력은 약 1 Torr 내지 약 3 Torr이다.
다양한 실시예들에서, 기판을 트리메틸알루미늄에 노출하는 단계는 약 100 sccm 내지 약 10,000 sccm의 플로우 레이트로 트리메틸알루미늄을 기판을 하우징하는 챔버로 흘리는 단계를 포함한다.
일부 실시예들에서, 하이드라진은 t-부틸하이드라진 및 테트라메틸 하이드라진 중 임의의 하나이다.
다양한 실시예들에서, 아미노실란은 다음의 화학식을 갖고,
Figure pat00002
여기서 x는 1 내지 3 이하의 정수이고, x + y = 4이고, 그리고 R1 및 R2 각각은 수소 또는 알킬기이다. 일부 실시예들에서, 아미노실란은 모노아미노실란, 디아미노실이란, 트리아미노실란, 테트라아미노실란, N'N '-디메틸실란디아민 (N'N '-dimethylsilanediamine), 및 이들의 조합들 중 임의의 하나이다.
다양한 실시예들에서, 기판은 챔버 내에 배치되고, 그리고 사이클 각각은 기판을 아미노실란 전구체에 노출하는 단계와 플라즈마를 점화하지 않고 기판을 하이드라진에 노출하는 단계 사이에 챔버를 퍼지하는 단계를 더 포함한다.
일부 실시예들에서, 기판을 트리메틸알루미늄에 노출하는 단계 동안, 기판은 약 10 mTorr 내지 약 10 Torr의 챔버 압력을 갖는 챔버 내에 배치된다.
다양한 실시예들에서, 트리메틸알루미늄에 기판을 노출하는 단계 동안, 기판은 약 25 ℃ 내지 약 400 ℃의 온도로 설정된 페데스탈 상에 놓인다.
다양한 실시예들에서, 기판은 챔버 내에 배치되고, 그리고 기판을 트리메틸알루미늄에 노출하는 단계 동안 챔버 압력은 열적 ALD의 하나 이상의 사이클들 동안 챔버 압력과 동일하다.
다양한 실시예들에서, 기판은 열적 ALD의 하나 이상의 사이클들 동안과 동일한 온도를 갖는 페데스탈 상에 기판을 트리메틸알루미늄에 노출하는 단계 동안 놓인다.
다양한 실시예들에서, 노출된 실리콘 옥사이드 표면은 하이드록실 말단기들을 포함한다.
다양한 실시예들에서, 기판을 트리메틸알루미늄에 노출하는 단계는 플라즈마를 점화하지 않고 수행된다.
또 다른 양태는 기판의 노출된 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법에 있어서, 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 표면을 갖는 기판을 제공하는 단계; 노출된 실리콘 표면에 대해 노출된 실리콘 옥사이드 표면 상에 전이 금속-함유 모이어티를 선택적으로 형성하도록 기판을 전이 금속-함유 반응물질에 노출하는 단계; 및 열적 ALD (atomic layer deposition) 의 하나 이상의 사이클들을 수행하는 단계를 포함하고, 사이클 각각은 노출된 실리콘 표면에 대해 노출된 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 형성하기 위해 기판을 아미노실란 전구체에 노출하고 플라즈마를 점화하지 않고 기판을 하이드라진에 노출하는 단계를 포함하고, 상기 하이드라진은 다음의 화학 구조를 갖고,
Figure pat00003
R3, R4, R5, 및 R6은 각각 수소 또는 알킬기이다.
전이 금속은 이로 제한되지 않지만, 티타늄 및 니켈을 포함하는 임의의 적합한 전이 금속일 수도 있다.
일부 실시예들에서, 방법은 열적 ALD 반응의 매 20 내지 40 사이클들마다 기판을 전이 금속-함유 반응물질에 주기적으로 노출하는 단계를 포함한다.
일부 실시예들에서, 전이 금속-함유 반응물질은 강한 Lewis 산이다.
열적 ALD의 하나 이상의 사이클들 동안, 기판은 약 25 ℃ 내지 약 400 ℃의 온도로 설정된 페데스탈 상에 놓일 수도 있다. 일부 실시예들에서, 온도는 약 200 ℃ 내지 약 300 ℃이다.
일부 실시예들에서, 열적 ALD의 하나 이상의 사이클들 동안, 기판은 약 10 mTorr 내지 약 10 Torr의 챔버 압력을 갖는 챔버 내에 배치된다. 다양한 실시예들에서, 챔버 압력은 약 1 Torr 내지 약 3 Torr이다.
기판을 전이 금속-함유 반응물질에 노출하는 단계는 약 100 sccm 내지 약 10,000 sccm의 플로우 레이트로 전이 금속-함유 반응물질을 기판을 하우징하는 챔버로 흘리는 단계를 포함할 수도 있다.
다양한 실시예들에서, 하이드라진은 t-부틸하이드라진 및 테트라메틸하이드라진 중 임의의 하나이다.
다양한 실시예들에서, 아미노실란은 다음의 화학식을 갖고,
Figure pat00004
여기서 x는 1 내지 3 이하의 정수이고, x + y = 4이고, 그리고 R1 및 R2 각각은 수소 또는 알킬기이다. 일부 실시예들에서, 아미노실란은 모노아미노실란, 디아미노실이란, 트리아미노실란, 테트라아미노실란, N'N '-디메틸실란디아민, 및 이들의 조합들 중 임의의 하나이다.
다양한 실시예들에서, 기판은 챔버 내에 배치되고, 그리고 사이클 각각은 기판을 아미노실란 전구체에 노출하는 단계와 플라즈마를 점화하지 않고 기판을 하이드라진에 노출하는 단계 사이에 챔버를 퍼지하는 단계를 더 포함한다.
일부 실시예들에서, 기판을 트리메틸알루미늄에 노출하는 단계 동안 기판은 약 10 mTorr 내지 약 10 Torr의 챔버 압력을 갖는 챔버 내에 배치된다.
다양한 실시예들에서, 기판을 트리메틸알루미늄에 노출하는 단계 동안 기판은 약 25 ℃ 내지 약 400 ℃의 온도로 설정된 페데스탈 상에 놓인다.
다양한 실시예들에서, 기판은 챔버 내에 배치되고, 그리고 기판을 트리메틸알루미늄에 노출하는 단계 동안 챔버 압력은 열적 ALD의 하나 이상의 사이클들 동안 챔버 압력과 동일하다.
다양한 실시예들에서, 기판은 열적 ALD의 하나 이상의 사이클들 동안과 동일한 온도를 갖는 페데스탈 상에 기판을 트리메틸알루미늄에 노출하는 단계 동안 놓인다.
다양한 실시예들에서, 노출된 실리콘 옥사이드 표면은 하이드록실 말단기들을 포함한다.
다양한 실시예들에서, 트리메틸알루미늄에 노출하는 단계는 플라즈마를 점화하지 않고 수행된다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; 진공에 커플링하기 위한 적어도 하나의 유출부; 하나 이상의 트리메틸알루미늄 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 하나 이상의 아미노실란 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 하나 이상의 하이드라진 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 장치의 동작들을 제어하기 위한 제어기로서, 실리콘 옥사이드 표면 상에 알루미늄-함유 모이어티를 형성하도록 실리콘 옥사이드 표면을 개질하기 위해 기판으로 트리메틸알루미늄의 도입을 유발하기 위한 머신-판독가능 인스트럭션; 및 열적 ALD에 의해 기판 상의 실리콘 표면에 상대적으로 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 형성하도록 아미노실란 가스 및 하이드라진 가스의 교번하는 펄스들을 유도하기 위한 머신-판독가능 인스트럭션을 포함하는, 제어기를 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 특정한 개시된 실시예들에 따른, 방법의 동작들을 도시하는 프로세스 흐름도이다.
도 2a 내지 도 2g는 특정한 개시된 실시예들에 따라 기술된 동작들을 겪는 기판들의 개략적인 예시들이다.
도 3은 특정한 개시된 실시예들에 따른, 방법의 사이클들의 예를 도시하는 타이밍 시퀀스도이다.
도 4는 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 5는 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 전체적인 이해를 제공하도록 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
반도체 제조 프로세스들은 종종 실리콘 나이트라이드 재료의 증착을 수반한다. 일 예에서, 실리콘 나이트라이드는 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 및 캡슐화 층들로서 반도체 디바이스 제조에 사용될 수도 있다. 컨포멀한 실리콘 나이트라이드 층들은 또한 다른 애플리케이션들에 사용될 수도 있다. 예를 들어, 실리콘 나이트라이드는 메모리 구조체들의 제조 동안 사용될 수도 있다.
실리콘 나이트라이드 층들을 증착하기 위한 종래의 ALD (atomic layer deposition), PEALD (plasma-enhanced atomic layer deposition), CVD (chemical vapor deposition), 및 PECVD (plasma-enhanced chemical vapor deposition) 기법들은 일반적으로 유전체 재료들에 선택적이지 않다. 일부 기법들이 금속에 상대적으로 옥사이드 재료들 상에 금속 옥사이드를 선택적으로 증착하고, 그리고 옥사이드에 상대적으로 금속 재료들 상에 금속 옥사이드를 선택적으로 증착하기 위해 존재하지만, 이러한 기법들은 다른 유전체 재료에 상대적으로 유전체 재료를 선택적으로 증착하기 불충분하다.
실리콘에 상대적으로 실리콘 옥사이드 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법들이 본 명세서에 제공된다. 기법들은 실리콘 옥사이드 표면 상에 알루미늄-함유 모이어티를 형성하도록 기판에 알루미늄-함유 반응물질을 도입하는 단계를 수반하고, 이에 따라, 플라즈마를 점화하지 않고 실리콘 나이트라이드를 형성하도록 아미노실란과 하이드라진 간의 반응을 촉진할 수 있다. 알루미늄-함유 반응물질은 실리콘 옥사이드와 반응성이지만 실리콘에 대한 반응성이 작거나 없도록 선택되어서, 촉매 모이어티만이 실리콘 옥사이드 표면 상에 형성되게 한다. 전이 금속-함유 반응물질이 또한 실리콘 옥사이드 표면 상에 전이 금속-함유 모이어티를 형성하기 위한 알루미늄-함유 반응물질에 대한 대체제로서 사용될 수도 있다. 전이 금속-함유 반응물질은 또한 실리콘 옥사이드와 반응성이지만 실리콘 옥사이드 표면 상의 실리콘 나이트라이드의 선택적인 형성을 허용하도록 실리콘과의 반응성이 적거나 없도록 선택된다. 일부 실시예들은 또한 실리콘 옥사이드를 형성하기 위한 촉매로서 알루미늄-함유 모이어티 또는 전이 금속-함유 모이어티를 사용하는 산화 반응물질 및 실리콘-함유 전구체를 사용함으로써 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 선택적으로 형성하는 단계를 포함할 수도 있다.
본 명세서 기술된 기법들은 열적 ALD (atomic layer deposition) 를 수반한다. 즉, 다양한 실시예들에서, 실리콘 나이트라이드를 형성하기 위해 실리콘 함유 전구체와 하이드라진 간 반응이 플라즈마를 점화하지 않고 수행된다. ALD는 순차적인 자기 제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 기판 표면으로 적어도 하나의 반응물질을 전달하고 흡착시키고, 이어서 부분적인 막층을 형성하도록 하나 이상의 반응물질들과 흡착된 반응물질을 반응시키는 동작들을 포함한다. 예로서, 실리콘 나이트라이드 증착 사이클은 다음의 동작들: (i) 아미노실란의 전달/흡착, (ii) 챔버로부터 실리콘 전구체의 퍼지, (iii) 하이드라진 가스의 전달, 및 (iv) 챔버로부터 하이드라진 가스의 퍼지를 포함할 수도 있다.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층 단위 (layer-by-layer basis) 로 막들을 증착하기 위해 표면 매개 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는 기판 표면은, 기판을 하우징하는 챔버에 제공된 도즈 내의 실리콘 함유 전구체와 같은 제 1 전구체의 가스 상 분포에 노출된다. 이 제 1 전구체의 분자들은 기판 표면 상에 흡착되고, 제 1 전구체의 화학흡착 종 및/또는 물리흡착 분자들을 포함한다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것을 이해해야 한다. 예를 들어, 실리콘 함유 전구체의 흡착된 층은 실리콘 함유 전구체뿐만 아니라 실리콘 함유 전구체의 유도체들을 포함할 수도 있다. 제 1 전구체 도즈 후에, 이어서 챔버는 주로 또는 흡착된 종만 남도록 가스 상으로 남아 있는 제 1 전구체의 대부분 또는 모두를 제거하도록 배기된다. 일부 구현예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 챔버는 가스 상의 제 1 전구체의 분압이 반응을 완화시키게 충분히 낮도록 배기될 수도 있다. 제 2 반응물질, 예컨대 하이드라진이 챔버로 도입되어 제 2 반응물질의 분자들의 일부가 표면 상에 흡착된 제 1 전구체와 반응한다. 일부 프로세스들에서, 제 2 반응물질은 흡착된 제 1 전구체와 즉시 반응한다. 이어서 챔버는 결합되지 않은 제 2 반응물질 분자들을 제거하기 위해 다시 배기될 수도 있다. 상기 기술된 바와 같이, 일부 실시예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 부가적인 ALD 사이클들은 막 두께를 구축하기 위해 사용될 수도 있다.
특정한 실시예들에서, ALD 제 1 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 표면을 고르게 포화시키기 위해 전구체가 기판과 콘택트하기 전에 종료된다. 통상적으로, 전구체 플로우는 이때 턴오프되거나 방향이 바뀌고, 퍼지 가스만 흐른다. 이 반-포화 (sub-saturation) 레짐에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 쓰루풋을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간씩 가변할 수도 있다. 반-포화 레짐에서 동작하는 ALD 프로세스들의 예들은 전체가 본 명세서에 참조로서 인용된, 2013년 10월 23일 출원된 명칭이 "SUB-SATURATED ALD AND CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 14/061,587 호 (현재 미국 특허 제 9,355,839 호) 에 제공된다.
기술된 바와 같이, 일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법들 및 장치들은 CFD (conformal film deposition) 방법들일 수도 있고, 이는 각각 전체가 본 명세서에 참조로서 인용된, 명칭이 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 대체적으로 기술된다.
도 1은 특정한 개시된 실시예들에 따라 수행된 방법의 예시적인 동작들을 도시하는 프로세스 흐름도이다. 동작 102에서, 노출된 실리콘 표면 및 노출된 실리콘 옥사이드 표면을 갖는 기판이 프로세스 챔버로 제공된다. 다양한 실시예들에서 실리콘 표면은 폴리-실리콘 또는 비정질 실리콘 표면일 수도 있다.
프로세스 챔버는 약 10 mTorr 내지 약 10 Torr, 또는 약 1 Torr 내지 약 3 Torr의 챔버 압력으로 설정될 수도 있다. 이러한 챔버 압력들은 본 명세서에 기술된 바와 같이 동작들 102 내지 118 내내 사용될 수도 있다. 기판은 약 25 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃의 기판 온도로 가열될 수도 있다. 본 명세서에 사용된 바와 같은 기판 온도는 기판을 홀딩하는 페데스탈이 설정된 온도를 지칭하고, 일부 실시예들에서, 프로세스 챔버에 제공될 때 페데스탈 상의 기판은 기판을 프로세싱하기 전에 목표된 기판 온도로 가열될 수도 있다는 것이 이해될 것이다. 기판 온도는 본 명세서에 기술된 바와 같이 동작들 102 내지 118 내내 동일할 수도 있다.
기판은, 실리콘 웨이퍼, 예를 들어, 재료의 하나 이상의 층들, 예컨대 유전체, 도전성 또는 반도전성 재료가 상부에 증착된 웨이퍼들을 포함하여 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 하부 층들의 비제한적인 예들은 유전체층들 및 도전층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. 일부 실시예들에서, 기판은 도 2a에 도시된 바와 같이, 실리콘 옥사이드 및 실리콘을 포함한다.
도 2a는 노출된 실리콘 옥사이드 표면 (203) 및 노출된 실리콘 표면들 (202) 을 갖는 기판 (200) 을 도시한다. 실리콘 옥사이드 표면은 주변 공기 (H2O 및 O2) 로부터 또는 H2O 내 1 % 플루오르화 수소산 (HF) 와 같은 약한 에천트로부터 형성될 수도 있는, 하이드록실 말단기들을 포함한다. 실리콘 옥사이드 상의 하이드록실 말단기들은 또한 실리콘 옥사이드 (203) 재료를 형성하도록 사용된 기법으로 인해 형성될 수도 있다. 예를 들어, 실리콘 옥사이드가 CVD (chemical vapor deposition), 또는 ALD (atomic layer deposition) 와 같은 기상 (vapor phase) 방법을 사용하여 증착될 때 하이드록실-종단 표면이 형성될 수도 있다. 노출된 실리콘 표면들 (202) 은 일부 Si-H 결합들을 가질 수도 있다. 일부 실시예들에서, 도 1의 동작 104에서, 기판은 선택가능하게 도 2b에서 수소 (204) 가 노출된 실리콘 표면 (202) 을 수소화하도록 수소에 노출될 수도 있다. 다양한 실시예들에서, 이 동작은 실리콘 나이트라이드를 선택적으로 증착하기 위한 후속하여 논의된 메커니즘이 노출된 실리콘의 표면 상의 완전히 수소화된 실리콘 원자들의 존재에 종속적이지 않을 수도 있기 때문에 선택가능하다.
도 1을 다시 참조하면, 동작 106에서, 실리콘 옥사이드 표면 상에 알루미늄-함유 모이어티를 형성하도록 트리메틸알루미늄에 기판이 노출된다. 이 동작은 플라즈마를 점화하지 않고 수행된다. 다양한 실시예들에서, 트리메틸알루미늄 대신, 또 다른 알루미늄-함유 가스가 실리콘 옥사이드 표면 상에 알루미늄-함유 모이어티를 형성하도록 사용될 수도 있다. 다양한 실시예들에서, 알루미늄-함유 모이어티를 형성하도록 사용된 알루미늄-함유 가스 대신, 전이 금속-함유 반응물질 또는 가스가 실리콘 옥사이드 표면의 표면 상에 전이 금속-함유 모이어티를 형성하도록 사용된다. 전이 금속은 임의의 안정한 전이 금속일 수도 있다. 일부 예들은 이로 제한되는 것은 아니지만, 티타늄 및 니켈을 포함한다. 예를 들어, 일부 실시예들에서, 티타늄-함유 가스가 실리콘 옥사이드 표면 상에 티타늄-함유 모이어티를 형성하도록 사용될 수도 있다. 예시적인 티타늄-함유 가스들은 티타늄 클로라이드 (예를 들어, TiCl4) 및 티타늄 이소프로폭사이드 (예를 들어, Ti(OCH(CH3)2)4) 와 같은 티타늄 알콕사이드들을 포함한다. 다양한 실시예들에서, 동작 106 동안 실리콘 옥사이드 표면 상에 모이어티를 형성하도록 강한 Lewis 산이 사용된다. 예를 들어, 일부 실시예들에서, 테트라키스 디메틸아미노 티타늄 (Ti(N(CH3)2)4) 은 실리콘 옥사이드 표면 상에 모이어티를 형성하도록 사용될 수도 있다. 기판 표면과 매우 반응성일 수도 있기 때문에 동작 106 동안 하이드라이드들이 사용되지 않을 수도 있다. 예를 들어, 알루미늄 하이드라이드 실리콘 옥사이드 표면 상에 알루미늄-함유 모이어티를 형성하기 위해 적합한 알루미늄-함유 가스가 아닐 수도 있다.
일부 실시예들에서, 동작 106 동안 불활성 가스가 흐를 수도 있다. 일부 실시예들에서, 불활성 가스는 기판으로 트리메틸알루미늄을 전달하는 캐리어 가스로서 작용한다. 일부 실시예들에서, 캐리어 가스는 기판을 하우징하는 프로세스 챔버로 트리메틸알루미늄을 전달하기 전에 전달될 수도 있다. 사용될 수도 있는 예시적인 불활성 가스들은 아르곤, 헬륨, 및 네온을 포함한다. 일부 실시예들에서, 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어, 액체 반응물질의 발산 (evaporation), 반응물질의 보다 신속한 전달을 보조하도록 그리고/또는 프로세스 챔버 및/또는 프로세스 챔버 플럼빙으로부터 프로세스 가스들을 제거하기 위한 스윕핑 가스로서 제공될 수도 있다.
도 2c에서, 트리메틸알루미늄이 기판에 도입된다. 도 2d에 도시된 바와 같이, 트리메틸알루미늄은 선택적으로 노출된 실리콘 옥사이드 표면 (203) 이 이제 개질된 실리콘 옥사이드 표면 (213) 상의 Si-OAlMe2를 포함하도록 표면 상에 알루미늄-함유 모이어티들을 형성하기 위해 노출된 실리콘 옥사이드 표면 (203) 의 Si-OH 결합들과 반응한다. 트리메틸알루미늄이 실리콘 표면의 Si-H 종단 표면과 용이하게 반응하지 않기 때문에, 실리콘 표면 (202) 은 반응되지 않은채 남는다. 트리메틸알루미늄은 300 ℃보다 높은 트리메틸알루미늄의 열 분해 온도이거나 이상에서 Si-H 종단 표면과만 반응할 수도 있다. 이에 따라, 본 명세서에 기술된 실시예들은 약 200 ℃ 내지 약 300 ℃의 온도들에서 수행될 수도 있다.
도 1을 다시 참조하면, 동작 108에서, 기판은 기판의 표면 상에 흡착할 수도 있는 아미노실란 전구체에 노출된다. 동작들 108 내지 114는 본 명세서의 다른 곳에 기술된 바와 같이, ALD 사이클을 구성할 수도 있다는 것을 주의한다.
본 명세서에 참조된 아미노실란들은 비스(터트부틸)아미노실란과 같은 아미노실란들 및 트리실릴아민과 같은 실릴아민들을 포함한다. 일부 실시예들에서, 아미노실란 분자들은 실리콘 옥사이드 표면 및 실리콘 표면 모두에 흡착할 수도 있지만, 동작 112에 대해 이하에 기술된 바와 같이, 실리콘 옥사이드는 실리콘 나이트라이드를 형성하기 위한 촉매제로서 사용된 실리콘 옥사이드 표면 상의 알루미늄-함유 모이어티 또는 전이 금속-함유 모이어티의 존재로 인해, 실리콘 나이트라이드 표면이 아니라 실리콘 옥사이드 표면 상에 선택적으로 형성된다. 일부 실시예들에서, 기판의 표면 상의 흡착은 기판의 표면 상에 아미노실란의 박층을 형성할 수도 있다. 박층은 모노레이어 미만일 수도 있고, 약 0.2 Å 내지 약 0.4 Å의 두께를 가질 수도 있다.
동작 106에서 사용된 아미노실란은 다음과 같은 화학식을 갖는다:
Figure pat00005
여기서 x는 1 내지 3 이하의 정수이고, x + y = 4이고, R1 및 R2 각각은 수소 또는 알킬기이다. 다양한 실시예들에서, R1 및 R2은 상이한 알킬기이고, 상이한 구조들 및/또는 상이한 수의 탄소 원자들을 가질 수도 있다. 예를 들어, 일부 실시예들에서, 아미노실란은 모노아미노실란이고, 다음의 화학 구조를 갖는다:
Figure pat00006
R1 및 R2 각각은 수소 또는 알킬기이다.
일부 실시예들에서, 아미노실란은 모노아미노실란, 디아미노실란, 트리아미노실란, 테트라아미노실란 및 이들의 조합들 중 임의의 것일 수도 있다. 이들 예들에 대한 화학 구조는 다음과 같다:
Figure pat00007
Figure pat00008
Figure pat00009
일부 실시예들에서, 실리콘에 결합된 질소-함유 리간드들이 동일한 실리콘 원자에 결합된 또 다른 질소-함유 리간드와 동일할 필요는 없을 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, R1 및 R2는 상이한 알킬 리간드들일 수도 있다. 일부 실시예들에서, 실리콘 원자에 부착된 첫번째 NR1R2 리간드는 동일한 실리콘 원자에 부착된 또 다른 NR1R2 리간드와 동일하지 않을 수도 있고 또는 동일한 알킬 리간드들을 가질 수도 있다. 상기 주지된 바와 같이, R1 및 R2는 임의의 알킬 리간드일 수도 있다. 일 예에서, 아미노실란은 다음의 구조를 갖는, N'N'-디메틸실란디아민일 수도 있다:
Figure pat00010
TEOS (tetraethyl orthosilicate) 와 같은 실리콘 알콕사이드들, 실리콘 할라이드들, 및 실란 할라이드들, 및 실란 (SiH4) 은 이들 전구체들이 실리콘 나이트라이드 막과 상의 표면 종에 대해 충분히 반응성이 없을 수도 있기 때문에 다양한 실시예들에서 실리콘 나이트라이드를 증착하기 위한 실리콘 전구체로서 사용되지 않는다.
도 2e는 개질된 실리콘 옥사이드 표면 (213) 의 표면 상에 흡착된 모노아미노실란의 예를 도시한다. 다양한 실시예들에서, 모노아미노실란은 또한 노출된 실리콘 표면 (202) (미도시) 상에 흡착될 수도 있다.
동작 110에서, 프로세스 챔버는 기판 표면 상에 흡착되지 않은 아미노실란을 제거하도록 선택가능하게 퍼지된다. 챔버를 퍼지하는 것은 다른 동작들에서 사용된 캐리어 가스일 수도 있고 또는 상이한 가스일 수도 있는 퍼지 가스 또는 스윕핑 가스를 흘리는 것을 수반할 수도 있다. 일부 실시예들에서, 동작 106에 대해 상기 기술된 바와 같이, 퍼지 가스는 불활성 가스이다. 일부 실시예들에서, 퍼지하는 것은 챔버를 배기하는 것을 수반할 수도 있다. 예시적인 퍼지 가스들은 아르곤, 질소, 수소, 및 헬륨을 포함한다. 일부 실시예들에서, 동작 108은 프로세스 챔버를 배기하기 위한 하나 이상의 배기 서브페이즈들을 포함할 수도 있다. 대안적으로, 동작 110이 일부 실시예들에서 생략될 수도 있다는 것이 이해될 것이다. 동작 110은 약 0 초 내지 약 60 초, 예를 들어 약 0.01 초와 같은 임의의 적합한 지속기간을 가질 수도 있다. 일부 실시예들에서, 하나 이상의 퍼지 가스들의 플로우 레이트를 상승시키는 것은 동작 110의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 가스 플로우 레이트는 동작 110의 지속기간을 조절하기 위해 다양한 반응물질 열역학적 특징들 및/또는 프로세스 챔버 및/또는 프로세스 챔버 플럼빙의 기하학적 특징들에 따라 조정될 수도 있다. 일 비제한적인 예에서, 퍼지 페이즈의 지속기간은 퍼지 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이는 증착 사이클 시간을 감소시킬 수도 있고, 이는 기판 쓰루풋을 개선할 수도 있다. 퍼지 후, 아미노실란 분자들은 기판 표면 상에 흡착된 채로 남는다. 일부 실시예들에서, 아미노실란 전구체는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 기판을 하우징하는 챔버로 흐른다. 일부 실시예들에서, 실리콘 표면들 상에 흡착된 아미노실란 전구체들은 이 동작 동안 제거될 수도 있다.
동작 112에서, 기판은 노출된 실리콘 표면들에 상대적으로, 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 형성하도록 플라즈마를 사용하지 않고 하이드라진에 노출된다. 일부 아미노실란 전구체들이 노출된 실리콘 표면들에 흡착된 채로 남을 수도 있지만, 하이드라진은 플라즈마가 점화되지 않고 실리콘 표면이 아미노실란과 하이드라진을 반응시키도록 사용된 알루미늄-함유 또는 전이 금속-함유 촉매제를 포함하지 않기 때문에 이들 표면들 상의 아미노실란 전구체들과 반응하지 않을 것이다. 하이드라진은 알루미늄-함유 모이어티가 아미노실란 전구체와 하이드라진 사이의 반응을 촉진하는 촉매제로서 작용하기 때문에 개질된 실리콘 옥사이드 표면의 표면 상에 흡착된 아미노실란 전구체들과 반응할 것이다. Si-OAlMe2가 모노아미노실란과 하이드라진 간의 반응을 촉진하여, 도 2g에 도시된 바와 같이, 실리콘 옥사이드 표면 (223) 상에 실리콘 나이트라이드 (205) 를 형성하는, 예가 도 2f에 도시된다. 따라서, 실리콘 나이트라이드 (205) 는 알루미늄-함유 모이어티를 갖는 표면들 상에 선택적으로 형성되어, 실리콘 표면들 (202) 상에 형성되지 않고, 실리콘 옥사이드 표면들 (223) 상에 선택적으로 형성된다.
동작 110 동안 사용된 하이드라진들은 다음의 구조체를 갖는다:
Figure pat00011
여기서 R3, R4, R5, 및 R6은 각각 수소 또는 알킬기들이다. 예를 들어, 하이드라진이 사용될 수도 있고, 하이드라진은 다음의 구조를 갖는다:
Figure pat00012
또 다른 예에서, 다음의 구조를 갖는 t-부틸하이드라진이 사용될 수도 있다:
Figure pat00013
또 다른 예에서, 다음의 구조를 갖는 테트라메틸 하이드라진이 사용될 수도 있다:
Figure pat00014
일부 실시예들에서, 하나 이상의 하이드라진들이 혼합물로서 도입될 수도 있다. 하이드라진들은 가스로서 기판으로 전달될 수도 있다. 일부 실시예들에서, 하이드라진은 캐리어 가스 및 하이드라진 가스가 기판으로 함께 흐르도록 (co-flow) 캐리어 가스를 사용하여 전달될 수도 있다. 일부 실시예들에서, 캐리어 가스는 동작 106에 대해 상기 기술된 바와 같이, 불활성 가스일 수도 있다. 일부 실시예들에서, 캐리어 가스는 기판을 하우징하는 챔버 내로 하이드라진 가스을 전달하기 전에 방향전환된다. 일부 실시예들에서, 하이드라진은 기판의 업스트림에서 액체상으로부터 기화될 수도 있고 가스로서 챔버 내로 도입될 수도 있다. 기화된 하이드라진은 유사하게 기화된 하이드라진 전구체 캐리어 가스와 함께 흘림으로써 캐리어 가스를 사용하여 도입될 수도 있다. 유사하게, 캐리어 가스는 일부 실시예들에서 기화된 하이드라진 전구체를 기판으로 전달하기 전에 방향전환될 수도 있다. 동작 112에서 사용된 하이드라진은 약 100 sccm 내지 약 10000 sccm, 또는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 기판을 하우징하는 챔버로 전달될 수도 있다. 상기 기술된 바와 같이, 기판을 하우징하는 챔버의 챔버 압력은 동작들 102 내지 118 내내 일정할 수도 있다. 즉, 다양한 실시예들에서, 동작 112 동안 챔버 압력은 동작들 108, 110, 및 114 동안 챔버 압력과 같다. 다양한 실시예들에서 동작 112 동안 챔버 압력은 동작들 104, 또는 동작 106, 또는 동작 104 및 동작 106 둘다 동안과 같을 수도 있다.
동작 114에서, 챔버는 모든 잔여 부산물들을 제거하도록 선택가능하게 퍼지된다. 동작 112은 동작 110에 대해 상기 기술된 조건들 중 임의의 조건들을 사용하여 퍼지될 수도 있다. 예를 들어, 일부 실시예들에서, 챔버는, 과잉 분자들 및/또는 잔여 부산물들을 챔버로부터 펌핑하도록 아르곤과 같은 불활성 가스를 흘림으로써 퍼지된다.
동작 116에서, 목표된 두께의 막이 증착되었는지 여부가 결정된다. 그렇지 않다면, 동작들 108 내지 112는 목표된 두께의 실리콘 나이트라이드를 증착하도록 충분한 사이클들로 반복된다. 목표된 두께의 실리콘 나이트라이드를 증착하도록 임의의 적합한 수의 증착 사이클들이 ALD 프로세스에 포함될 수도 있다. 예를 들어, 약 20 내지 약 40 증착 사이클들이 개시된 실시예들을 사용하여 기판 상에 실리콘 나이트라이드 막을 증착하도록 수행될 수도 있다. 일부 실시예들에서, 20 내지 40 증착들이 수행된다.
도 1 및 본 명세서에 제공된 기술이 하이드라진 노출 전에 아미노실란 전구체에 대한 노출이 발생하는 것을 기술하지만, 일부 실시예들에서, 하이드라진 노출은 아미노실란 전구체 노출 전에 수행될 수도 있다는 것이 이해될 것이라는 것을 주의한다. 즉, 일부 실시예들에서, 동작 106 후에, 동작 112이 수행될 수도 있고, 동작 114과 같은 퍼지 동작이 이어지고, 이어서 동작 108이 수행될 수도 있고, 퍼지 동작 110이 이어질 수도 있다. 이러한 실시예들에서, 기판 상에 전이 금속-함유 모이어티의 존재는 아미노실란을 제공하기 전에 하이드라진이 기판에 제공된다면 여전히 하이드라진과 아미노실란 간 반응을 촉진할 것이다. 일부 실시예들에서, 동작 106에 이어서, 동작 108이 수행되고, 동작 110, 및 동작 112, 및 동작 114이 이어진다.
동작 118에서, 동작 106은 선택가능하게 동작들 108 내지 114를 반복하는 매 n 사이클들마다 반복된다. 동작들 108 내지 114를 수행하는 것이 실리콘 나이트라이드의 열적 ALD의 일 사이클이 구성할 수도 있어서, 동작 106은 실리콘 나이트라이드의 열적 ALD의 매 n 사이클마다 수행될 수도 있다. 다양한 실시예들에서, n은 20 내지 40 이하의 정수이다. 예를 들어, 일부 실시예들에서, 동작 106은 동작들 108 내지 114를 반복하는 매 20 내지 매 40 사이클마다 수행된다. 동작 106은 아미노실란과 하이드라진 사이의 반응을 촉진하기 충분한 전이 금속을 갖도록 기판의 표면 상에 전이 금속-함유 모이어티의 양을 보충하도록 실리콘 나이트라이드의 열적 ALD의 매 n 사이클마다 수행된다. 일 예에서, 기판은 모노아미노실란과 t-부틸하이드라진 간의 반응을 촉진하기 위해 기판 상에 충분한 알루미늄이 있다는 것을 보장하도록 복수의 사이클들의 실리콘 나이트라이드의 ALD 사이에 트리메틸알루미늄에 주기적으로 노출된다. 기판 상에 충분한 알루미늄이 있다면, 반응은 촉진되지 않을 수도 있고 아미노실란들은 하이드라진들과 반응하지 않을 수도 있다.
본 명세서에 기술된 실시예들이 실리콘 표면에 상대적으로 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법들을 기술하지만, 유사한 기법들이 실리콘 표면에 상대적으로 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 선택적으로 증착하기 위해 수해오딜 수도 있다는 것을 주의한다. 예를 들어, 트리메틸알루미늄이 실리콘 옥사이드 표면을 개질하도록 사용되면, 실리콘 옥사이드 표면 상에 실리콘 옥사이드 상에 선택적으로 증착하기 위해 개질된 알루미늄-함유 모이어티가 선택된 실리콘-함유 전구체와 선택된 산화제 간의 반응을 촉진하도록 실리콘-함유 전구체들 및 산화제들이 선택될 수도 있다. 트리메틸알루미늄이 실리콘 표면에 대해 반응성이 작거나 없기 때문에, 전이 금속 모이어티를 촉매제로서 사용하는 실리콘 옥사이드 또는 실리콘 나이트라이드 막들은, 실리콘 표면이 각각의 재료를 증착하기 위해 열적 ALD 반응을 촉진할 수 있는 종단기들을 갖지 않기 때문에, 실리콘 표면 상에 실리콘 옥사이드 또는 실리콘 나이트라이드를 각각 증착하지 않고 실리콘 옥사이드 표면 상에 선택적으로 증착될 수 있다.
도 3은 특정한 개시된 실시예들에 따른, 방법의 사이클들의 예를 도시하는 타이밍 시퀀스도를 제공한다. 타이밍 시퀀스도는 캐리어 가스, 아미노실란 가스, 하이드라진 가스, 및 트리메틸알루미늄 가스 (도 3에 "TMA"로 도시됨) 의 플로우들의 온 또는 오프 페이즈를 도시한다. 트리메틸알루미늄이 도 3에 도시되지만, 도 1의 동작 106에 사용하기 위한 임의의 적합한 가스가 트리메틸알루미늄 대신 사용될 수도 있다는 것이 이해될 것이다.
도 3은 트리메틸알루미늄 노출 페이즈 301A 및 2 개의 증착 사이클들 - 증착 사이클 310A 및 증착 사이클 310B - 을 갖는 프로세스 300을 포함한다. 2 개의 증착 사이클들만이 도시되지만, 보다 많은 증착 사이클들이 반복될 수도 있다는 것을 주의한다. 부가적으로, 부가적인 트리메틸알루미늄 노출 페이즈들이 매 n 증착 사이클들, 예컨대 매 20 내지 40 증착 사이클들마다 주기적으로 사용될 수도 있다.
트리메틸알루미늄 노출 페이즈 301 동안, 캐리어 가스가 흐를 수도 있다. 캐리어 가스는 동작들 106 및 108에 대해 상기 기술된 바와 같이 임의의 가스일 수도 있다. 다양한 실시예들에서, 캐리어 가스는 아르곤, 헬륨, 또는 네온과 같은 불활성 가스이다. 트리메틸알루미늄 노출 페이즈 301은 도 1의 동작 106에 대응할 수도 있다는 것을 주의한다. 트리메틸알루미늄 노출 페이즈 301 동안, 아미노실란 플로우 및 하이드라진 플로우들이 턴오프되는 한편, 트리메틸알루미늄 플로우는 턴온된다. 증착 사이클 310A은 아미노실란 노출 페이즈 357A, 퍼지 페이즈 259A, 하이드라진 노출 페이즈 331A, 및 퍼지 페이즈 333A를 포함한다. 증착 사이클 310A에서 이들 페이즈들은 본 명세서의 다른 곳에 기술된 바와 같이 열적 ALD 사이클을 구성할 수도 있다. 도 1의 동작 108에 대응할 수도 있는, 아미노실란 노출 페이즈 357A에서, 캐리어 가스는 아미노실란 플로우가 턴온되는 동안, 하이드라진 플로우들 및 트리메틸알루미늄 플로우가 턴오프되는 동안 계속해서 흐를 수도 있다. 퍼지 페이즈 359A에서, 캐리어 가스 플로우는 계속해서 턴온될 수도 있다. 일부 실시예들에서, 캐리어 가스 플로우는 본 명세서의 다른 곳에 기술된 바와 같이 퍼지 또는 스윕핑 가스로서 작용하도록 챔버 내로 지향된다. 퍼지 페이즈 359A 동안, 아미노실란 가스 플로우, 하이드라진 가스 플로우, 및 트리메틸알루미늄 가스 플로우들이 턴오프된다. 퍼지 페이즈 359A는 도 1의 동작 110에 대응할 수도 있다. 하이드라진 노출 페이즈 331A에서, 일부 예들에서, 사용될 수도 있는, 캐리어 가스는 프로세싱될 기판을 하우징하는 챔버로 하이드라진을 제공하도록 계속해서 흐를 수도 있다. 하이드라진 노출 페이즈 331 동안, 아미노실란 가스 플로우 및 트리메틸알루미늄 가스 플로우가 턴오프되는 한편, 하이드라진 가스 플로우가 턴온된다. 하이드라진 노출 페이즈 331A는 도 1의 동작 112에 대응할 수도 있다. 퍼지 페이즈 333A 동안, 캐리어 가스는 아미노실란 가스, 하이드라진 가스, 및 트리메틸알루미늄 가스 플로우들이 턴오프되는 동안, 계속해서 흐를 수도 있다. 상기 기술된 바와 같이, 캐리어 가스는 일부 실시예들에서, 반응 부산물들을 펌핑아웃하도록 사용된 불활성 가스일 수도 있다. 퍼지 페이즈 333A는 도 1의 동작 114에 대응할 수도 있다.
증착 사이클 310A의 페이즈들은 도 3에 도시된 바와 같이 증착 사이클 310B에서 반복될 수도 있다. 페이즈들을 반복하는 것은 도 1의 동작 116에 대응할 수도 있다. 증착 사이클 310B는 동작 108의 반복된 동작에 대응할 수도 있는 아미노실란 노출 페이즈 357B, 동작 110의 반복된 동작에 대응할 수도 있는 퍼지 페이즈 359B, 동작 112의 반복된 동작에 대응할 수도 있는 하이드라진 노출 페이즈 331B, 및 동작 114의 반복된 동작에 대응할 수도 있는 퍼지 페이즈 333B를 포함한다. 아미노실란 노출 페이즈 357B 동안, 캐리어 가스 플로우 및 아미노실란 가스 플로우들이 턴온되는 한편, 하이드라진 및 트리메틸알루미늄 가스 플로우들은 턴오프된다. 퍼지 페이즈 359B 동안, 캐리어 가스 플로우는 계속해서 턴온되는 한편, 아미노실란, 하이드라진, 및 트리메틸알루미늄 가스 플로우들은 턴오프된다. 하이드라진 노출 페이즈 331B 동안, 캐리어 가스 플로우 및 하이드라진 가스 플로우는 턴온되는 한편, 아미노실란 및 트리메틸알루미늄 가스는 턴오프된다. 퍼지 페이즈 333B 동안, 캐리어 가스 플로우는 온인채로 남아 있는 한편, 아미노실란 가스 플로우, 하이드라진 가스 플로우, 및 트리메틸알루미늄 가스 플로우들이 턴오프된다.
장치
도 4는 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (402) 를 갖는 ALD 프로세스 스테이션 (400) 의 실시예의 개략적 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (400) 은 공통 저압 프로세스 툴 분위기 내에 포함될 수도 있다. 예를 들어, 도 5는 멀티-스테이션 프로세싱 툴 (500) 의 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 논의된 것들을 포함하는 ALD 프로세스 스테이션 (400) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (400) 은 분배 샤워헤드 (406) 로 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (401a) 과 유체적으로 통신한다. 반응 물질 전달 시스템 (401a) 은 샤워헤드 (406) 로의 전달을 위해 프로세스 가스들, 예컨대 트리메틸알루미늄, 또는 전이 금속-함유 가스, 또는 아미노실란 전구체 가스, 또는 하이드라진 가스를 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (804) 을 포함한다. 하나 이상의 혼합 용기 유입부 밸브들 (420) 이 혼합 용기 (404) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
예로서, 도 4의 실시예는 혼합 용기 (404) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점 (403) 을 포함한다. 일부 실시예들에서, 기화 지점 (403) 은 가열된 기화기일 수도 있다. 이러한 증발기들로부터 생산된 포화된 반응 물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 방법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 포함할 수도 있다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 상승시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (403) 의 전달 파이프 다운스트림은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (404) 가 또한 열 추적될 수도 있다. 일 비제한적 예에서, 기화 지점 (403) 의 파이프 다운스트림은 혼합 용기 (404) 에서 대략 100 ℃에서 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질이 액체 주입기에서 기화될 수도 있다. 예를 들어, 이러한 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 실시예에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (403) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (404) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (406) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (403) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세싱 스테이션 (400) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
샤워헤드 (406) 는 프로세스 가스들을 기판 (412) 을 향해 분배한다. 도 4에 도시된 실시예에서, 기판 (412) 은 샤워헤드 (406) 밑에 위치되고 페데스탈 (408) 상에 놓인 것으로 도시된다. 샤워헤드 (406) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (412) 으로 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 을 기판 (412) 과 샤워헤드 (406) 사이의 볼륨에 노출하도록 상승되거나 하강될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (450) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (408) 의 높이를 조정하는 것은 플라즈마가 점화되는 실시예들의 프로세스에서 플라즈마 밀도로 하여금 플라즈마 활성화 사이클들 동안 가변하게 할 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (408) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (408) 로부터 기판 (412) 의 제거를 허용하도록 하강될 수도 있다.
일부 실시예들에서, 페데스탈 (408) 은 히터 (410) 를 통해 온도 제어될 수도 있다. 일부 실시예들에서, 페데스탈 (408) 은 개시된 실시예들에 기술된 바와 같이 실리콘 나이트라이드 막들의 선택적인 증착 동안 약 25 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃의 온도로 가열될 수도 있다. 일부 실시예들에서, 페데스탈은 약 25 ℃ 내지 약 400 ℃의 온도, 또는 약 200 ℃ 내지 약 300 ℃의 온도로 설정된다.
또한, 일부 실시예들에서, 프로세싱 스테이션 (400) 을 위한 압력 제어가 버터플라이 밸브 (418) 에 의해 제공될 수도 있다. 도 4의 실시예에 도시된 바와 같이, 버터플라이 밸브 (418) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세싱 스테이션 (400) 의 압력 제어는 또한 프로세싱 스테이션 (400) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다.
일부 실시예들에서, 샤워헤드 (406) 의 위치는 기판 (412) 과 샤워헤드 (406) 사이의 체적을 변화시키도록 페데스탈 (408) 에 상대적으로 조정될 수도 있다. 또한, 페데스탈 (408) 및/또는 샤워헤드 (406) 의 수직 위치는 본 개시의 범위 내에서 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
플라즈마가 상기 논의된 바와 같이 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (406) 및 페데스탈 (408) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (414) 및 매칭 네트워크 (416) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (414) 및 매칭 네트워크 (416) 는 목표된 조성의 라디칼 종들을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 약 150 W 내지 약 6000 W이다. RF 전력 공급부 (414) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (414) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒보다 큰, 또는 27 ㎒보다 큰, 또는 40 ㎒보다 큰, 또는 60 ㎒보다 큰 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (450) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 단계를 위한 모든 인스트럭션들이 이 프로세스 단계와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들이 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 트리메틸알루미늄과 같은 전이 금속-함유 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 레시피 페이즈는 불활성 및/또는 아미노실란 전구체 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 2 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3, 후속하는 레시피 페이즈는 불활성 및/또는 반응 가스의 플로우 레이트를 조절하거나 중단시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 하이드라진 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 4 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 5, 후속하는 레시피 페이즈는 불활성 및/또는 반응 가스의 플로우 레이트를 조절하거나 중단시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 5 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고 그리고/또는 개시된 실시예들의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 제어기 (450) 는 도 5의 시스템 제어기 (550) 대해 이하에 기술된 임의의 특징들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 프로세싱 툴에 포함될 수도 있다. 도 5는 인바운드 로드록 (502) 및 아웃바운드 로드록 (504) 중 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (502) 및 아웃바운드 로드록 (504) 을 갖는, 멀티스테이션 프로세싱 툴 (500) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (506) 은, 카세트로부터 포드 (508) 를 통해 인바운드 로드록 (502) 으로 로딩된 웨이퍼들을 대기 포트 (510) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (502) 내의 페데스탈 (512) 상에 로봇 (506) 에 의해 배치되고, 대기 포트 (510) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (502) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 선택가능한 H2O 내 HF의 약한 에천트에 노출될 수도 있고, 또는 프로세싱 챔버 (514) 내로 도입되기 전에 로드록 내 분위기에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (502) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (514) 로의 챔버 이송 포트 (516) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 5에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (514) 는 도 5에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 히팅된 페데스탈 (스테이션 1에 대해 518로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD와 PEALD 프로세스 모드 사이에서 스위칭할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (514) 는 ALD 프로세스 스테이션 및 PEALD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (514) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 5는 프로세싱 챔버 (514) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (590) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (590) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 5는 또한 프로세스 툴 (500) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (550) 의 실시예를 도시한다. 시스템 제어기 (550) 는 하나 이상의 메모리 디바이스들 (556), 하나 이상의 대용량 저장 디바이스들 (554), 및 하나 이상의 프로세서들 (552) 을 포함할 수도 있다. 프로세서들 (552) 은 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 는 프로세스 툴 (500) 의 모든 액티비티들을 제어한다. 시스템 제어기 (550) 는 대용량 저장 디바이스 (554) 에 저장되고 메모리 디바이스 (556) 내로 로딩되어 프로세서 (552) 상에서 실행되는 시스템 제어 소프트웨어 (558) 를 실행한다. 대안적으로, 제어 로직은 제어기 (550) 내에서 하드 코딩될 수 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGA (field-programmable gate arrays)) 등이 이들 목적들을 위해서 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 필적할만한 하드 코딩된 로직이 대신에 사용될 수도 있다. 시스템 제어 소프트웨어 (558) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (500) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (558) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (550) 와 연관된 대용량 저장 디바이스 (554) 및/또는 메모리 디바이스 (556) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (518) 상에 기판을 로딩하고 기판과 프로세스 툴 (500) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 트리메틸알루미늄과 같은 전이 금속-함유 가스들, 아미노실란 가스들, 및 하이드라진 가스들, 캐리어 가스들 및/또는 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따른 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따른 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (550) 의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (500) 의 아날로그 및 디지털 출력 접속부들에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, 압력 센서들 (예컨대 압력계들), 써모커플들, 등을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하도록 이들 센서들로부터 데이터를 사용할 수도 있다.
시스템 제어기 (550) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (550) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이고, 장치가 개시된 실시예들에 따른 방법을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (550) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (550) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (550) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (550) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (550) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (550) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (550) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (550) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (550) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (550) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (550) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 적절한 장치는, 각각 전체가 본 명세서에 참조로서 인용된, 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 더 논의되고 기술된다.
본 명세서에 기술된 장치/프로세스는 예를 들어 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (4) 스핀 온 또는 스프레이 온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스에 레지스트 패턴을 전사하는 단계, 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함할 수 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (12)

  1. 실리콘 나이트라이드를 증착하는 방법에 있어서,
    하이드록실 말단기들을 포함하는 제 1 노출된 표면 및 Si-H 결합들을 포함하는 제 2 노출된 표면을 갖는 기판을 제공하는 단계;
    상기 제 2 노출된 표면에 대해 상기 제 1 노출된 표면 상에 알루미늄-함유 모이어티 (moiety) 를 선택적으로 형성하도록 상기 기판을 트리메틸알루미늄에 노출하는 단계; 및
    열적 ALD (atomic layer deposition) 의 하나 이상의 사이클들을 수행하는 단계를 포함하고, 상기 사이클 각각은 상기 제 2 노출된 표면에 대해 상기 제 1 노출된 표면 상에 실리콘 나이트라이드를 선택적으로 형성하기 위해 상기 기판을 아미노실란 전구체에 노출하고 플라즈마를 점화하지 않고 상기 기판을 하이드라진에 노출하는 단계를 포함하고,
    상기 하이드라진은 다음의 화학 구조를 갖고,
    Figure pat00015

    R3, R4, R5, 및 R6은 각각 수소 또는 알킬기인, 실리콘 나이트라이드를 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 하이드라진은 t-부틸하이드라진 및 테트라메틸 하이드라진으로 구성된 그룹으로부터 선택되는, 실리콘 나이트라이드를 증착하는 방법.
  3. 제 1 항에 있어서,
    상기 아미노실란 전구체는 다음의 화학식을 갖고,
    Figure pat00016

    여기서 x는 1 이상 3 이하의 정수이고, x + y = 4이고, 그리고 R1 및 R2 각각은 수소 또는 알킬기인, 실리콘 나이트라이드를 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 기판은 챔버 내에 배치되고, 그리고 상기 기판을 상기 트리메틸알루미늄에 노출하는 단계 동안 챔버 압력은 상기 열적 ALD의 하나 이상의 사이클들 동안 상기 챔버 압력과 동일한, 실리콘 나이트라이드를 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 기판은 상기 열적 ALD의 하나 이상의 사이클들 동안과 동일한 온도를 갖는 페데스탈 상에 상기 기판을 상기 트리메틸알루미늄에 노출하는 단계 동안 놓이는, 실리콘 나이트라이드를 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 기판을 상기 트리메틸알루미늄에 노출하는 단계는 플라즈마를 점화하지 않고 수행되는, 실리콘 나이트라이드를 증착하는 방법.
  7. 기판의 노출된 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법에 있어서,
    노출된 실리콘 옥사이드 표면 및 노출된 실리콘 표면을 갖는 기판을 제공하는 단계;
    상기 노출된 실리콘 표면에 대해 상기 노출된 실리콘 옥사이드 표면 상에 알루미늄-함유 모이어티를 선택적으로 형성하도록 상기 기판을 비-하이드라이드 알루미늄-함유 가스에 노출하는 단계; 및
    열적 ALD (atomic layer deposition) 의 하나 이상의 사이클들을 수행하는 단계를 포함하고, 상기 사이클 각각은 상기 노출된 실리콘 표면에 대해 상기 노출된 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 형성하기 위해 상기 기판을 아미노실란 전구체에 노출하고 플라즈마를 점화하지 않고 상기 기판을 하이드라진에 노출하는 단계를 포함하고,
    상기 하이드라진은 다음의 화학 구조를 갖고,
    Figure pat00017

    R3, R4, R5, 및 R6은 각각 수소 또는 알킬기인, 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법.
  8. 제 7 항에 있어서,
    상기 하이드라진은 t-부틸하이드라진 및 테트라메틸 하이드라진으로 구성된 그룹으로부터 선택되는, 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법.
  9. 제 7 항에 있어서,
    상기 아미노실란 전구체는 다음의 화학식을 갖고,
    Figure pat00018

    여기서 x는 1 이상 3 이하의 정수이고, x + y = 4이고, 그리고 R1 및 R2 각각은 수소 또는 알킬기인, 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법.
  10. 제 7 항에 있어서,
    상기 기판은 챔버 내에 배치되고, 그리고 상기 기판을 상기 비-하이드라이드 알루미늄-함유 가스에 노출하는 단계 동안 챔버 압력은 상기 열적 ALD의 하나 이상의 사이클들 동안 상기 챔버 압력과 동일한, 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법.
  11. 제 7 항에 있어서,
    상기 기판은 상기 열적 ALD의 하나 이상의 사이클들 동안과 동일한 온도를 갖는 페데스탈 상에 상기 기판을 상기 비-하이드라이드 알루미늄-함유 가스에 노출하는 단계 동안 놓이는, 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법.
  12. 제 7 항에 있어서,
    상기 기판을 상기 비-하이드라이드 알루미늄-함유 가스에 노출하는 단계는 플라즈마를 점화하지 않고 수행되는, 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법.
KR1020230007211A 2017-03-08 2023-01-18 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착 KR102542125B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/453,815 US10242866B2 (en) 2017-03-08 2017-03-08 Selective deposition of silicon nitride on silicon oxide using catalytic control
US15/453,815 2017-03-08
KR1020180027176A KR102491414B1 (ko) 2017-03-08 2018-03-08 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180027176A Division KR102491414B1 (ko) 2017-03-08 2018-03-08 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착

Publications (2)

Publication Number Publication Date
KR20230014821A true KR20230014821A (ko) 2023-01-30
KR102542125B1 KR102542125B1 (ko) 2023-06-13

Family

ID=63444356

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180027176A KR102491414B1 (ko) 2017-03-08 2018-03-08 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR1020230007211A KR102542125B1 (ko) 2017-03-08 2023-01-18 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020180027176A KR102491414B1 (ko) 2017-03-08 2018-03-08 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착

Country Status (6)

Country Link
US (2) US10242866B2 (ko)
JP (1) JP2018152560A (ko)
KR (2) KR102491414B1 (ko)
CN (1) CN108597983B (ko)
SG (1) SG10201801817QA (ko)
TW (1) TW201843329A (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US11450525B2 (en) * 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
KR20210106003A (ko) * 2019-02-14 2021-08-27 엔테그리스, 아이엔씨. 질화규소의 선택적 증착
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210050953A (ko) 2019-10-29 2021-05-10 삼성전자주식회사 집적회로 장치 및 그 제조 방법
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN112038228B (zh) * 2020-08-27 2022-08-09 上海华力集成电路制造有限公司 改善TiN薄膜连续性的表面处理方法
KR102406174B1 (ko) * 2020-09-08 2022-06-08 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050208778A1 (en) * 2004-03-22 2005-09-22 Weimin Li Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
KR20060034467A (ko) * 2004-10-19 2006-04-24 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
KR20150123293A (ko) * 2006-02-23 2015-11-03 아주로 세미컨턱터스 아게 질화물 반도체 컴포넌트 및 이의 제조를 위한 프로세스
KR20160118968A (ko) * 2015-04-03 2016-10-12 램 리써치 코포레이션 Ald 및 ale에 의해 컨포멀한 막들의 증착
KR20160130165A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
WO2016205196A2 (en) * 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
EP0559119B1 (en) 1992-03-02 2000-10-11 Matsushita Electric Industrial Co., Ltd. Chemically adsorbed film and method of manufacturing the same
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
JP4492783B2 (ja) * 2001-09-12 2010-06-30 日本電気株式会社 半導体装置及びその製造方法
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6846752B2 (en) 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
KR100593752B1 (ko) * 2005-01-18 2006-06-28 삼성전자주식회사 불순물들이 제거된 실리콘 질화막을 구비하는 반도체소자의 제조방법
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
JP2009539237A (ja) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US7858525B2 (en) 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
WO2009106433A1 (en) 2008-02-27 2009-09-03 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2011216862A (ja) 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
CN105289536B (zh) 2011-02-14 2019-07-09 迪奥内克斯公司 液相色谱柱
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
WO2013134653A1 (en) 2012-03-09 2013-09-12 Air Products And Chemicals, Inc. Methods for making silicon containing films on thin film transistor devices
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
DE112013007056T5 (de) 2013-06-27 2016-03-17 Intel Corporation Nicht lithographisch strukturierte Ausrichtungsunterstützungsschichten für gezielte Selbstanordnung
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9873613B2 (en) 2014-02-28 2018-01-23 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
JP6604596B2 (ja) 2014-09-26 2019-11-13 インテル・コーポレーション 半導体デバイス用の選択的ゲートスペーサ
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
CN113373428B (zh) 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9816180B2 (en) * 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US20180053659A1 (en) 2015-02-26 2018-02-22 Applied Materials, Inc. Methods and apparatus for deposition processes
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
KR102377376B1 (ko) 2015-06-26 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 실리콘 산화물 막들의 선택적 증착
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050208778A1 (en) * 2004-03-22 2005-09-22 Weimin Li Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
KR20060034467A (ko) * 2004-10-19 2006-04-24 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
KR20150123293A (ko) * 2006-02-23 2015-11-03 아주로 세미컨턱터스 아게 질화물 반도체 컴포넌트 및 이의 제조를 위한 프로세스
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
KR20160118968A (ko) * 2015-04-03 2016-10-12 램 리써치 코포레이션 Ald 및 ale에 의해 컨포멀한 막들의 증착
KR20160130165A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
WO2016205196A2 (en) * 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same

Also Published As

Publication number Publication date
US20190148128A1 (en) 2019-05-16
KR20180103018A (ko) 2018-09-18
US20180261447A1 (en) 2018-09-13
JP2018152560A (ja) 2018-09-27
US10777407B2 (en) 2020-09-15
KR102542125B1 (ko) 2023-06-13
SG10201801817QA (en) 2018-10-30
KR102491414B1 (ko) 2023-01-20
TW201843329A (zh) 2018-12-16
CN108597983B (zh) 2024-01-30
CN108597983A (zh) 2018-09-28
US10242866B2 (en) 2019-03-26

Similar Documents

Publication Publication Date Title
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR20220124668A (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR20160113014A (ko) 초박 원자층 증착 막 정확도 두께 제어
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
US11404275B2 (en) Selective deposition using hydrolysis

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant