KR20220124668A - Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막 - Google Patents

Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막 Download PDF

Info

Publication number
KR20220124668A
KR20220124668A KR1020220109139A KR20220109139A KR20220124668A KR 20220124668 A KR20220124668 A KR 20220124668A KR 1020220109139 A KR1020220109139 A KR 1020220109139A KR 20220109139 A KR20220109139 A KR 20220109139A KR 20220124668 A KR20220124668 A KR 20220124668A
Authority
KR
South Korea
Prior art keywords
wafer
cycles
nitride film
nitrogen
exposing
Prior art date
Application number
KR1020220109139A
Other languages
English (en)
Inventor
제임스 사무엘 심즈
캐서린 메세드 켈츠너
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220124668A publication Critical patent/KR20220124668A/ko
Priority to KR1020230138867A priority Critical patent/KR20230148308A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Superconductor Devices And Manufacturing Methods Thereof (AREA)

Abstract

단일의 반응기 내에서 하나 이상의 PEALD (plasma-enhanced atomic layer deposition) 사이클들 및 하나 이상의 열적 ALD 사이클들을 사용하여 나이트라이드 막을 증착하기 위한 방법들 및 장치들이 제공된다. 열적 ALD 사이클들의 수는 PEALD 사이클들의 수 이상일 수 있다. 열적 ALD 사이클들과 PEALD 사이클들의 통합은 나이트라이드 막의 특성들의 보다 우수한 미세-튜닝을 허용할 수 있다. 일부 구현예들에서, 나이트라이드 막은 실리콘 나이트라이드 막이다. 실리콘 나이트라이드 막은 보다 큰 굴절률을 가진 보다 실리콘-풍부 막을 허용하게 미세-튜닝될 수 있다. 일부 구현예들에서, PEALD 사이클들과 열적 ALD 사이클들은 동일한 웨이퍼 온도로 유지될 수 있다.

Description

PEALD 프로세스 및 열적 ALD 프로세스에 의해 형성된 나이트라이드 막{NITRIDE FILM FORMED BY PLASMA-ENHANCED AND THERMAL ATOMIC LAYER DEPOSITION PROCESS}
본 개시는 일반적으로 웨이퍼 상의 나이트라이드 막의 증착, 보다 구체적으로 PEALD (plasma-enhanced atomic layer deposition) 프로세스들과 열적 ALD 프로세스들의 혼합 사이클에 의한 웨이퍼 상의 나이트라이드 막의 증착에 관한 것이다.
반도체 산업에서 디바이스 및 피처들의 사이즈가 계속해서 축소됨에 따라, 그리고 또한 3-D 디바이스 구조체들이 IC (integrated circuit) 설계에서 보다 주요해짐에 따라, 박형 컨포멀한 막들 (편평하지 않을지라도, 하부 구조체의 형상에 비해 균일한 두께를 갖는 재료의 막들) 을 증착하는 능력은 계속해서 중요해질 것이다. ALD는 단일 사이클의 ALD이 단일의 재료 박층만을 증착한다는 사실에 기인하여 컨포멀한 막들의 증착에 잘 맞는 막 형성 기법이고, 두께는 막-형성 화학 반응 자체 전에 웨이퍼 표면 상에 흡착할 수도 있는 하나 이상의 전구체 반응물질들의 양에 의해 제한된다 (즉, 흡착-제한된 층을 형성함). 이어서 복수의 "ALD 사이클들"이 목표된 두께의 막을 구축하도록 사용될 수도 있고, 그리고 층 각각이 얇고 컨포멀하기 때문에, 발생되는 막은 하부 디바이스 구조체의 형상을 대체로 따른다.
반도체 디바이스 제조는 나이트라이드 막들의 증착을 수반할 수도 있다. 예를 들어, 실리콘 나이트라이드 박막들은 특유의 물리적 특성, 화학적 특성, 그리고 기계적 특성을 갖고 따라서 다양한 애플리케이션들에서 사용된다. 예를 들어, 실리콘 나이트라이드 막들은 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 캡슐화 층들, 트랜지스터들 내의 스트레인된 (strained) 막들, 등에 사용될 수도 있다. IC 설계에서 실리콘 나이트라이드 막들의 역할에 기인하여, 실리콘 나이트라이드 막들이 저 습식 에칭 레이트 또는 저 건식 에칭 레이트를 갖는 것이 종종 바람직하다. 그러나, ALD를 통한 이러한 에칭-내성이 있는 실리콘 나이트라이드 막들의 형성은 통상적인 열 예산 한계 내에서 달성하기 어렵다.
이 개시는 웨이퍼 상에서 복수의 혼합된 모드 ALD (atomic layer deposition) 사이클들을 적용하는 단계를 포함하는 방법에 관한 것이다. 혼합된 모드 ALD 사이클 각각은 하나 이상의 PEALD (plasma-enhanced atomic layer deposition) 사이클들 및 하나 이상의 열적 ALD 사이클들을 포함하고, PEALD 사이클 각각과 열적 ALD 사이클 각각은 질소-함유 반응물질을 웨이퍼 상의 나이트라이드 층으로 변환한다.
일부 구현예들에서, 복수의 혼합된 모드 ALD 사이클들을 적용하는 단계는 하나 이상의 PEALD 사이클들을 적용하는 단계를 포함하고, 하나 이상의 PEALD 사이클들을 적용하는 단계는: 증기상의 실리콘-함유 전구체의 제 1 도즈를 웨이퍼로 도입하는 것; 웨이퍼를 질소-함유 반응물질의 플라즈마에 노출시키는 것; 및 질소-함유 반응물질을 웨이퍼 상의 나이트라이드 층으로 변환하는 것을 포함하고, 나이트라이드 층은 실리콘 나이트라이드 층이다. 일부 구현예들에서, 복수의 혼합된 모드 ALD 사이클들을 적용하는 단계는 하나 이상의 열적 ALD 사이클들을 적용하는 단계를 포함하고, 하나 이상의 열적 ALD 사이클들을 적용하는 단계는: 증기상의 실리콘-함유 전구체의 제 2 도즈를 웨이퍼로 도입하는 것; 상승된 온도에서 웨이퍼를 질소-함유 반응물질에 노출시키는 것; 및 상승된 온도에서 질소-함유 반응물질을 나이트라이드 층으로 변환하는 것을 포함하고, 나이트라이드 층은 실리콘 나이트라이드 층이다. 일부 구현예들에서, 상승된 온도는 적어도 500 ℃ 이상이다. 일부 구현예들에서, 웨이퍼는 질소-함유 반응물질의 플라즈마에 대한 웨이퍼의 노출 동안 상승된 온도로 유지된다. 일부 구현예들에서 실리콘 나이트라이드 층의 실리콘 대 질소 농도비는 1.2:1 내지 1.8:1이다. 일부 구현예들에서, 실리콘 나이트라이드 층은 약 2.0 내지 약 2.5의 굴절률을 갖는다. 일부 구현예들에서, 혼합된 모드 ALD 사이클 각각에서 하나 이상의 열적 ALD 사이클들의 수는 하나 이상의 PEALD 사이클들의 수 이상이다.
이 개시는 또한 나이트라이드 막을 제작하는 방법에 관한 것이다. 방법은 웨이퍼를 프로세스 챔버 내로 제공하는 단계, 질소-함유 반응물질의 플라즈마 변환을 통해 웨이퍼 상에 하나 이상의 나이트라이드 층들을 증착하도록 하나 이상의 PEALD 사이클들을 적용하는 단계, 하나 이상의 PEALD 사이클들 전 또는 후에, 질소-함유 반응물질의 열적 변환을 통해 웨이퍼 상에 하나 이상의 나이트라이드 층들을 증착하도록 하나 이상의 열적 ALD 사이클들을 적용하는 단계, 및 하나 이상의 나이트라이드 층들로부터 나이트라이드 막을 형성하는 단계를 포함한다.
일부 구현예들에서, 열적 ALD 사이클들의 수는 PEALD 사이클들의 수 이상이다. 일부 구현예들에서, 500 ℃ 이상의 온도는 하나 이상의 PEALD 사이클들의 적용 및 하나 이상의 열적 ALD 사이클들의 적용 동안 유지된다. 일부 구현예들에서, 질소-함유 반응물질의 열적 변환 시간은 질소-함유 반응물질의 플라즈마 변환 시간보다 길다. 일부 구현예들에서, 나이트라이드 막은 실리콘 나이트라이드 막이다. 일부 구현예들에서, 실리콘 나이트라이드 막은 약 2.0 내지 약 2.5의 굴절률을 갖는다. 일부 구현예들에서, 실리콘 나이트라이드 막의 실리콘 대 질소 농도비는 1.2:1 내지 1.8:1이다.
이들 및 다른 실시예들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 실리콘 나이트라이드 막을 증착하기 위한 복수의 ALD 사이클들을 도시한 예시적인 타이밍 시퀀스도를 예시한다.
도 2는 실리콘-함유 전구체의 플로우 레이트의 함수로서 실리콘 나이트라이드 막의 굴절률에 대한 데이터를 도시한 그래프를 예시한다.
도 3은 실리콘 나이트라이드 막을 증착하기 위한 예시적인 CVD 노 (furnace) 반응기의 개략도를 도시한다.
도 4는 실리콘 나이트라이드 막을 증착하기 위한 PEALD 사이클 및 열적 ALD 사이클을 도시한 예시적인 타이밍 시퀀스도를 예시한다.
도 5는 나이트라이드 막을 증착하기 위한 예시적인 프로세스의 흐름도를 도시한다.
도 6은 일 PEALD 사이클당 열적 ALD 사이클들의 수의 함수로서 실리콘 나이트라이드 막의 굴절률에 대한 데이터를 도시한 그래프를 예시한다.
도 7a는 PEALD 사이클 및 열적 ALD 사이클을 통해 나이트라이드 막을 증착하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 7b는 PEALD 사이클 및 열적 ALD 사이클을 통해 나이트라이드 막을 증착하기 위한 예시적인 단일-스테이션 모듈의 개략도이다.
도 8은 개시된 구현예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
서론
다음의 기술에서, 제시된 개념들의 완전한 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 제시된 개념들은 이들 구체적인 상세들 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 일부 개념들이 구체적인 실시예들과 함께 기술될 것이지만, 이는 이들 실시예들을 한정하는 것으로 의도되지 않는다는 것이 이해될 것이다.
본 개시에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 다음의 상세한 설명은 본 개시가 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 개시는 이로 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 개시의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들 등과 같은 다양한 물품들을 포함한다.
ALD / PEALD
ALD는 순차적인 자기-제한적 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 적어도 하나의 반응물질을 기판 표면에 전달 및 흡착하고, 이어서 부분적인 막층을 형성하기 위해서 흡착된 반응물질을 하나 이상의 반응물질들과 반응시키기 위한 동작들을 포함한다. 예로서, 실리콘 나이트라이드 증착 사이클은 다음의 동작들: (i) 실리콘-함유 전구체의 전달/흡착, (ii) 챔버로부터 실리콘-함유 전구체의 퍼지, (iii) 질소-함유 반응물질의 플라즈마의 전달, 및 (iv) 챔버로부터 플라즈마의 퍼지를 포함할 수도 있다. 다른 타입들의 막들이 다양한 전구체들 및 공-반응물질들의 펄스들을 사용하여 증착될 수도 있다.
CVD 기법과 달리, ALD 프로세스들은 층 단위 (layer-by-layer basis) 로 막들을 증착하도록 표면-매개 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는, 웨이퍼 표면은 웨이퍼를 하우징하는 챔버에 제공된 도즈 (dose) 의, 실리콘-함유 전구체와 같은, 제 1 전구체의 가스상 분포에 노출된다. 이 제 1 전구체의 분자들은 웨이퍼 표면 상에 흡착되고, 제 1 전구체의 화학 흡착된 종 및/또는 물리 흡착된 분자들을 포함한다. 화합물이 본 명세서에 기술된 바와 같이 웨이퍼 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, 실리콘-함유 전구체의 흡착된 층은 실리콘-함유 전구체뿐만 아니라 실리콘-함유 전구체의 유도체들을 포함할 수도 있다. 제 1 전구체 도즈 후에, 이어서 챔버는 거의 또는 흡착된 종만이 남아 있도록 가스상으로 남아 있는 제 1 전구체의 대부분 또는 전부를 제거하도록 배기된다. 일부 구현예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 가스상의 제 1 전구체의 분압이 반응을 감소시키기에 충분히 낮도록 챔버가 배기될 수도 있다. 제 2 반응물질, 예컨대 질소-함유 반응물질은, 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 챔버로 도입된다. 일부 프로세스들에서, 제 2 전구체는 흡착된 제 1 전구체와 즉시 반응한다. 다른 구현예들에서, 제 2 반응물질은 활성화 소스가 일시적으로 적용된 후에만 반응한다. 이어서 챔버는 결합되지 않은 (unbound) 제 2 반응물질 분자들을 제거하도록 다시 배기될 수도 있다. 상기에 기술된 바와 같이, 일부 구현예들에서 챔버는 완전히 배기되지 않을 수도 있다. 부가적인 ALD 사이클들이 막 두께를 구축하도록 사용될 수도 있다.
일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법들 및 장치들은 전체가 참조로서 본 명세서에 인용되는, 2011년 4월 11일 출원되고 발명의 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 번호 제 13/084,399 호 (현재 미국 특허 번호 제 8,728,956 호), 및 2011년 4월 11일 출원되고 발명의 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 번호 제 13/084,305 호에 일반적으로 기술된 CFD (conformal film deposition) 방법들일 수도 있다.
도 1은 실리콘 나이트라이드 막을 증착하기 위한 복수의 ALD 사이클들을 도시한 예시적인 타이밍 시퀀스도를 예시한다. 도 1은 다양한 프로세스 파라미터들, 예컨대, 캐리어 가스 또는 퍼지 가스 플로우, 플라즈마, 실리콘-함유 전구체 플로우, 및 질소-함유 반응물질 플로우에 대한, 통상적인 ALD 프로세스 100의 페이즈들을 도시한다. 도 1의 ALD 사이클들 각각은 PEALD 사이클을 나타낼 수도 있다. 라인들은 플로우가 턴 온되고 (turned on) 턴 오프될 (turned off) 때, 또는 플라즈마가 턴 온되고 턴 오프될 때를 나타낸다. 예시적인 프로세스 파라미터들은 이로 제한되지 않지만, 불활성 종 및 반응물질 종에 대한 플로우 레이트들, 플라즈마 전력 및 주파수, 웨이퍼 온도, 및 프로세스 챔버 압력을 포함한다.
임의의 적합한 수의 증착 사이클들이 실리콘 나이트라이드의 목표된 막 두께를 증착하도록 ALD 프로세스에 포함될 수도 있다. 도 1의 타이밍 시퀀스는 2 개의 증착 사이클들, 110A 및 110B로 도 1의 다양한 동작들을 도시한다. 예를 들어, 증착 사이클 110A 동안, 웨이퍼는 도즈 페이즈 157A 동안 실리콘-함유 전구체에 노출되고, 그리고 증착 사이클 110B 동안, 웨이퍼는 도즈 페이즈 157B 동안 실리콘-함유 전구체에 노출된다. 일부 구현예들에서, 실리콘-함유 전구체는 실란, 예컨대, 할로겐화 실란이다. 도즈 페이즈들 157A 및 157B 동안, 플라즈마가 턴 오프되고, 질소-함유 반응물질이 웨이퍼로 흐르지 않고, 그리고 캐리어 가스, 예컨대 아르곤이 계속해서 흐른다. 일부 구현예들에서, 플로우 레이트 및 웨이퍼 표면적에 따라, 웨이퍼는 약 0.1 초 내지 약 60 초, 또는 약 0.2 초 내지 약 6 초의 시간 동안 실리콘-함유 전구체에 노출될 수도 있다.
일부 구현예들에서, 일단 활성 사이트들이 실리콘-함유 전구체에 의해 점유된다면, 부가적인 실리콘-함유 전구체가 웨이퍼 표면 상에 거의 또는 전혀 흡착되지 못하는 자기-제한적 방식으로 실리콘-함유 전구체가 기판 표면 상에 흡착한다. 예를 들어, 실리콘-함유 전구체들은 적어도 60 %의 웨이퍼 표면 상에 흡착될 수도 있다. 실리콘-함유 전구체가 웨이퍼의 표면 상의 활성 사이트들 상에 흡착할 때, 실리콘-함유 전구체의 박층이 표면 상에 형성된다. 다양한 구현예들에서, 이 층은 모노레이어 (monolayer) 미만일 수도 있고, 약 0.1 Å 내지 약 0.5 Å의 두께를 가질 수도 있다. CVD 또는 CVD-유사 프로세스와 달리, 실리콘-함유 전구체는 실리콘 층을 형성하기 위해 분해되지 않는다.
퍼지 페이즈들 159A 및 159B에서, 프로세스 챔버는 웨이퍼의 표면 상에 흡착하지 않은 증기상의 과잉의 실리콘-함유 전구체를 제거하도록 선택 가능하게 퍼지된다. 퍼지는 다른 동작들에서 사용되는 캐리어 가스 또는 상이한 가스일 수도 있는 스윕핑 (sweep) 가스를 수반할 수도 있다. 일부 구현예들에서, 퍼지는 프로세스 챔버를 배기하는 것을 수반할 수도 있다. 퍼지 페이즈들 159A 및 159B 동안, 실리콘-함유 전구체 플로우가 턴 오프되고, 플라즈마가 점화되지 않고, 그리고 질소-함유 반응물질이 프로세스 챔버로 공급되지 않는다. 캐리어 가스, 예컨대 아르곤은 프로세스 챔버로부터 모든 과잉의 실리콘-함유 전구체를 퍼지하도록 계속해서 흐른다. 일부 구현예들에서, 퍼지 페이즈들 159A 및 159B는 프로세스 챔버를 배기하기 위한 하나 이상의 배기 서브페이즈들을 각각 포함할 수도 있다. 대안적으로, 퍼지 페이즈 159A 및 159B 각각은 일부 구현예들에서 생략될 수도 있다는 것이 이해될 것이다. 퍼지 페이즈 159A 및 159B 각각은 약 0 초 내지 약 60 초, 또는 약 0.01 초와 같은 임의의 적합한 지속기간을 가질 수도 있다. 일부 구현예들에서, 하나 이상의 스윕핑 가스들의 플로우 레이트를 증가시키는 것은 퍼지 페이즈 159A 및 159B 각각의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 페이즈 159A 및 159B 각각의 지속기간을 수정하기 위해 퍼지 가스 플로우 레이트는 다양한 반응물질 열역학적 특성들 및/또는 프로세스 챔버 및/또는 프로세스 챔버 배관의 기하학적 특성들에 따라 조정될 수도 있다. 일 비제한적인 예에서, 스윕핑 페이즈의 지속기간은 스윕핑 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이는 증착 사이클 시간을 감소시킬 수도 있고, 웨이퍼 쓰루풋을 개선할 수도 있다. 퍼지 후에, 실리콘-함유 전구체들은 웨이퍼 표면 상에 흡착된 채로 남는다.
플라즈마는 플라즈마 노출 페이즈들 161A 및 161B 동안 점화될 수도 있다. 예를 들어, 웨이퍼는 플라즈마 노출 페이즈들 161A 및 161B 동안 질소 플라즈마에 노출될 수도 있다. 따라서, 질소-함유 반응물질의 플로우 및 플라즈마 모두는 플라즈마 노출 페이즈들 161A 및 161B 동안 턴 온된다. 일부 구현예들에서, 질소-함유 반응물질의 플로우는 플라즈마를 턴 온하기 전에 턴 온될 수도 있다. 실리콘-함유 전구체의 플로우는 플라즈마 노출 페이즈들 161A 및 161B 동안 턴 오프되고, 불활성 가스는 계속해서 흐른다. 웨이퍼는 약 0.1 초 내지 약 60 초, 또는 약 0.1 초 내지 약 6 초의 지속기간 동안 질소-함유 반응물질의 플라즈마에 노출될 수도 있다. 일부 구현예들에서, 플라즈마 노출 페이즈들 161A 및 161B는 플라즈마가 웨이퍼 표면 상에 흡착된 모든 전구체들과 상호작용하여, 웨이퍼 표면 최상단에 연속적인 막을 형성하는 시간을 초과하는 지속기간을 가질 수도 있다.
다양한 구현예들에서, 플라즈마는 플라즈마가 프로세스 챔버 내의 웨이퍼 표면 바로 위에 형성되는 인-시츄 (in-situ) 플라즈마이다. 예를 들어, ALD 프로세스들을 위한 플라즈마들은 2 개의 용량-결합된 플레이트들을 사용하여 가스에 RF (radio frequency) 장을 인가함으로써 생성될 수도 있다. 증착 프로세스에 참여하는 가스의 이온들, 라디칼들, 및 다른 활성 종이 형성될 수도 있다. RF 장이 임의의 적합한 전극들을 통해 커플링될 수도 있다는 것이 이해될 것이다. 전극들의 비제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 웨이퍼 지지 페데스탈들을 포함한다. ALD 프로세스들을 위한 플라즈마들은 가스에 RF 장을 용량 결합하는 것 이외에 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 이해될 것이다. 일부 구현예들에서, 플라즈마는 질소-함유 반응물질이 프로세스 챔버의 업스트림의 리모트 플라즈마 생성기 내에서 점화되고, 이어서 웨이퍼가 하우징되는 프로세스 챔버로 전달되는 리모트 플라즈마이다. 일부 구현예들에서, 용량-결합된 플라즈마들 대신 유도-결합된 플라즈마들과 같은 다른 타입들의 플라즈마가 사용될 수도 있다.
플라즈마 노출 페이즈들 161A 및 161B 동안, RF 장은 질소-함유 반응물질을 실리콘-함유 전구체의 흡착된 층과 반응하는 이온들 및 라디칼들로 활성화시키도록 제공된다. 특정한 이론에 매이지 않고, 보다 높은 주파수 플라즈마들은, 라디칼들과 실리콘-함유 전구체 사이의 보다 높은 반응성에 기인하여 실리콘 나이트라이드의 증착을 개선할 수 있는 라디칼들을 이온들보다 많이 생성할 수도 있다. 다양한 구현예들에서, 적어도 약 13.56 ㎒, 또는 적어도 약 27 ㎒, 또는 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒의 주파수를 가진 고 주파수 플라즈마가 사용된다. 일단 질소-함유 반응물질이 플라즈마를 형성하도록 활성화된다면, 질소-함유 반응물질의 라디칼들 및 이온들은 웨이퍼의 표면 상에 흡착된 실리콘-함유 전구체와 반응하여, 실리콘 나이트라이드의 박막을 형성한다.
다음에, 플라즈마가 소화되고 프로세스 챔버가 퍼지 페이즈들 163A 및 163B 동안 퍼지된다. 실리콘-함유 전구체 플로우가 턴 오프되고, 플라즈마가 점화되지 않고, 그리고 질소-함유 반응물질이 프로세스 챔버로 공급되지 않는다. 퍼지는 캐리어 가스 또는 임의의 다른 불활성 가스를 흘림으로써 수행될 수도 있다.
동작들 157A, 159A, 161A, 및 163A를 수행하는 것은 또한 실리콘 도즈 절반-사이클로서 지칭될 수 있는, 사이클, 예컨대, 증착 사이클 110A를 구성할 수도 있다. 동작들 157B, 159B, 161B, 및 163B를 수행하는 것은 또한 나이트라이드 변환 절반-사이클로서 지칭될 수 있는, 또 다른 사이클, 예컨대, 증착 사이클 110B를 구성할 수도 있다. 2 개의 절반-사이클들 110A 및 110B를 함께 더하면 전체 사이클을 이룰 수 있다. 동작들의 도즈 시간 및 노출 시간들에 따라, 전체 사이클 (또는 2 개의 절반-사이클들) 각각은 약 0.05 Å 내지 약 2 Å의 두께를 가진 실리콘 나이트라이드 막 층을 증착할 수도 있다. 사이클들은 실리콘 나이트라이드의 목표된 막 두께가 달성될 때까지 반복될 수도 있다.
3D 메모리 구조체들, 예컨대, 수직 NAND 플래쉬 메모리 구조체들은 상대적으로 고 종횡비들을 가진 피처들을 가질 수도 있다. 상대적으로 고 종횡비들을 가진 수직 구성은 3D 메모리 구조체들이 상당히 보다 큰 밀도로 형성되게 허용한다. 실리콘 나이트라이드의 하나 이상의 층들은 3D 메모리 구조체들 내에 전하 트랩 층들로서 증착될 수도 있다. 3D 메모리 구조체 내의 전하 트랩 층은 전압이 인가될 때 전하들을 "트랩핑"할 수도 있고, 프로그램에 영향을 줄 수도 있고 그리고 3D 메모리 구조체의 스텝들을 소거할 수도 있다. 따라서, 전하 트랩 층은 3D 메모리 구조체의 프로그래밍을 제어할시 활성일 수도 있다.
일부 예들에서, 전하 트랩 층은 실리콘 나이트라이드 막이다. 실리콘 나이트라이드 막은 화학식 SixNyHz를 가질 수 있고, 여기서 SixNyHz의 화학량론 제어는 3D 메모리 구조체의 기록 소거 특성들을 튜닝할시 중요할 수 있다. 화학량론을 제어함으로써, 밴드 갭의 디펙트 레벨들은 막의 전하 트랩핑 능력들을 최적화하도록 튜닝될 수 있다. SixNyHz 화학량론을 위한 일 측정 대용물은 굴절률일 수 있다.
이에 더하여, 3D 메모리 구조체 내의 실리콘 나이트라이드 막은 하나 이상의 에칭 동작들에 노출될 수도 있거나 그렇지 않으면 취약할 수도 있다. 따라서, 실리콘 나이트라이드 막이 강건하고 저 WER (wet etch rate) 및/또는 저 DER (dry etch rate) 을 갖는 것과 같이 에칭-내성이 있는 것이 바람직하다. 실리콘 나이트라이드 막은 복수의 프로세싱 후 동작들, 예컨대, 복수의 에칭 동작들을 겪을 수도 있고, 그래서 WER 및 DER은 실리콘 나이트라이드 막으로 하여금 이러한 프로세싱 후 동작들 하에서 강건하게 인에이블할 수도 있다. 이에 더하여, 실리콘 나이트라이드 층은 고 종횡비 피처들을 가진 3D 메모리 구조체 내에 증착된다. 고 종횡비 피처들은 5:1 초과, 또는 10:1 초과, 또는 20:1 초과, 또는 100:1 초과의 깊이 대 폭 종횡비를 가진 피처들을 포함할 수도 있다. 따라서, 실리콘 나이트라이드 층이 고 종횡비 피처들 내에서 컨포멀하게 증착되는 것이 바람직하다. CVD 및 PECVD 프로세스들과 대조적으로, ALD 프로세스들은 고 종횡비 피처들의 상대적으로 우수한 컨포멀성을 보장할 수 있다.
일반적으로, PEALD 프로세스들은 꽤 짧은 ALD 사이클 시간 내에 저 WER 및 매우 컨포멀한 실리콘 나이트라이드 막들을 증착할 수 있다. 그러나, 플라즈마 노출 단계들, 예컨대, 도 1의 플라즈마 노출 페이즈들 161A 및 161B 동안, 플라즈마 노출 단계는 통상적으로 질소-함유 반응물질의 활성 종을 사용하여 모든 또는 거의 모든 이용 가능한 표면 리간드들을 포화시킨다. 예를 들어, 암모니아 (NH3) 플라즈마는 NH 또는 NH2 라디칼들을 사용하여 모든 또는 거의 모든 이용 가능한 표면 리간드들을 포화시킬 수도 있다. 따라서, NH3 플라즈마는 NH 또는 NH2 라디칼들을 사용하여 실리콘-함유 전구체의 모든 흡착된 종을 포화시키고, 이들 일련의 자기-제한적 반응들은 실리콘 나이트라이드 막을 구축한다. 질소-함유 반응물질의 활성 종을 사용하는 모든 또는 거의 모든 이용 가능한 표면 리간드들의 포화는 웨이퍼 표면으로 하여금 "질화되게" 한다. 이는 근본적으로 실리콘 나이트라이드 막 내에 포함되는 실리콘의 양을 제한할 수 있다. 결국 이는 실리콘 나이트라이드 막 내의 실리콘 대 질소 농도비의 제어를 제한할 수 있다. 질소-함유 반응물질의 활성 종으로 이용 가능한 표면 리간드들의 보다 많은 질화 또는 포화는 보다 질소-풍부 실리콘 나이트라이드 막을 발생시킨다.
막의 굴절률은 막의 화학적 함량의 마커 또는 지표로서 기능할 수 있다. 구체적으로, 실리콘 나이트라이드 막의 굴절률은 실리콘 나이트라이드 막이 보다 실리콘-풍부인지 또는 보다 질소-풍부인지 여부를 나타낼 수 있다. 굴절률은 또한 실리콘 나이트라이드 막의 다른 특성들, 예컨대 실리콘 나이트라이드 막의 밀도, WER, DER, 및 전하 트랩 층으로서 전하들을 트랩핑하는 능력에 관하여 나타낼 수도 있다. 보다 높은 굴절률은 증가된 실리콘 농도, 밀도, WER, DER, 전하 트랩 층으로서 전하들을 트랩핑하는 능력을 나타낼 수도 있다. 실리콘 나이트라이드 막 내에 전하들을 트랩핑하는 능력이 증가하면, 실리콘 나이트라이드 막은 수직 NAND 플래쉬 메모리 구조체 내에서 효과적으로 토글 (toggle) 온/오프할 수 있다.
PEALD 프로세스들은 보다 질소-풍부 실리콘 나이트라이드 막을 획득할 수도 있다. 그러나, 보다 실리콘-풍부 실리콘 나이트라이드 막을 획득하는 것은 PEALD 프로세스들에서 달성하기 어려울 수도 있다. 도 2는 실리콘-함유 전구체의 플로우 레이트의 함수로서 실리콘 나이트라이드 막의 굴절률에 대한 데이터를 도시한 그래프를 예시한다. PEALD 프로세스들을 사용하여, 도 2의 그래프는 실리콘 나이트라이드 막의 굴절률이 도즈 페이즈들 동안 실리콘-함유 전구체의 플로우 레이트에 따라 얼마나 증가하는지를 도시한다. 실리콘-함유 전구체의 도즈 페이즈들은 도 1의 도즈 페이즈들 157A 및 157B와 유사할 수 있다. 그러나, 보다 많은 실리콘-함유 전구체가 도즈 페이즈들 동안 공급될지라도, 실리콘 나이트라이드 막의 굴절률은 한계에 도달한다. 일부 구현예들에서, 종래의 PEALD 프로세스들은 2.0 초과의 굴절률, 또는 약 2.0 내지 약 2.5의 굴절률, 또는 약 2.0 내지 약 2.1의 굴절률을 가진 실리콘 나이트라이드 막들을 획득할 수 없다. 이는 종래의 PEALD 프로세스들을 사용하여 실리콘 대 질소 농도비가 한계에 도달할뿐만 아니라, 밀도, WER, DER, 전하 트랩 층 내에 전하들을 트랩핑하는 능력과 같은 다른 특성들도 또한 한계에 도달한다는 것을 의미한다.
CVD 노 반응기
2.0 초과의 굴절률을 가진 실리콘 나이트라이드 막들을 증착하는 종래의 방법들은 CVD 노 반응기들을 사용하여 달성될 수도 있다. 일부 구현예들에서, CVD 노 반응기는 LPCVD (low pressure chemical vapor deposition) 노 반응기이다. 일부 CVD 노 반응기들은 보다 균일한 온도 분포들 및 감소된 대류 효과들의 장점을 가진 고온 벽 시스템들일 수 있다.
도 3은 실리콘 나이트라이드 막을 증착하기 위한 예시적인 CVD 노 반응기의 개략도를 도시한다. CVD 노 반응기 (300) 는 CVD 노 반응기 (300) 의 벽 (302) 을 둘러싼 복수의 가열기들 (310) 을 포함할 수 있다. 복수의 가열기들 (310) 은 CVD 노 반응기 (300) 를 따라 축방향 온도의 일부 제어를 허용하는 복수의 가열 존들을 제공할 수 있다. 일부 구현예들에서, CVD 노 반응기 (300) 의 온도 범위는 약 300 ℃ 내지 약 1150 ℃이도록 제어된다. 도 3의 CVD 노 반응기 (300) 의 구현예는 고온 벽 시스템이다.
CVD 노 반응기 (300) 는 서로 위에 스택된 복수의 웨이퍼들 (306) 을 포함할 수 있다. 웨이퍼들 (306) 각각은 웨이퍼 지지부 (304) 에 의해 지지될 수도 있고 중력에 의해 홀딩될 수도 있다. CVD 노 반응기 (300) 의 수직 방향을 따라 웨이퍼-대-웨이퍼 간격은 균일할 수 있다. 이는 수십 또는 수백 개의 웨이퍼들 (306) 이 CVD 노 반응기 (300) 를 통해 단일 실행시 배치 프로세싱되게 한다.
반응물질 가스들 (330) 이 CVD 노 반응기 (300) 에 진입하고 가스 유입부 (322) 를 통해 흐른다. 반응물질 가스들 (330) 은 대류에 의해 CVD 노 반응기 (300) 를 통해 순환하고, 확산에 의해 웨이퍼들 (306) 를 향하여 흐른다. 웨이퍼들 (306) 각각 상에 실리콘 나이트라이드 막들을 증착하기 위해, CVD 노 반응기 (300) 는 저압으로 감소될 수 있고 바람직한 증착 온도, 예컨대, 약 630 ℃ 초과의 온도, 또는 약 630 ℃ 내지 약 800 ℃, 또는 약 650 ℃로 가열될 수 있다. 가스 반응물질들 (330) 은 가스 유입부 (322) 를 통해 전달되고 웨이퍼들 (306) 각각을 향해 확산하는 DCS (dichlorosilane) 및 NH3일 수 있다. 과잉의 가스 반응물질들 (330) 은 가스 유출부 (324) 를 통해 CVD 노 반응기 (300) 를 나갈 수도 있다. DCS 및 NH3은 2.0 초과의 굴절률을 가진 실리콘 나이트라이드 막들을 포함하여, 실리콘 나이트라이드 막을 형성하도록 고온들 하에서 반응할 것이다. 고온은 고 밀도 및 저 WER을 달성하기 위해서 열적 NH3 변환을 구동한다. 증착 온도는 바람직한 밀도, 충분히 낮은 WER, 및 충분한 쓰루풋을 달성하기 위해 높은 상태 (예를 들어, 약 630 ℃ 초과) 로 유지되어야 하고, 이는 전체 열 예산에 부담을 줄 수 있다.
CVD 노 반응기 (300) 는 전체 열 예산의 한계에 이르는 것을 겪을 뿐만 아니라, CVD 노 반응기 (300) 는 화학적 공핍 효과들도 겪는다. 복수의 웨이퍼들 (306) 이 웨이퍼들 (306) 각각 사이에 상대적으로 작은 갭들을 갖고 스택되기 때문에, 반응물질 가스들 (330) 은 갭들을 통해 확산해야 한다. 웨이퍼들 (306) 사이의 간격은, 보다 적은 반응물질 가스들 (330) 이 웨이퍼 (306) 각각의 에지보다 웨이퍼 (306) 각각의 중심에 증착될 수도 있도록 확산을 억제할 수도 있다. 이는 웨이퍼 (306) 각각의 중심으로부터 에지로 화학적 공핍을 발생시키고, 실리콘 나이트라이드 막의 두께는 웨이퍼 (306) 각각의 중심보다 에지에서 보다 두껍다. 이에 더하여, 화학적 공핍 효과가 또한 CVD 노 반응기 (300) 의 높이에 걸쳐 보일 수 있고, 상단으로부터 하단으로 두께 변동들이 발생한다. 화학적 공핍 효과들은 웨이퍼 (306) 각각의 고 종횡비 피처들에 걸쳐를 포함하여, 웨이퍼 (306) 각각 상의 실리콘 나이트라이드 막들의 컨포멀성을 저하시킬 수 있다. 화학적 공핍의 효과들을 감소시키기 위해, 웨이퍼들 (306) 사이의 간격이 증가될 수 있고 보다 적은 웨이퍼들 (306) 이 CVD 노 반응기 (300) 에 제공될 수 있지만, 이는 쓰루풋에 부정적으로 영향을 줄 수 있다.
혼합된 모드 ALD 프로세스
본 개시는 단일의 반응기 내에서 PEALD 프로세스들과 열적 ALD 프로세스들의 조합을 사용하여 웨이퍼 상에 나이트라이드 막을 증착하는 방법에 관한 것이다. PEALD 프로세스들의 사이클들과 열적 ALD 프로세스들의 사이클들은 고 쓰루풋, 단일-웨이퍼 반응기 내에서 나이트라이드 막을 증착하도록 혼합될 수 있다. PEALD 프로세스들은 저 증착 온도들, 모든 웨이퍼에 걸친 고 컨포멀성, 및 고 쓰루풋의 엄격한 웨이퍼-대-웨이퍼 제어를 인에이블한다. 열적 ALD 프로세스들은 굴절률, 상이한 원소들의 상대적인 농도, 밀도, WER, DER, 및 전하 트랩 층 내에 전하들을 트랩핑하는 능력과 같은 특성들을 포함하여, 나이트라이드 막의 재료 특성들의 보다 큰 튜닝을 인에이블한다. PEALD 프로세스들 및 열적 ALD 프로세스들은 단일의 반응기 내에서 유사한 웨이퍼 온도들로 동작할 수 있다. 일부 구현예들에서, 웨이퍼 온도는 PEALD 사이클들 및 열적 ALD 사이클들 모두 동안 약 500 ℃ 내지 약 630 ℃의 온도로 유지될 수 있다. PEALD 사이클들 및 열적 ALD 사이클들은 PEALD 사이클들 단독으로 달성되지 못할 수도 있는 나이트라이드 막의 특성들의 정밀-튜닝을 허용하도록 순차적으로 그리고 반복적으로 수행될 수 있다.
일부 구현예들에서, 나이트라이드 막은 실리콘 나이트라이드 막이다. 혼합된 모드 ALD 프로세스에서 PEALD 사이클들과 열적 ALD 사이클들을 조합함으로써, 실리콘 나이트라이드 막의 특성들은 PEALD 프로세스들이 다른 경우에 달성하지 못할 수도 있는 방식으로 튜닝될 수 있다. 예를 들어, 실리콘 나이트라이드 막은 약 2.0 초과, 또는 약 2.0 내지 약 2.5, 또는 약 2.0 내지 약 2.1의 굴절률을 가질 수 있다. 일부 구현예들에서, 실리콘 나이트라이드 막의 실리콘 대 질소 농도비는 1.2:1 내지 1.8:1이다. 예를 들어, 실리콘 나이트라이드 막의 실리콘 대 질소 농도의 화학량적 비, 1.33:1은 혼합된 모드 ALD 프로세스를 사용하여 달성될 수 있다. 일반적으로 보다 큰 화학량적 비의 실리콘 나이트라이드는 디펙트들이 보다 없다. 실리콘 나이트라이드 막의 개선된 특성들은 종래의 PEALD 프로세스들과 비교하여 혼합된 모드 ALD 프로세스를 사용하여 달성될 수 있다. 더욱이, 혼합된 모드 ALD 프로세스는 화학적 공핍 효과들 및 높은 증착 온도들을 그만큼 겪지 않고, 높은 증착 온도들은 CVD 노 반응기의 열 예산을 제한할 수 있다.
웨이퍼는 임의의 반도체 웨이퍼, 부분적인 집적 회로, 인쇄 회로 기판, 또는 다른 적합한 워크피스를 포함할 수 있다. 프로세스 조건들은 웨이퍼 사이즈에 따라 가변할 수도 있다. 통상적으로, 많은 제조 설비들이 200-㎜ 웨이퍼들, 300-㎜, 또는 450-㎜ 웨이퍼들을 위해 구성된다. 본 명세서에 기술된 개시된 구현예들은 임의의 적합한 웨이퍼 사이즈, 예컨대, 300-㎜ 및 450-㎜ 웨이퍼 기술들을 동작시키도록 구성된다. 일부 구현예들에서, 나이트라이드 막은 복수의 피처들을 가진 웨이퍼 상에 증착되고, 여기서 피처들 각각은 약 10:1 초과, 약 20:1 초과, 또는 약 100:1 초과의 깊이 대 폭 종횡비를 갖는다. 일부 구현예들에서, 피처들은 3D 메모리 구조체, 예컨대, 수직 NAND 플래쉬 메모리 구조체의 일부일 수도 있다.
도 4는 실리콘 나이트라이드 막을 증착하기 위한 PEALD 사이클 및 열적 ALD 사이클을 도시한 예시적인 타이밍 시퀀스도를 예시한다. 도 4는 PEALD 사이클 410A 및 열적 ALD 사이클 410B의 페이즈들을 도시한다. 도 4는 PEALD 사이클 410A 및 열적 ALD 사이클 410B에 걸쳐, 다양한 프로세스 파라미터들, 예컨대, 캐리어 가스 또는 퍼지 가스 플로우, 플라즈마, 실리콘-함유 전구체 플로우, 및 질소-함유 반응물질 플로우를 도시한다. 라인들은 플로우가 턴 온되고 턴 오프될 때, 또는 플라즈마가 턴 온되고 턴 오프될 때를 나타낸다. 예시적인 프로세스 파라미터들은 이로 제한되지 않지만, 불활성 종 및 반응물질 종에 대한 플로우 레이트들, 플라즈마 전력 및 주파수, 웨이퍼 온도, 및 프로세스 챔버 압력을 포함한다.
도 5는 나이트라이드 막을 증착하기 위한 예시적인 프로세스의 흐름도를 도시한다. 도 5의 프로세스 500의 동작들은 상이한 순서들로 그리고/또는 상이한, 보다 적은, 또는 부가적인 동작들로 수행될 수도 있다. 일부 구현예들에서, 프로세스 500의 동작들은 도 7a에 도시된 프로세스 챔버, 도 7b에 도시된 단일-스테이션 모듈, 및/또는 도 8에 도시된 프로세스 툴에 의해 수행될 수도 있다. 일부 구현예들에서, 프로세스 500의 동작들은 적어도 부분적으로, 하나 이상의 비일시적인 컴퓨터 판독가능 매체 상에 저장된 소프트웨어에 따라 구현될 수도 있다. 도 4 및 도 5는 이하에 함께 기술될 것이다.
프로세스 500의 블록 510에서, 웨이퍼가 프로세스 챔버 내로 제공된다. 웨이퍼는 상부에 증착된 유전체, 도전성, 또는 반도전성 재료와 같은 재료의 하나 이상의 층들을 가진 웨이퍼들을 포함하여, 200-㎜, 300-㎜, 또는 450-㎜ 웨이퍼와 같은 실리콘 웨이퍼일 수도 있다. 웨이퍼는 피처 내의 하나 이상의 좁은 그리고/또는 재차 들어간 개구부들, 및 고 종횡비들을 특징으로 할 수도 있는, "피처들" 예컨대, 비아들, 트렌치들, 리세스들, 또는 홀들을 가질 수도 있다. 피처는 하나 이상의 상기에 기술된 층들 내에 형성될 수도 있다. 피처의 일 예는 반도체 웨이퍼 또는 웨이퍼 상의 층 내의 홀 또는 비아이다. 또 다른 예는 웨이퍼 또는 층 내의 트렌치이다. 일부 구현예들에서, 피처는 하층, 예컨대, 배리어 층 또는 접착 층을 가질 수도 있다. 하층들의 비제한적인 예들은 유전체 층들 및 도전성 층들, 예컨대, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다.
일부 구현예들에서, 피처는 적어도 약 2:1, 적어도 약 5:1, 적어도 약 10:1, 적어도 약 20:1, 적어도 약 100:1, 또는 그 이상의 깊이 대 폭 종횡비를 가질 수도 있다. 피처는 또한 약 10 ㎚ 내지 500 ㎚, 예를 들어 약 25 ㎚ 내지 약 300 ㎚의 개구부 근방의 치수, 예컨대, 개구부 직경 또는 라인 폭을 가질 수도 있다. 개시된 방법들은 약 150 ㎚ 미만의 개구부를 가진 피처들을 가진 웨이퍼들 상에서 수행될 수도 있다. 피처 비아 또는 트렌치는 충진되지 않은 피처 또는 피처로서 지칭될 수도 있다. 피처는 피처의 하단부, 폐쇄된 단부, 또는 내부로부터 피처 개구부로 좁아지는 재차 들어간 프로파일을 가질 수도 있다.
프로세스 500의 블록 520에서, 하나 이상의 PEALD 사이클들은 질소-함유 반응물질의 플라즈마 변환을 통해 웨이퍼 상에 하나 이상의 나이트라이드 층들을 증착하도록 적용된다. 임의의 적합한 수의 PEALD 사이클들이 열적 ALD 사이클들을 적용하기 전 또는 열적 ALD 사이클들을 적용한 후에 블록 520에서 적용될 수도 있다. 따라서, 프로세스 500의 블록 520과 블록 530은 스위칭될 수도 있다. 도 4에서, PEALD 사이클 410A 각각은 도즈 페이즈 457A, 퍼지 페이즈 459A, 플라즈마 노출 페이즈 461A, 및 퍼지 페이즈 463A를 포함하여, 일련의 페이즈들로 나눠질 수 있다.
도즈 페이즈 457A 동안, 실리콘-함유 전구체의 제 1 도즈가 증기상으로 웨이퍼로 도입된다. 도즈 페이즈 457A 동안, 플라즈마가 턴 오프되고, 질소-함유 반응물질이 웨이퍼로 흐르지 않고, 그리고 캐리어 가스, 예컨대, 아르곤이 계속해서 흐른다. 일부 구현예들에서, 플로우 레이트 및 웨이퍼 표면적에 따라, 웨이퍼는 약 0.1 초 내지 약 60 초, 또는 약 0.2 초 내지 약 10 초, 또는 약 2 초 내지 약 10 초의 시간 동안 실리콘-함유 전구체에 노출된다. 일부 구현예들에서, 실리콘-함유 전구체는 약 20 sccm 내지 약 5,000 sccm, 예컨대, 약 1,000 sccm 내지 약 4,000 sccm의 플로우 레이트로 전달될 수 있다. 일부 구현예들에서, 실리콘-함유 전구체는 할로겐화 실란을 포함한다.
웨이퍼가 실리콘-함유 전구체에 노출될 때, 실리콘-함유 전구체는 웨이퍼의 표면 상에 흡착되어, 실리콘-함유 전구체의 흡착된 층을 형성한다. 일부 구현예들에서, 일단 활성 사이트들이 실리콘-함유 전구체에 의해 점유된다면, 부가적인 실리콘-함유 전구체가 웨이퍼 표면 상에 거의 또는 전혀 흡착되지 않는 자기-제한적 방식으로 실리콘-함유 전구체가 웨이퍼 표면 상에 흡착한다. 예를 들어, 실리콘-함유 전구체들은 적어도 약 60 %의 웨이퍼 표면 상에 흡착될 수도 있다. 실리콘-함유 전구체가 웨이퍼의 표면 상의 활성 사이트들 상에 흡착할 때, 실리콘-함유 전구체의 박층이 표면 상에 형성된다. 다양한 구현예들에서, 이 층은 모노레이어 미만일 수도 있고, 약 0.1 Å 내지 약 0.5 Å의 두께를 가질 수도 있다.
실리콘-함유 전구체는 실리콘-함유 막을 생성하도록 사용된 시약 또는 시약들의 혼합물이고, 시약 또는 시약 혼합물은 적어도 하나의 실리콘 화합물을 포함한다. 일부 구현예들에서, 실리콘-함유 전구체는 예를 들어, 실란, 할로실란, 또는 아미노실란일 수도 있다.  할로겐-프리 실란은 수소기 및/또는 탄소기를 함유하지만 할로겐을 함유하지 않는다. 할로겐-프리 실란들의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 유기 실란들, 예컨대, 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 알릴실란, sec-부틸실란, 덱실실란, 이소아밀실란, t-부틸디실란, 디-t-부틸디실란, 등이다. 할로실란은 적어도 하나의 할로겐기를 함유하고 수소기 및/또는 탄소기를 함유하거나 함유하지 않을 수 있다. 할로실란들의 예들은 요오드실란들, 브로모실란들, 클로로실란들 및 플루오로실란들이다. 할로실란들, 특히 플루오로 실란들은 실리콘 재료들을 에칭할 수 있는 반응성 할라이드 종들을 형성할 수도 있지만, 본 명세서에서 기술된 특정 구현예들에서, 실리콘-함유 전구체는 플라즈마가 스트라이킹될 때에 존재하지 않는다. 특정한 클로로실란들은 헥사클로로디실란 (Si2Cl6), 테트라클로로실란 (SiCl4), 트리클로로실란 (HSiCl3), 디클로로실란 (H2SiCl2), 모노클로로실란 (ClSiH3), 클로로알릴실란, 클로로메틸실란, 디클로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로이소프로필실란, 클로로-sec-부틸실란, t-부틸디메틸클로로실란, 덱실디메틸클로로실란 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들 및 탄소들을 함유할 수도 있다. 아미노실란들의 예들은 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란 (각각 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 및 치환된 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란, 예를 들어, t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, BTBAS (bis(tertiarybutylamino)silane) (SiH2(NHC(CH3)3)2), tert-부틸 실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 추가의 예는 트리실릴아민 (N(SiH3)3) 이다.
일부 구현예들에서, PEALD 사이클 410A는 퍼지 페이즈 459A를 포함할 수도 있다. 퍼지 페이즈 459A에서, 프로세스 챔버는 웨이퍼의 표면 상에 흡착하지 않은 증기상의 과잉의 실리콘-함유 전구체를 제거하도록 선택 가능하게 퍼지된다. 퍼지는 다른 동작들에서 사용되는 캐리어 가스 또는 상이한 가스일 수도 있는 스윕핑 가스를 수반할 수도 있다. 일부 구현예들에서, 퍼지는 프로세스 챔버를 배기하는 것을 수반할 수도 있다. 퍼지 페이즈 459A 동안, 실리콘-함유 전구체 플로우가 턴 오프되고, 플라즈마가 점화되지 않고, 그리고 질소-함유 반응물질이 프로세스 챔버로 공급되지 않는다. 캐리어 가스, 예컨대 아르곤은 프로세스 챔버로부터 모든 과잉의 실리콘-함유 전구체를 퍼지하도록 계속해서 흐른다. 일부 구현예들에서, 퍼지 페이즈 459A는 프로세스 챔버를 배기하기 위한 하나 이상의 배기 서브페이즈들을 포함할 수도 있다. 대안적으로, 퍼지 페이즈 459A는 일부 구현예들에서 생략될 수도 있다는 것이 이해될 것이다. 퍼지 페이즈 459A는 약 0 초 내지 약 60 초, 또는 약 0.1 내지 약 20 초, 또는 약 2 초 내지 약 10 초와 같은 임의의 적합한 지속기간을 가질 수도 있다. 일부 구현예들에서, 퍼지 페이즈 459A 동안 캐리어 가스의 플로우 레이트는 약 250 sccm 내지 약 10,000 sccm, 또는 약 2,000 sccm 내지 약 6,000 sccm일 수 있다. 일부 구현예들에서, 하나 이상의 스윕핑 가스들의 플로우 레이트를 증가시키는 것은 퍼지 페이즈 459A의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 페이즈 459A의 지속기간을 수정하기 위해 퍼지 가스 플로우 레이트는 다양한 반응물질 열역학적 특성들 및/또는 프로세스 챔버 및/또는 프로세스 챔버 배관의 기하학적 특성들에 따라 조정될 수도 있다. 일 비제한적인 예에서, 스윕핑 페이즈의 지속기간은 스윕핑 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이는 증착 사이클 시간을 감소시킬 수도 있고, 웨이퍼 쓰루풋을 개선할 수도 있다. 퍼지 후에, 실리콘-함유 전구체들은 웨이퍼 표면 상에 흡착된 채로 남는다.
일부 구현예들에서, PEALD 사이클은 질소-함유 반응물질의 플라즈마에 웨이퍼를 노출시키는 것을 포함한다. 플라즈마는 플라즈마 노출 페이즈 461A 동안 점화될 수도 있다. 따라서, 질소-함유 반응물질의 플로우 및 플라즈마 모두는 플라즈마 노출 페이즈 461A 동안 턴 온된다. 일부 구현예들에서, 질소-함유 반응물질의 플로우는 플라즈마를 턴 온하기 전에 턴 온될 수도 있다. 실리콘-함유 전구체의 플로우가 플라즈마 노출 페이즈 461A 동안 턴 오프되고, 불활성 가스는 계속해서 흐른다. 일부 구현예들에서, 웨이퍼는 약 0.1 초 내지 약 60 초, 또는 약 0.5 초 내지 약 10 초의 지속기간 동안 질소-함유 반응물질의 플라즈마에 노출될 수도 있다. 일부 구현예들에서, 질소-함유 반응물질은 아민 또는 암모니아를 포함한다.
질소-함유 반응물질은, 적어도 하나의 질소, 예를 들어, 암모니아, 하이드라진 (hydrazine), 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 사이클로프로필아민, sec-부틸아민, 사이클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸하이드라진과 같은, 아민들 (예를 들어, 탄소 베어링 아민들 (amines bearing carbon)), 뿐만 아니라 아닐린들, 피리딘들, 및 벤질아민들과 같은 방향족 함유 아민들을 포함한다. 아민들은 1차, 2차, 3차 또는 4차 (예를 들어, 테트라알킬암모늄 화합물들) 일 수도 있다. 질소-함유 반응물질은 질소 이외의 헤테로원자들 (heteroatoms) 을 함유할 수 있고, 예를 들어, 히드록실아민, t-부틸옥시카르보닐 아민 및 N-t-부틸 히드록실아민은 질소-함유 반응물질들이다.
플라즈마는 프로세스 챔버 내에서 점화된 플라즈마 (즉, 인-시츄 플라즈마) 또는 리모트로 생성되고 프로세스 챔버로 전달된 플라즈마를 지칭할 수 있다. PEALD 프로세스들을 위한 플라즈마들은 용량 결합 또는 다른 적합한 방법들, 예컨대 유도 결합에 의해 형성될 수도 있다는 것이 이해될 것이다. 플라즈마는 질소-함유 반응물질의 이온들, 라디칼들, 및 다른 활성 종을 포함할 수도 있다. 예를 들어, NH3 분자들이 NH3의 다양한 이온들, 라디칼들, 및 다른 활성 종을 형성하도록 NH3 플라즈마에서 해리될 수 있다. 예를 들어, 플라즈마는 NH2 및 NH의 라디칼들을 포함할 수 있다. 플라즈마가 점화될 때 다른 반응물질들 및 다른 시약들이 프로세스 챔버 내에 존재할 수도 있다.
플라즈마 노출 페이즈 461A 동안, RF 장은 질소-함유 반응물질을 실리콘-함유 전구체의 흡착된 층과 반응하는 이온들, 라디칼들, 및 다른 활성 종으로 활성화시키도록 제공될 수 있다. 특정한 이론에 매이지 않고, 보다 높은 주파수 플라즈마들은, 라디칼들과 실리콘-함유 전구체 사이의 보다 높은 반응성에 기인하여 실리콘 나이트라이드의 증착을 개선할 수 있는 라디칼들을 이온들보다 많이 생성할 수도 있다. 다양한 구현예들에서, 적어도 약 13.56 ㎒, 또는 적어도 약 27 ㎒, 또는 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒의 주파수를 가진 고 주파수 플라즈마가 사용된다. 일단 질소-함유 반응물질이 플라즈마를 형성하도록 활성화된다면, 질소-함유 반응물질의 이온들, 라디칼들 및 다른 활성 종은 웨이퍼의 표면 상에 흡착된 실리콘-함유 전구체와 반응하여, 실리콘 나이트라이드의 박막을 형성한다. 즉, 질소-함유 반응물질은 플라즈마 변환을 통해 실리콘 나이트라이드의 박막으로 변환될 수 있다.
다음에, 플라즈마가 소화되고 프로세스 챔버가 퍼지 페이즈 463A 동안 퍼지된다. 실리콘-함유 전구체 플로우가 턴 오프되고, 플라즈마가 점화되지 않고, 그리고 질소-함유 반응물질이 프로세스 챔버로 공급되지 않는다. 퍼지는 캐리어 가스 또는 임의의 다른 불활성 가스를 흘림으로써 수행될 수도 있다. 퍼지 페이즈 463A는 퍼지 페이즈 459A와 유사할 수도 있다.
일부 구현예들에서, 도즈 페이즈 457A, 퍼지 페이즈 459A, 플라즈마 노출 페이즈 461A, 및 퍼지 페이즈 463A 각각은 상승된 온도로 유지될 수 있다. 상승된 온도는 웨이퍼 지지부 또는 페데스탈을 통해 웨이퍼에 인가될 수 있다. 일부 구현예들에서, 상승된 온도는 적어도 약 500 ℃ 이상이거나, 약 500 ℃ 내지 약 630 ℃이다. 도즈 페이즈 457A는 실리콘-함유 전구체를 열적으로 변환하도록 기능할 수 있는 한편, 플라즈마 노출 페이즈 461A는 플라즈마를 통해 질소-함유 반응물질을 변환하도록 기능할 수 있다. 상승된 온도는 PEALD 사이클 410A 내내 유지될 수 있고, 여기서 상승된 온도는 CVD 노 반응기 내에서 실리콘 나이트라이드의 박막을 증착하기 위한 온도 미만이다.
다시 도 5를 참조하면, 프로세스 500의 블록 530에서, 하나 이상의 열적 ALD 사이클들은 질소-함유 반응물질의 열적 변환을 통해 웨이퍼 상에 하나 이상의 나이트라이드 층들을 증착하도록 적용된다. 질소-함유 반응물질은 하나 이상의 열적 ALD 사이클들 동안 하나 이상의 나이트라이드 층들로 적어도 부분적으로 변환될 수 있다. 일부 구현예들에서, 열적 ALD 사이클들의 수는 PEALD 사이클들의 수 이상이다. 임의의 적합한 수의 열적 ALD 사이클들은 PEALD 사이클들을 적용하기 전 또는 PEALD 사이클들을 적용한 후에 블록 530에서 적용될 수도 있다. 블록들 520 및 530은 동시라기보다는 순차적으로 수행된다. 일부 구현예들에서 블록 520은 블록 530 전에 순차적으로 수행될 수도 있다. 일부 다른 구현예들에서 블록 530은 블록 520 전에 순차적으로 수행될 수도 있다. 그러므로, 도 5에 도시된 바와 같이, 블록 520과 블록 530을 수행하기 위한 순서가 스위칭될 수도 있다. 도 4에서, 열적 ALD 사이클 410B 각각은 도즈 페이즈 457B, 퍼지 페이즈 459B, 열적 변환 페이즈 461B, 및 퍼지 페이즈 463B를 포함하여, 일련의 페이즈들로 나눠질 수 있다.
도즈 페이즈 457B 동안, 실리콘-함유 전구체의 제 2 도즈가 증기상으로 웨이퍼로 도입된다. 도즈 페이즈 457B 동안, 플라즈마가 턴 오프되고, 질소-함유 반응물질이 웨이퍼로 흐르지 않고, 그리고 캐리어 가스, 예컨대, 아르곤이 계속해서 흐른다. 일부 구현예들에서, 플로우 레이트 및 웨이퍼 표면적에 따라, 웨이퍼는 약 0.1 초 내지 약 60 초, 또는 약 0.5 초 내지 약 30 초, 또는 약 2 초 내지 약 10 초의 시간 동안 실리콘-함유 전구체에 노출된다. 일부 구현예들에서, 실리콘-함유 전구체는 약 20 sccm 내지 약 5,000 sccm, 예컨대, 약 1,000 sccm 내지 약 4,000 sccm의 플로우 레이트로 전달될 수 있다. 실리콘-함유 전구체가 웨이퍼의 표면 상에 흡착하여, 실리콘-함유 전구체의 흡착된 층을 형성한다. 일부 구현예들에서, 도즈 페이즈 461B의 실리콘-함유 전구체는 도즈 페이즈 461A에서 도입된 실리콘-함유 전구체와 동일할 수 있다. 그러나, 일부 구현예들에서, 도즈 페이즈 461B의 실리콘-함유 전구체는 도즈 페이즈 461A에서 도입된 실리콘-함유 전구체와 상이할 수 있다.
일부 구현예들에서, 열적 ALD 사이클 410B는 퍼지 페이즈 459B를 포함할 수도 있다. 퍼지 페이즈 459B는 PEALD 사이클 410A의 퍼지 페이즈 459A와 유사한 특성들을 가질 수도 있다. 퍼지 페이즈 459B에서, 프로세스 챔버는 웨이퍼의 표면 상에 흡착하지 않은 증기상의 과잉의 실리콘-함유 전구체를 제거하도록 선택 가능하게 퍼지된다. 퍼지는 다른 동작들에서 사용되는 캐리어 가스 또는 상이한 가스일 수도 있는 스윕핑 가스를 수반할 수도 있다. 일부 구현예들에서, 퍼지는 프로세스 챔버를 배기하는 것을 수반할 수도 있다. 퍼지 페이즈 459B 동안, 실리콘-함유 전구체 플로우가 턴 오프되고, 플라즈마가 점화되지 않고, 그리고 질소-함유 반응물질이 프로세스 챔버로 공급되지 않는다. 캐리어 가스, 예컨대 아르곤은 프로세스 챔버로부터 모든 과잉의 실리콘-함유 전구체를 퍼지하도록 계속해서 흐른다. 퍼지 페이즈 459B는 약 0 초 내지 약 60 초, 또는 약 0.1 내지 약 20 초, 또는 약 2 초 내지 약 10 초와 같은 임의의 적합한 지속기간을 가질 수도 있다. 일부 구현예들에서, 퍼지 페이즈 459B 동안 캐리어 가스의 플로우 레이트는 약 250 sccm 내지 약 10,000 sccm, 또는 약 2,000 sccm 내지 약 6,000 sccm일 수 있다. 퍼지 후에, 실리콘-함유 전구체들은 웨이퍼 표면 상에 흡착된 채로 남는다.
일부 구현예들에서, 열적 ALD 사이클 410B는 상승된 온도로 질소-함유 반응물질에 웨이퍼를 노출시키는 것을 포함한다. 상승된 온도는 웨이퍼 지지부 또는 페데스탈을 통해 웨이퍼에 인가될 수 있다. 상승된 온도에서, 질소-함유 전구체는 해리 반응을 겪을 수 있고, 해리된 종은 실리콘 나이트라이드 층을 형성하도록, 웨이퍼의 표면 상에 흡착된 실리콘-함유 전구체와 반응할 수 있다. 상승된 온도의 열은 안정한 박막을 형성하도록 흡착된 반응물질들이 표면 화학 반응을 겪게 열역학적으로 구동할 수 있다. 따라서, 열적 ALD 사이클 410B는 질소-함유 반응물질과 흡착된 실리콘-함유 전구체를 반응시키기 위한 열적 변환 페이즈 461B를 포함한다. 일부 구현예들에서, 열적 변환 페이즈 461B의 질소-함유 반응물질은 플라즈마 노출 페이즈 461A의 질소-함유 반응물질과 동일하다. 그러나, 일부 구현예들에서, 열적 변환 페이즈 461B의 질소-함유 반응물질은 플라즈마 노출 페이즈 461A의 질소-함유 반응물질과 상이하다.
열적 변환 페이즈 461B 동안, 플라즈마가 점화되지 않는다. 질소-함유 반응물질의 플로우가 열적 변환 페이즈 461B 동안 턴 온된다. 실리콘-함유 전구체의 플로우가 열적 변환 페이즈 461B 동안 턴 오프되고, 불활성 가스는 계속해서 흐른다.
일부 구현예들에서, 열적 변환 페이즈 461B의 지속기간은 약 0.1 초 내지 약 60 초, 또는 약 0.5 초 내지 약 10 초일 수 있다. 일부 구현예들에서, 열적 변환 페이즈 461B의 지속기간은 플라즈마 노출 페이즈 461A의 지속기간보다 길다.
일부 구현예들에서, 열적 변환 페이즈 461B 동안 상승된 온도는 웨이퍼를 약 500 ℃ 이상, 또는 약 500 ℃ 내지 약 630 ℃의 온도로 가열할 수 있다. 질소-함유 반응물질의 열적 변환을 위한 상승된 온도는 CVD 노 반응기에서 사용된 상승된 온도 미만일 수 있고, 이는 전체 열 예산을 감소시킬 수 있다. 상승된 온도는 열적 ALD 사이클 410B 내내 유지될 수 있다. 웨이퍼의 상승된 온도는 웨이퍼 지지부 또는 페데스탈을 가열함으로써 달성될 수 있다. 일부 구현예들에서, 프로세스 챔버의 나머지는 가열되지 않는다. 그와 같이, 프로세스 챔버의 벽들은 웨이퍼 및 웨이퍼 지지부보다 저온으로 남을 수 있다. 일부 구현예들에서, 열적 변환 페이즈 461B 동안 상승된 온도는 플라즈마 노출 페이즈 461A 동안의 온도와 동일할 수 있다.
통상적으로, 나이트라이드 층을 형성하도록 화학 반응을 열역학적으로 구동하는 동역학은 상대적으로 느리다. 열적 ALD를 사용하여 질소-함유 반응물질을 변환하는데 적합하지 않은 동역학 때문에, 나이트라이드 층을 형성하기 위해 열적 ALD를 사용하는 것은 바람직하지 않다. 열적 ALD는 다른 타입들의 층들, 예컨대, 옥사이드 층들을 증착하기 위해 보다 흔히 사용된다. 그러나, 열적 ALD 사이클 410B가 PEALD 사이클 410A와 함께 사용될 때, 혼합된 ALD 모드들은 열적 ALD의 보다 느린 동역학에 의해 실질적으로 제한되지 않고 나이트라이드 층을 형성할 수도 있다.
일부 구현예들에서 열적 변환 페이즈 461B 동안, 질소-함유 반응물질은 흡착된 실리콘-함유 전구체와 반응하도록 분해된다. 예를 들어, NH3 분자들은 실리콘과 반응하도록 분해될 수 있다. 일부 구현예들에서, NH3 분자들은 열적 변환 페이즈 461B 동안 실리콘과 반응하도록 분해될 수 있고, 반면에 NH3 분자들은 플라즈마 노출 페이즈 461A 동안 NH2 및 NH의 라디칼들로 해리될 수 있다.
임의의 특정한 이론에 매이지 않고, 플라즈마 노출 페이즈 461A는 웨이퍼 표면을 신속하게 포화시키고 흡착된 실리콘-함유 전구체들과 반응하는 보다 반응성 종으로 질소-함유 반응물질을 해리할 수 있다. 열적 변환 페이즈 461B는 보다 긴 시간 기간 동안 질소-함유 반응물질을 보다 적은 반응성 종으로 해리할 수 있고, 반응성 종은 이어서 흡착된 실리콘-함유 전구체들과 반응한다. 예로서, 질소-함유 반응물질이 NH3라면, 그러면 다음의 반응: Si-H + N-H → SiN + H2를 위해 활성화 에너지 배리어를 극복하기에 충분한 에너지를 가진 보다 적은 분자들이 있을 수도 있다. 열적 변환의 시간을 가변함으로써, 막 내의 질소 함유량이 제어될 수 있다. 열적 변환 페이즈 461B는 플라즈마 노출 페이즈 461A보다 실리콘이 보다 풍부한 실리콘 나이트라이드 막들을 야기할 수 있다. 그러나, 플라즈마 노출 페이즈 461A는 열적 변환 페이즈 461B보다 질소가 보다 풍부한 실리콘 나이트라이드 막들을 야기할 수 있다.
열적 변환 페이즈 461B 후에, 프로세스 챔버가 퍼지 페이즈 463B 동안 퍼지된다. 실리콘-함유 전구체 플로우가 턴 오프되고, 플라즈마가 점화되지 않고, 그리고 질소-함유 반응물질이 프로세스 챔버로 공급되지 않는다. 퍼지는 캐리어 가스 또는 임의의 다른 불활성 가스를 흘림으로써 수행될 수도 있다. 퍼지 페이즈 463B는 퍼지 페이즈 463A와 유사할 수도 있다.
도 5를 참조하면, 일부 구현예들에서, 블록들 520 및 530이 1 회 이상 반복될 수도 있다. 블록 520은 일련의 PEALD 사이클들을 나타낼 수도 있고 블록 530은 일련의 열적 ALD 사이클들을 나타낼 수도 있고, 블록 520 및 블록 530은 보다 큰 혼합된 모드 ALD 사이클의 일부일 수도 있다. 혼합된 모드 ALD 사이클 각각은 목표된 두께의 나이트라이드 막을 달성하도록 특정한 회수로 반복될 수 있다.
프로세스 500의 블록 540에서, 나이트라이드 막은 하나 이상의 나이트라이드 층들로부터 형성된다. 하나 이상의 PEALD 사이클들이 하나 이상의 나이트라이드 층들을 증착함에 따라 그리고 하나 이상의 열적 ALD 사이클들도 또한 하나 이상의 나이트라이드 층들을 증착함에 따라, 나이트라이드 막이 형성될 수 있다. PEALD 사이클들로부터의 하나 이상의 나이트라이드 층들은 열적 ALD 사이클들로부터의 하나 이상의 나이트라이드 층들보다 질소가 풍부할 수 있다. 일부 구현예들에서, 하나 이상의 열적 ALD 사이클들의 수는 하나 이상의 PEALD 사이클들의 수 이상이다. 하나 이상의 PEALD 사이클들 및 하나 이상의 열적 ALD 사이클들은 동일한 프로세스 챔버 내에서 임의의 시퀀스로 수행될 수 있고, 반복될 수 있다.
동작들 457A, 459A, 461A, 및 463A를 수행하는 것은 PEALD 사이클 410A를 구성할 수도 있다. 동작들 457B, 459B, 461B, 및 463B를 수행하는 것은 열적 ALD 사이클 410B를 구성할 수도 있다. 동작들의 도즈 시간 및 노출 시간들에 따라, 사이클 각각은 약 0.05 Å 내지 약 2 Å의 두께를 갖는 실리콘 나이트라이드 층을 증착할 수도 있다. 전술한 사이클들이 혼합될 수도 있고 그리고 실리콘 나이트라이드의 목표된 막 두께가 달성될 때까지 반복될 수도 있다.
일부 구현예들에서, X 회의 PEALD 사이클들 410A가 혼합된 모드 ALD 사이클에서 수행될 수도 있고, 그리고 Y 회의 열적 ALD 사이클들 410B가 혼합된 모드 ALD 사이클에서 수행될 수도 있다. 혼합된 모드 ALD 사이클을 형성하도록 X 회의 PEALD 사이클들 410A가 Y 회의 열적 ALD 사이클들 410B와 결합될 수도 있다. 박막의 목표된 두께가 달성될 때까지 혼합된 모드 ALD 사이클은 Z 회 반복될 수 있다. 일부 구현예들에서, 통상적인 증착 레이트는 혼합된 모드 ALD 사이클당 약 2 Å 내지 혼합된 모드 ALD 사이클당 약 10 Å, 예컨대, 혼합된 모드 ALD 사이클당 약 6.6 Å이다. 혼합된 모드 ALD 사이클은 지배적인 (overarching) 혼합된 모드 ALD 사이클 내에 포함된 X 회의 PEALD 사이클들 410A와 Y 회의 열적 ALD 사이클들 410B의 본질적으로 2 개의 네스팅된 (nested) 루프들이다. 일부 구현예들에서, 혼합된 모드 ALD 사이클 각각에서 열적 ALD 사이클들 410A의 수는 PEALD 사이클들 410B의 수 이상이다.
일부 구현예들에서, X 및 Y의 값들은 박막 내 원소들의 농도비에 영향을 줄 수도 있다. 예를 들어, X 및 Y의 값들은 실리콘 나이트라이드 막 내의 실리콘 대 질소 농도비에 영향을 줄 수도 있어서, 실리콘 나이트라이드 막의 굴절률에 영향을 준다. 혼합된 모드 ALD 사이클에서 X 및 Y의 값들을 조정함으로써, 박막의 특성들의 정밀-튜닝이, 특성들 예컨대, 원소들의 농도비, 굴절률, 밀도, WER, DER, 전하 트랩 층 내에 전하들을 트랩핑하는 능력, 등의 정밀-튜닝을 포함하여 발생할 수 있다. 예를 들어, 혼합된 모드 ALD 사이클의 보다 큰 수의 열적 ALD 사이클들이 보다 실리콘-풍부 박막을 위해 튜닝될 수 있고, 반면에 혼합된 모드 ALD 사이클의 보다 큰 수의 PEALD 사이클들이 보다 질소-풍부 박막을 위해 튜닝될 수 있다.
도 6은 일 PEALD 사이클당 열적 ALD 사이클들의 수의 함수로서 실리콘 나이트라이드 막의 굴절률에 대한 데이터를 도시한 그래프를 예시한다. 그래프는 실리콘 나이트라이드 막의 굴절률이 혼합된 모드 ALD 사이클 각각에서 열적 ALD 사이클들 대 PEALD 사이클들의 비를 증가시킴으로써 얼마나 튜닝될 수 있는지를 도시한다. 그래프에서, 혼합된 모드 ALD 사이클 각각에서 열적 ALD 사이클들 대 PEALD 사이클들의 5:1 비는 2.05의 굴절률을 산출한다. 혼합된 모드 ALD 사이클 각각에서 열적 ALD 사이클들 대 PEALD 사이클들의 10:1 비는 2.20 초과의 굴절률을 산출한다. 실리콘 나이트라이드 막의 굴절률이 2.0의 한계에 도달하는 도 2의 그래프와 대조적으로, 도 6의 그래프의 실리콘 나이트라이드 막의 굴절률은 2.0을 넘어 계속해서 증가한다. 굴절률의 증가는 실리콘 대 질소 농도비의 증가를 반영한다. 수직 NAND 플래쉬 메모리 구조체들을 수반한 구현예들에서, 이 비의 제어는 프로그램을 최적화하고 전압들을 소거할 수 있다.
혼합된 모드 ALD 프로세스는 종래의 PEALD 프로세스들 및 CVD 프로세스들에 비해 복수의 이점들을 제공할 수 있다. 혼합된 모드 ALD 프로세스는 원소들의 농도비, 굴절률, 밀도, WER, DER, 전하 트랩 층 내에 전하들을 트랩핑하는 능력, 등과 같은 특성들을 포함하여, 종래의 PEALD 프로세스들에 비해 증착된 박막의 특성들의 보다 정밀-튜닝을 허용한다. PEALD 프로세스들은 플라즈마 노출 페이즈 동안 이용 가능한 리간드 사이트들의 포화에 의해 제한될 수 있다. 혼합된 모드 ALD 프로세스는 쓰루풋, 컨포멀성, 및 전체 열 예산을 상당히 절충하지 않고 특성들의 정밀-튜닝을 허용하도록 열적 ALD 사이클들을 포함한다. PEALD 사이클들과 열적 ALD 사이클들을 합함으로써, 혼합된 모드 ALD 사이클은 빨라질 수 있고 저 WER 및 고 컨포멀성 (즉, 단차 커버리지) 을 가진 박막을 제공할 수 있다. 일부 구현예들에서, 박막은 100:1 희석된 HF에서 분당 10 Å 미만, 또는 100:1 희석된 HF에서 분당 5 Å 미만인 WER을 가질 수 있다. 일부 구현예들에서, 증착된 박막은 80 % 초과, 90 % 초과, 또는 95 % 초과의 단차 커버리지를 가질 수 있다. 이러한 고 단차 커버리지는 심지어 고 종횡비 피처들에서 달성될 수 있다.
혼합된 모드 ALD 프로세스에서 X 및 Y의 값들을 조정함으로써 박막의 특성들을 정밀-튜닝하는 것에 더하여, 각각의 네스팅된 PEALD 사이클 및 열적 ALD 사이클에 대한 프로세스 조건들은 박막의 특성들에 영향을 주도록 조정될 수 있다. 표 1은 PEALD 사이클들과 열적 ALD 사이클들의 혼합을 사용하여 실리콘 나이트라이드 막을 증착하기 위한 프로세스 조건들의 예 및 적합한 범위들을 나타낸다. 표 1에 나타낸 바와 같이, 많은 프로세스 조건들이 동일한 프로세스 챔버 내에서 PEALD 및 열적 ALD 사이클들에서 유지될 수 있다. 프로세스 조건들, 예컨대, 웨이퍼 온도, 도즈 압력, 변환 압력, 실리콘-함유 전구체의 플로우 레이트, 및 질소-함유 반응물질의 플로우 레이트는 PEALD 사이클 및 열적 ALD 사이클에 걸쳐 동일할 수 있다. 그러나, 표 1에 나타낸 예시적인 프로세스 조건들은 열적 ALD 사이클을 위한 프로세스 조건들이 실리콘-함유 전구체를 위한 보다 긴 도즈 시간을 갖고, 플라즈마 전력을 갖지 않고, 질소-함유 반응물질을 변환하기 위한 보다 긴 변환 시간을 가질 수 있다는 것을 나타낸다.
파라미터 PEALD 열적 ALD 범위
웨이퍼 온도 (℃) 565 565 500 내지 630
실리콘-함유 전구체의 도즈 플로우 레이트 (sccm) 3,300 3,300 20 내지 5,000
도즈 시간(s) 6 10 0.1 내지 30
도즈 압력 (Torr) 7 7 0.5 내지 20
펌프 시간 (s) 8 8 0.1 내지 20
퍼지 플로우 (sccm) 4,500 4,500 250 내지 10,000
퍼지 시간 (s) 6 6 0.1 내지 20
질소-함유 반응물질의 플로우 레이트 (sccm) 1,650 1,650 10 내지 10,000
플라즈마 전력 (W) 175 0 25 내지 1,500
변환 압력 (Torr) 2 2 0.5 내지 20
변환 시간 (s) 2 2.7 0.1 내지 60
펌프 시간 (s) 1 1 0.1 내지 20
퍼지 플로우 (sccm) 4,500 4,500 250 내지 10,000
퍼지 시간 (s) 2 2 0.1 내지 20
장치
도 7a는 PEALD 사이클 및 열적 ALD 사이클을 통해 나이트라이드 막을 증착하기 위한 예시적인 장치의 개략도이다. 장치 또는 프로세스 스테이션 (700a) 은 저압 분위기를 유지하기 위한 프로세스 챔버 (702) 를 포함한다. 복수의 장치들 또는 프로세스 스테이션들 (700a) 은 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 8은 멀티-스테이션 프로세싱 툴 (800) 의 구현예를 도시한다. 일부 구현예들에서, 이하에 상세히 논의된 것들을 포함하는 장치 또는 프로세스 스테이션 (700a) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 시스템 제어기들 (750) 에 의해 프로그램적으로 조정될 수도 있다. 장치 또는 프로세스 스테이션 (700a) 은 웨이퍼 (712) 상에 나이트라이드 막을 증착하도록, 하나 이상의 PEALD 사이클들 및 하나 이상의 열적 ALD 사이클들을 포함한, 상기에 기술된 혼합된 모드 ALD 사이클을 수행할 수 있다.
장치 또는 프로세스 스테이션 (700a) 은 프로세스 가스들을 분배 샤워헤드 (706) 로 전달하기 위한 반응물질 전달 시스템 (701a) 과 유체적으로 연통한다. 반응물질 전달 시스템 (701a) 은 샤워헤드 (706) 로의 전달을 위해, 증기상의 실리콘-함유 전구체 가스와 같은 프로세스 가스들을 블렌딩 그리고/또는 컨디셔닝하기 위한 혼합 용기 (704) 를 포함한다. 일부 구현예들에서, 반응물질 전달 시스템은 샤워헤드 (706) 로의 전달을 위해, 질소-함유 반응물질 (예를 들어, 암모니아) 을 블렌딩 그리고/또는 컨디셔닝하기 위한 혼합 용기 (704) 를 포함한다. 하나 이상의 혼합 용기 유입부 밸브들 (720) 은 혼합 용기 (704) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 질소-함유 반응물질의 플라즈마가 또한 샤워헤드 (706) 로 전달될 수도 있거나 장치 또는 프로세스 스테이션 (700a) 내에서 생성될 수도 있다.
예로서, 도 7a의 구현예는 혼합 용기 (704) 로 공급될 액체 반응물질을 기화하기 위한 기화 지점 (703) 을 포함한다. 일부 구현예들에서, 기화 지점 (703) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립할 수 없는 가스들의 응결된 반응물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고 (clog), 밸브 동작을 지연시키고, 기판들을 오염시키는 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 방법들은 잔여 반응물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 증가시킬 수도 있다. 따라서, 일부 구현예들에서, 기화 지점 (703) 의 전달 배관 다운스트림에서 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (704) 가 또한 열 추적될 수도 있다. 비제한적인 일 예에서, 기화 지점 (703) 의 배관 다운스트림은 혼합 용기 (704) 에서 대략 100 ℃ 내지 대략 150 ℃로 연장하는 증가하는 온도 프로파일을 갖는다.
일부 구현예들에서, 액체 전구체 또는 액체 반응물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응물질의 펄스들을 혼합 용기 (704) 의 업스트림에서 캐리어 가스 스트림으로 주입할 수도 있다. 일 구현예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래시함으로써 반응물질을 기화시킬 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프에서 나중에 기화되는 분산된 마이크로드롭릿들로 액체를 원자화할 수도 있다. 보다 작은 드롭릿들이 보다 큰 드롭릿들보다 보다 고속으로 기화될 수 있어서, 액체 주입과 기화 완료 간의 지연을 감소시킨다. 보다 고속의 기화는 기화 지점 (703) 으로부터 배관 다운스트림의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (704) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 분배 샤워헤드 (706) 에 바로 장착될 수도 있다.
일부 구현예들에서, 기화 지점 (703) 의 업스트림에, 액체 유량 제어기 (LFC) 가 기화 및 장치 또는 프로세스 스테이션 (700a) 으로의 전달을 위해 액체의 질량 유량을 제어하기 위해 제공될 수도 있다. 예를 들어, 액체 유량 제어기 (LFC) 는 LFC의 다운스트림에 위치된 열적 질량 유량 미터 (MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 구현예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 전환될 수도 있다. 일부 구현예들에서, LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 동적 전환이 수행될 수도 있다.
샤워헤드 (706) 는 웨이퍼 (712) 를 향하여 프로세스 가스들을 분배한다. 도 7a에 도시된 구현예에서, 웨이퍼 (712) 는 샤워헤드 (706) 아래에 위치되고, 페데스탈 (708) 상에 놓인 것으로 도시된다. 샤워헤드 (706) 는 임의의 적합한 형상을 가질 수도 있고, 웨이퍼 (712) 로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 구현예들에서, 페데스탈 (708) 은, 웨이퍼 (712) 를 웨이퍼 (712) 와 샤워헤드 (706) 사이의 볼륨에 노출시키기 위해 상승되거나 하강될 수도 있다. 일부 구현예들에서, 페데스탈 높이는 적합한 시스템 제어기 (750) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (708) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 프로세스에 포함된 플라즈마 활성화 사이클들 동안 가변되게 할 수도 있다. 프로세싱 페이즈의 종료 시, 페데스탈 (708) 은 또 다른 웨이퍼 이송 페이즈 동안 페데스탈 (708) 로부터 웨이퍼 (712) 의 제거를 허용하도록 하강될 수도 있다.
일부 구현예들에서, 페데스탈 (708) 은 가열기 (710) 를 통해 온도 제어될 수도 있다. 일부 구현예들에서, 페데스탈 (708) 은 개시된 구현예들에서 기술된 바와 같이 실리콘 나이트라이드 막들의 증착 동안 약 650 ℃ 미만, 예컨대, 약 500 ℃ 내지 약 630 ℃의 온도로 가열될 수도 있다. 또한, 일부 구현예들에서, 장치 또는 프로세스 스테이션 (700a) 을 위한 압력 제어는 버터플라이 밸브 (718) 에 의해 제공될 수도 있다. 도 7a의 구현예에 도시된 바와 같이, 버터플라이 밸브 (718) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀링한다. 그러나, 일부 구현예들에서, 장치 또는 프로세스 스테이션 (700a) 의 압력 제어는 또한 장치 또는 프로세스 스테이션 (700a) 로 도입된 하나 이상의 가스들의 플로우 레이트를 가변함으로써 조정될 수도 있다.
일부 구현예들에서, 샤워헤드 (706) 의 위치는 웨이퍼 (712) 와 샤워헤드 (706) 사이의 볼륨을 가변시키기 위해 페데스탈 (708) 에 대해 조정될 수도 있다. 또한, 페데스탈 (708) 및/또는 샤워헤드 (706) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 구현예들에서, 페데스탈 (708) 은 웨이퍼 (712) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 구현예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 시스템 제어기들 (750) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
상기 논의된 바와 같이 플라즈마가 사용될 수도 있는 일부 구현예들에서, 샤워헤드 (706) 및 페데스탈 (708) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (714) 및 매칭 네트워크 (716) 와 전기적으로 통신한다. 일부 구현예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (714) 및 매칭 네트워크 (716) 는 목표된 조성의 라디컬 종을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (714) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 구현예들에서, RF 전력 공급부 (714) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒ 초과, 또는 27 ㎒ 초과, 또는 40 ㎒ 초과, 또는 60 ㎒ 초과의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 불연속적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다.
일부 구현예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인-시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy sensors) 에 의해 측정될 수도 있다. 일부 구현예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인-시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 구현예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 구현예들에서, 제어기 (750) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위해 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 구현예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 전구체 가스 (예를 들어, 실리콘-함유 전구체) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대, 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 및/또는 전구체 가스의 플로우 레이트를 조절하거나 중지시키기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 암모니아와 같은 질소-함유 반응물질 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4, 후속하는 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중지시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트력션들을 포함할 수도 있다. 일부 구현예들에서, 제 4 레시피 페이즈는 질소-함유 반응물질의 플라즈마를 점화하기 위한 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고/있거나 개시된 구현예들의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다. 일부 구현예들에서, 제어기 (750) 는 도 8의 시스템 제어기 (850) 에 대해 이하에 기술된 피처들 중 임의의 피처들을 포함할 수도 있다.
도 7b는 PEALD 사이클 및 열적 ALD 사이클을 통해 나이트라이드 막을 증착하기 위한 예시적인 단일-스테이션 모듈의 개략도이다. 도 7a의 장치 (700a) 와 유사하게, 단일-스테이션 모듈 (700b) 은 페데스탈 (708) 맞은편에 샤워헤드 (706) 를 포함할 수 있다. 페데스탈 (708) 은 웨이퍼 (712) 를 지지할 수 있고, 웨이퍼 (712) 는 복수의 피처들 (미도시) 을 포함할 수 있다. 페데스탈 (708) 은 웨이퍼 (712) 의 프로세싱 동안 상승된 온도로 가열될 수 있다. 샤워헤드 (706) 는 프로세스 가스들이 단일-스테이션 모듈 (700b) 의 프로세스 챔버 (702) 내로 공급될 수 있는 복수의 쓰루-홀들 (722) 을 포함할 수 있다. 프로세스 가스들은 실리콘-함유 전구체 및/또는 질소-함유 반응물질을 포함할 수 있다. 단일-스테이션 모듈 (700b) 은 웨이퍼 (712) 상에 나이트라이드 막을 증착하도록, 하나 이상의 PEALD 사이클들 및 하나 이상의 열적 ALD 사이클들을 포함한, 상기에 기술된 혼합된 모드 ALD 사이클을 수행할 수 있다.
상기에 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴 내에 포함될 수도 있다. 도 8은 로드록들 (821) 을 가진 멀티-스테이션 프로세싱 툴 (800) 의 구현예의 개략도를 도시한다. 멀티-스테이션 프로세싱 툴 (800) 은 이송 모듈 (803) 을 포함한다. 이송 모듈 (803) 은 다양한 모듈들 사이에서 프로세싱될 웨이퍼들이 이동될 때 프로세싱될 웨이퍼들의 오염 위험을 최소화하기 위해 클린 (clean), 가압된 분위기를 제공한다. 본 명세서에 기술된 구현예들에 따라, 원한다면 PEALD ALD 및 열적 ALD를 포함한 ALD를 수행할 수 있는 멀티-스테이션 반응기 (809) 가 이송 모듈 (803) 상에 장착된다. 멀티-스테이션 반응기 (809) 는 이들 동작들을 수행할 수도 있는 복수의 스테이션들 (811, 813, 815, 및 817) 을 포함할 수도 있다. 스테이션들 중 일부는 가열된 페데스탈 또는 웨이퍼 지지부, 하나 이상의 가스 유입부들 또는 샤워헤드 또는 분산 플레이트를 포함할 수도 있다. 도시된 멀티-스테이션 반응기 (809) 가 4 개의 스테이션들을 포함하지만, 본 개시를 따른 반응기는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구현예들에서, 반응기는 5 개 이상의 스테이션들을 가질 수도 있지만, 다른 구현예들에서 반응기는 3 개 또는 그 보다 적은 스테이션들을 가질 수도 있다.
또한 플라즈마 또는 화학적 (비-플라즈마) 선-세정을 수행할 수 있는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (807) 이 이송 모듈 (803) 상에 장착될 수도 있다. 모듈은 또한 다양한 처리들을 위해 사용될 수도 있다. 멀티-스테이션 프로세싱 툴 (800) 은 또한 하나 이상의 웨이퍼 소스 모듈들 (801) 을 포함하고, 웨이퍼들은 프로세싱 전후에 저장된다. 대기 이송 챔버 (819) 내의 대기 로봇 (atmospheric robot) (미도시) 은 먼저 소스 모듈들 (801) 로부터 로드록들 (821) 로 웨이퍼들을 제거할 수도 있다. 이송 모듈 (803) 내의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 은 로드록들 (821) 로부터 이송 모듈 (803) 상에 장착된 모듈들로 그리고 모듈들 사이에서 웨이퍼들을 이동시킨다.
일부 구현예들에서, 시스템 제어기 (850) 는 프로세스 툴 (800) 의 모든 액티비티들을 제어한다. 시스템 제어기 (850) 는 대용량 저장 디바이스에 저장되고, 메모리 디바이스로 로딩되고, 프로세서 상에서 실행되는 시스템 제어 소프트웨어를 실행한다. 대안적으로, 제어 로직은 제어기 (850) 내에서 하드코딩될 수도 있다. ASIC, PLD (예를 들어, FPGA (field-progra㎜able gate array)), 등이 이 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 유사한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어는 타이밍, 가스들의 혼합, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 웨이퍼 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (800) 에서 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 구성요소 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 사용된 프로세스 툴 구성요소들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 구현예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 및/또는 메모리 디바이스에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 구현예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 웨이퍼 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
웨이퍼 포지셔닝 프로그램은 웨이퍼 페데스탈 상에 기판을 로딩하고 웨이퍼와 프로세스 툴 (800) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 실리콘-함유 전구체 가스들, 및 질소-함유 반응물질 가스들, 캐리어 가스들, 및 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 하나 이상의 프로세스 스테이션들로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
가열기 제어 프로그램은 웨이퍼를 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 웨이퍼로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 구현예들에 따른, 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 구현예들에 따른 프로세스 챔버 내에서 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 구현예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 구현예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 압력, 온도 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (850) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 구현예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (850) 는, 통상적으로 장치가 개시된 구현예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함할 것이다. 개시된 구현예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독가능 매체는 시스템 제어기 (850) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (850) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (850) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (850) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (850) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 구현예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (850) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (850) 는 웨이퍼 프로세싱의 리모트 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 리모트 액세스를 인에이블할 수도 있다. 일부 예들에서, 리모트 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 리모트 컴퓨터는 차후에 리모트 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (850) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (850) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (850) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 리모트 컴퓨터의 일부로서) 리모트로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (850) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
리소그래픽 패터닝
본 명세서의 상기에 기술된 장치들/프로세스들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공동 제조 설비 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로, 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 고온 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (3) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (8) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
다른 실시예들
이 발명의 예시적인 실시예들 및 애플리케이션들이 도시되고 본 명세서에 기술되지만, 많은 변동들 및 수정들이 본 발명의 개념, 범위, 및 정신 내에서 가능하고, 이들 변동들은 이 출원의 정독 후 당업자에게 분명해질 것이다. 따라서, 본 실시예들은 제한적인 것이 아닌 예시적인 것으로서 간주되고, 본 발명은 본 명세서에 주어진 상세들에 제한되지 않지만, 첨부된 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (20)

  1. 웨이퍼 상에 나이트라이드 막을 제작하는 방법에 있어서,
    제 1 질소-함유 반응물질의 플라즈마 변환을 통해 웨이퍼 상에 하나 이상의 나이트라이드 층들을 증착하도록 프로세스 챔버 내의 상기 웨이퍼를 하나 이상의 PEALD (plasma-enhanced atomic layer) 사이클들에 노출시키는 단계; 및
    제 2 질소-함유 반응물질의 열적 변환을 통해 상기 웨이퍼 상에 하나 이상의 부가적인 나이트라이드 층들을 증착하도록 상기 프로세스 챔버 내의 상기 웨이퍼를 하나 이상의 열적 ALD (thermal atomic layer deposition) 사이클들에 노출시키는 단계로서, 상기 하나 이상의 나이트라이드 층들 및 상기 하나 이상의 부가적인 나이트라이드 층들은 2.0 초과의 굴절률을 갖는 나이트라이드 막을 형성하는, 상기 하나 이상의 열적 ALD 사이클들에 노출시키는 단계를 포함하는, 나이트라이드 막 제작 방법.
  2. 제 1 항에 있어서,
    상기 웨이퍼를 상기 하나 이상의 PEALD 사이클들에 노출시키는 단계는 상기 웨이퍼를 상기 하나 이상의 열적 ALD 사이클들에 노출시키는 단계 전에 발생하는, 나이트라이드 막 제작 방법.
  3. 제 1 항에 있어서,
    상기 웨이퍼를 상기 하나 이상의 PEALD 사이클들에 노출시키는 단계는 상기 웨이퍼를 상기 하나 이상의 열적 ALD 사이클들에 노출시키는 단계 후에 발생하는, 나이트라이드 막 제작 방법.
  4. 제 1 항에 있어서,
    상기 하나 이상의 열적 ALD 사이클들의 수는 상기 나이트라이드 막을 형성하기 위한 상기 하나 이상의 PEALD 사이클들의 수 이상인, 나이트라이드 막 제작 방법.
  5. 제 1 항에 있어서,
    상기 웨이퍼를 상기 하나 이상의 PEALD 사이클들에 노출시키는 단계는,
    실리콘-함유 전구체를 상기 웨이퍼로 도입하는 단계; 및
    상기 하나 이상의 나이트라이드 층들을 형성하기 위해 상기 실리콘-함유 전구체와 상기 제 1 질소-함유 반응물질 사이의 반응을 구동하도록 상기 웨이퍼를 상기 제 1 질소-함유 반응물질 및 플라즈마에 노출시키는 단계를 포함하는, 나이트라이드 막 제작 방법.
  6. 제 1 항에 있어서,
    상기 웨이퍼를 상기 하나 이상의 열적 ALD 사이클들에 노출시키는 단계는,
    실리콘-함유 전구체를 상기 웨이퍼로 도입하는 단계; 및
    상기 하나 이상의 부가적인 나이트라이드 층들을 형성하기 위해 상기 실리콘-함유 전구체와 상기 제 2 질소-함유 반응물질 사이의 반응을 구동하도록 상기 웨이퍼를 상기 제 2 질소-함유 반응물질 및 상승된 온도에 노출시키는 단계를 포함하는, 나이트라이드 막 제작 방법.
  7. 제 6 항에 있어서,
    상기 상승된 온도는 500 ℃내지 630 ℃인, 나이트라이드 막 제작 방법.
  8. 제 1 항에 있어서,
    상기 제 1 질소-함유 반응물질은 상기 제 2 질소-함유 반응물질과 동일한, 나이트라이드 막 제작 방법.
  9. 제 1 항에 있어서,
    상기 나이트라이드 막은 실리콘 나이트라이드 막을 포함하는, 나이트라이드 막 제작 방법.
  10. 제 1 항에 있어서,
    상기 웨이퍼를 상기 하나 이상의 PEALD 사이클들에 노출시키는 단계 및 상기 웨이퍼를 상기 하나 이상의 열적 ALD 사이클들에 노출시키는 단계는 상기 웨이퍼를 혼합된 모드 ALD 사이클에 노출시키는 단계를 구성하고,
    상기 방법은,
    목표된 두께를 갖는 상기 나이트라이드 막을 형성하기 위해 상기 프로세스 챔버 내의 상기 웨이퍼를 복수의 혼합된 모드 ALD 사이클들에 노출시키는 단계를 더 포함하는, 나이트라이드 막 제작 방법.
  11. 제 1 항에 있어서,
    웨이퍼 온도, 도즈 압력, 도즈 시간, 변환 압력, 도즈 전구체의 플로우 레이트, 반응물질의 플로우 레이트, 또는 변환 시간 중 적어도 하나의 프로세스 조건들은 상기 하나 이상의 열적 ALD 사이클들에서 상기 하나 이상의 PEALD 사이클들과 상이한, 나이트라이드 막 제작 방법.
  12. 웨이퍼 상에 나이트라이드 막을 제작하기 위한 장치에 있어서,
    프로세스 챔버;
    상기 프로세스 챔버 내에 있고 웨이퍼를 홀딩하도록 구성된 페데스탈;
    상기 프로세스 챔버 내로 프로세스 가스들의 전달을 위한 샤워헤드;
    상기 프로세스 챔버 내에서 플라즈마를 생성하도록 구성된 RF 전력 소스; 및
    제어기를 포함하고,
    상기 제어기는,
    제 1 질소-함유 반응물질의 플라즈마 변환을 통해 상기 웨이퍼 상에 하나 이상의 나이트라이드 층들을 증착하도록 상기 프로세스 챔버 내의 상기 웨이퍼를 하나 이상의 PEALD (plasma-enhanced atomic layer) 사이클들에 노출시키는 동작; 및
    제 2 질소-함유 반응물질의 열적 변환을 통해 상기 웨이퍼 상에 하나 이상의 부가적인 나이트라이드 층들을 증착하도록 상기 프로세스 챔버 내의 상기 웨이퍼를 하나 이상의 열적 ALD (thermal atomic layer deposition) 사이클들에 노출시키는 동작을 수행하기 위한 인스트럭션들로 구성되고, 상기 하나 이상의 나이트라이드 층들 및 상기 하나 이상의 부가적인 나이트라이드 층들은 나이트라이드 막을 형성하는, 나이트라이드 막 제작 장치.
  13. 제 12 항에 있어서,
    상기 나이트라이드 막은 2.0 초과의 굴절률을 갖는, 나이트라이드 막 제작 장치.
  14. 제 12 항에 있어서,
    PEALD 사이클 각각은 제 1 도즈 페이즈 및 플라즈마 변환 페이즈를 포함하고 열적 ALD 사이클 각각은 제 2 도즈 페이즈 및 열적 변환 페이즈를 포함하고, 상기 제 2 도즈 페이즈는 상기 제 1 도즈 페이즈보다 보다 길고 상기 열적 변환 페이즈는 상기 플라즈마 변환 단계보다 보다 긴, 나이트라이드 막 제작 장치.
  15. 제 12 항에 있어서,
    상기 하나 이상의 열적 ALD 사이클들의 수는 상기 나이트라이드 막을 형성하기 위한 상기 하나 이상의 PEALD 사이클들의 수 이상인, 나이트라이드 막 제작 장치.
  16. 제 12 항에 있어서,
    상기 제 1 질소-함유 반응물질은 상기 제 2 질소-함유 반응물질과 동일한, 나이트라이드 막 제작 장치.
  17. 제 12 항에 있어서,
    상기 웨이퍼를 상기 하나 이상의 PEALD 사이클들에 노출시키기 위한 인스트럭션들 및 상기 웨이퍼를 상기 하나 이상의 열적 ALD 사이클들에 노출시키기 위한 인스트럭션들은 상기 웨이퍼를 혼합된 모드 ALD 사이클에 노출시키기 위한 인스트럭션들을 구성하고,
    상기 제어기는,
    목표된 두께를 갖는 상기 나이트라이드 막을 형성하도록 상기 프로세스 챔버 내의 상기 웨이퍼를 복수의 혼합된 모드 ALD 사이클들에 노출시키는 동작을 수행하기 위한 인스트럭션들로 더 구성되는, 나이트라이드 막 제작 장치.
  18. 제 12 항에 있어서,
    상기 페데스탈에 열적으로 커플링된 하나 이상의 히터들을 더 포함하고, 상기 하나 이상의 히터들은 상기 제 2 질소-함유 반응물질의 열적 변환 동안 상기 페데스탈을 500 ℃ 내지 630 ℃의 온도로 유지하는, 나이트라이드 막 제작 장치.
  19. 제 12 항에 있어서,
    상기 제어기는 상기 웨이퍼가 상기 하나 이상의 열적 ALD 사이클들에 노출되기 전에 상기 웨이퍼를 상기 하나 이상의 PEALD 사이클들에 노출시키기 위한 인스트럭션들로 구성되는, 나이트라이드 막 제작 장치.
  20. 제 12 항에 있어서,
    상기 제어기는 상기 웨이퍼가 상기 하나 이상의 열적 ALD 사이클들에 노출된 후에 상기 웨이퍼를 상기 하나 이상의 PEALD 사이클들에 노출시키기 위한 인스트럭션들로 구성되는, 나이트라이드 막 제작 장치.
KR1020220109139A 2016-09-07 2022-08-30 Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막 KR20220124668A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230138867A KR20230148308A (ko) 2016-09-07 2023-10-17 Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/258,789 US9865455B1 (en) 2016-09-07 2016-09-07 Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US15/258,789 2016-09-07
KR1020170110744A KR102439698B1 (ko) 2016-09-07 2017-08-31 Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170110744A Division KR102439698B1 (ko) 2016-09-07 2017-08-31 Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230138867A Division KR20230148308A (ko) 2016-09-07 2023-10-17 Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막

Publications (1)

Publication Number Publication Date
KR20220124668A true KR20220124668A (ko) 2022-09-14

Family

ID=60812864

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020170110744A KR102439698B1 (ko) 2016-09-07 2017-08-31 Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR1020220109139A KR20220124668A (ko) 2016-09-07 2022-08-30 Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR1020230138867A KR20230148308A (ko) 2016-09-07 2023-10-17 Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170110744A KR102439698B1 (ko) 2016-09-07 2017-08-31 Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230138867A KR20230148308A (ko) 2016-09-07 2023-10-17 Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막

Country Status (3)

Country Link
US (1) US9865455B1 (ko)
JP (1) JP7022537B2 (ko)
KR (3) KR102439698B1 (ko)

Families Citing this family (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US20200411342A1 (en) * 2019-06-27 2020-12-31 Applied Materials, Inc. Beamline architecture with integrated plasma processing
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP2022038380A (ja) 2020-08-26 2022-03-10 東京エレクトロン株式会社 成膜方法および成膜装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114641A1 (en) * 2021-12-14 2023-06-22 Lam Research Corporation Conformal deposition of silicon nitride
WO2023133075A1 (en) * 2022-01-07 2023-07-13 Lam Research Corporation Silicon nitride deposition
WO2023178216A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Low-k dielectric protection during plasma deposition of silicon nitride
WO2023230170A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Hybrid atomic layer deposition
WO2023230296A1 (en) * 2022-05-27 2023-11-30 Lam Research Corporation Single wafer reactor, low temperature, thermal silicon nitride deposition

Family Cites Families (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
WO2001033615A2 (en) 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
AU2001255656A1 (en) 2000-04-25 2001-11-07 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
WO2008035678A1 (fr) 2006-09-19 2008-03-27 Tokyo Electron Limited Processus de nettoyage de plasma et procédé cvd plasma
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR102111702B1 (ko) * 2011-04-07 2020-05-15 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN103890910B (zh) 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
JP2014082322A (ja) * 2012-10-16 2014-05-08 Tokyo Electron Ltd シリコン窒化物膜の成膜方法および成膜装置
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
JP6301640B2 (ja) * 2013-11-28 2018-03-28 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US9214334B2 (en) * 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes

Also Published As

Publication number Publication date
KR20230148308A (ko) 2023-10-24
JP2018050038A (ja) 2018-03-29
US9865455B1 (en) 2018-01-09
KR102439698B1 (ko) 2022-09-01
KR20180028016A (ko) 2018-03-15
JP7022537B2 (ja) 2022-02-18

Similar Documents

Publication Publication Date Title
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
US10804099B2 (en) Selective inhibition in atomic layer deposition of silicon-containing films
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
TWI714534B (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
KR20210152447A (ko) 초박 원자층 증착 막 정확도 두께 제어
US20160329206A1 (en) Methods of modulating residual stress in thin films
KR20210016063A (ko) 금속-함유 하드마스크 박막들의 선택적인 성장
US9786496B2 (en) Method of densifying films in semiconductor device
US20220208543A1 (en) Modulated atomic layer deposition
US20230154754A1 (en) Loss prevention during atomic layer deposition
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
TW202413687A (zh) 單晶圓反應器、低溫熱矽氮化物沉積

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination
A107 Divisional application of patent