JP2018050038A - プラズマ支援および熱原子層堆積プロセスによる窒化膜形成 - Google Patents

プラズマ支援および熱原子層堆積プロセスによる窒化膜形成 Download PDF

Info

Publication number
JP2018050038A
JP2018050038A JP2017168109A JP2017168109A JP2018050038A JP 2018050038 A JP2018050038 A JP 2018050038A JP 2017168109 A JP2017168109 A JP 2017168109A JP 2017168109 A JP2017168109 A JP 2017168109A JP 2018050038 A JP2018050038 A JP 2018050038A
Authority
JP
Japan
Prior art keywords
wafer
nitrogen
silicon
plasma
cycles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017168109A
Other languages
English (en)
Other versions
JP2018050038A5 (ja
JP7022537B2 (ja
Inventor
ジェームズ・サミュエル・シムズ
samuel sims James
キャスリン・メルセド・ケルフナー
Merced Kelchner Kathryn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018050038A publication Critical patent/JP2018050038A/ja
Publication of JP2018050038A5 publication Critical patent/JP2018050038A5/ja
Application granted granted Critical
Publication of JP7022537B2 publication Critical patent/JP7022537B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Superconductor Devices And Manufacturing Methods Thereof (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

【課題】典型的なサーマルバジェット制約の範囲内で、エッチング耐性のあるシリコン窒化膜の形成をALDによって実現する方法を提供する。
【解決手段】単一の反応器内で1回以上のプラズマ強化原子層堆積サイクルおよび1回以上の熱原子層堆積サイクルを用いて窒化膜を堆積する。熱原子層堆積サイクルの数は、プラズマ強化原子層堆積サイクルの数以上とする。シリコン窒化膜はより高い屈折率を有する、よりシリコンリッチな膜が得られるように、シリコン窒化膜を微調整することができる。プラズマ強化原子層堆積サイクルおよび熱原子層堆積サイクルは、同じウェハ温度に維持する。
【選択図】図4

Description

本開示は、広くは、ウェハ上への窒化膜の堆積に関し、より具体的には、プラズマ強化原子層堆積プロセスと熱原子層堆積プロセスの混合サイクルによるウェハ上への窒化膜の堆積に関するものである。
半導体産業においてデバイスおよびフィーチャ(特徴)サイズの低減が絶えず進むとともに、集積回路(IC)設計において3Dデバイス構造がより一般的になるにつれて、コンフォーマル薄膜(非平面であっても下地構造の形状に対して均一な厚さを有する材料膜)を堆積する能力の重要性は高まり続けるであろう。原子層堆積(ALD)は、ALDの1サイクルでは材料の単一薄層を堆積するのみであり、その厚さは、成膜化学反応自体の前にウェハ表面に吸着し得る一種以上の反応前駆体の量によって制限される(すなわち、吸着律速層を形成する)ことから、コンフォーマル膜の堆積によく適した成膜技術である。所望の厚さの膜をビルドアップするために、複数の「ALDサイクル」を用いてよく、各層は薄くてコンフォーマルであるため、結果的に下地のデバイス構造の形状におおむね適合する膜が得られる。
半導体デバイスの製造は、窒化膜の堆積を伴うことがある。例えば、シリコン窒化薄膜は、独特な物理的特性、化学的特性、および機械的特性を有するため、様々な用途に使用される。例えば、シリコン窒化膜は、トランジスタにおける拡散バリア、ゲート絶縁膜、側壁スペーサ、封止層、歪み膜などに用いられることがある。IC設計におけるシリコン窒化膜の役割から、シリコン窒化膜は、多くの場合、低ウェットエッチング速度または低ドライエッチング速度を有することが望ましい。しかしながら、典型的なサーマルバジェット制約の範囲内で、そのようなエッチング耐性のあるシリコン窒化膜の形成をALDによって実現することは困難であった。
本開示は、ウェハ上に複数の混合モード原子層堆積(ALD)サイクルを付与することを含む方法に関する。各混合モードALDサイクルは、1回以上のプラズマ強化原子層堆積(PEALD)サイクルおよび1回以上の熱原子層堆積(熱ALD)サイクルを含み、各PEALDサイクルおよび各熱ALDサイクルでは、窒素含有反応物質をウェハ上の窒化層に転化させる。
一部の実施形態では、複数の混合モードALDサイクルを付与することは、1回以上のPEALDサイクルを付与することを含み、このとき、1回以上のPEALDサイクルを付与することは、シリコン含有前駆体の第1のドーズを気相でウェハに導入することと、ウェハを窒素含有反応物質のプラズマに暴露することと、窒素含有反応物質をウェハ上の窒化層に転化させることと、を含み、このとき、窒化層はシリコン窒化層である。一部の実施形態では、複数の混合モードALDサイクルを付与することは、1回以上の熱ALDサイクルを付与することを含み、このとき、1回以上の熱ALDサイクルを付与することは、シリコン含有前駆体の第2のドーズを気相でウェハに導入することと、高温でウェハを窒素含有反応物質に暴露することと、高温で窒素含有反応物質を窒化層に転化させることと、を含み、このとき、窒化層はシリコン窒化層である。一部の実施形態では、高温は少なくとも500℃以上である。一部の実施形態では、ウェハを窒素含有反応物質のプラズマに暴露する間、ウェハを高温に維持する。一部の実施形態では、シリコン窒化層中のシリコン対窒素濃度比は、1.2:1〜1.8:1の間である。一部の実施形態では、シリコン窒化層は、約2.0〜2.5の間の屈折率を有する。一部の実施形態では、各混合モードALDサイクルにおいて、1回以上の熱ALDサイクルの数は、1回以上のPEALDサイクルの数以上である。
本開示は、さらに、窒化膜を製造する方法に関する。この方法は、ウェハを処理チェンバ内に供給することと、窒素含有反応物質のプラズマ転化によって1つ以上の窒化層をウェハ上に堆積させるために1回以上のPEALDサイクルを付与することと、1回以上のPEALDサイクルの前または後に、窒素含有反応物質の熱転化によって1つ以上の窒化層をウェハ上に堆積させるために1回以上の熱ALDサイクルを付与することと、1つ以上の窒化層から窒化膜を形成することと、を含む。
一部の実施形態では、熱ALDサイクルの数は、PEALDサイクルの数以上である。一部の実施形態では、1回以上のPEALDサイクルの付与中および1回以上の熱ALDサイクルの付与中は、500℃以上の温度を維持する。一部の実施形態では、窒素含有反応物質の熱転化の時間は、窒素含有反応物質のプラズマ転化の時間よりも長い。一部の実施形態では、窒化膜はシリコン窒化膜である。一部の実施形態では、シリコン窒化膜は、約2.0〜約2.5の間の屈折率を有する。一部の実施形態では、シリコン窒化膜中のシリコン対窒素濃度比は、1.2:1〜1.8:1の間である。
これらおよび他の実施形態について、図面を参照して、以下でさらに説明する。
シリコン窒化膜を堆積するための複数のALDサイクルを示す例示的なタイミングシーケンス図を示している。
シリコン含有前駆体の流量の関数として、シリコン窒化膜の屈折率に関するデータを示すグラフを示している。
シリコン窒化膜を堆積するための例示的な化学気相成長(CVD)炉反応器の概略図を示している。
シリコン窒化膜を堆積するためのプラズマ強化原子層堆積(PEALD)サイクルおよび熱ALDサイクルを示す例示的なタイミングシーケンス図を示している。
窒化膜を堆積するための例示的なプロセスのフロー図を示している。
1つのPEALDサイクル当たりの熱ALDサイクル数の関数として、シリコン窒化膜の屈折率に関するデータを示すグラフを示している。
PEALDサイクルおよび熱ALDサイクルによって窒化膜を堆積するための例示的な処理チェンバの概略図である。
PEALDサイクルおよび熱ALDサイクルによって窒化膜を堆積するための例示的なシングルステーションモジュールの概略図である。
開示される実施形態を実施するための例示的なプロセスツールの概略図である。
[序論]
以下の説明では、提示するコンセプトについての完全な理解を与えるため、様々な具体的詳細について記載する。提示するコンセプトは、それら特定の詳細の一部またはすべてを伴うことなく実施してよい。一方で、記載するコンセプトを不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。いくつかのコンセプトは、具体的な実施形態に関連して説明されるものの、それらの実施形態は限定するものではないことは理解されるであろう。
本開示において、「半導体ウェハ」、「ウェハ」、「基板」、「ウェハ基板」、および「半製品の集積回路」という用語は、区別なく用いられる。「半製品の集積回路」という用語が、集積回路がその上に作製される多くの段階のいずれかにおけるシリコンウェハを指し得ることは、当業者であれば理解できるであろう。半導体デバイス産業で使用されるウェハまたは基板は、一般に、直径が200mmまたは300mmまたは450mmのものである。以下の詳細な説明では、本開示がウェハ上で実施されることを想定している。ただし、本開示はこれに限定されない。ワークピースは、様々な形状、サイズ、材質のものであってよい。半導体ウェハに加えて、本開示を利用することがあり得る他のワークピースとして、プリント回路基板などの各種物品が含まれる。
[ALD/PEALD]
ALDは、逐次自己制御反応を用いて材料の薄層を堆積させる手法である。典型的には、ALDサイクルは、少なくとも1種の反応物質を供給して基板表面に吸着させ、その後、吸着した反応物質を1種以上の反応物質と反応させることで、膜の部分的な層を形成するための工程を含む。一例として、シリコン窒化物堆積サイクルは、以下の工程を含み得る。(i)シリコン含有前駆体の供給/吸着、(ii)チェンバからのシリコン含有前駆体のパージ、(iii)窒素含有反応物質のプラズマの供給、(iv)チェンバからのプラズマのパージ。各種前駆体と共反応物質のパルスを用いて、他の種類の膜を堆積させてよい。
CVD法とは異なり、ALDプロセスでは、表面媒介堆積反応を用いて、層を重ねて膜を堆積させる。ALDプロセスの一例では、ウェハを収容するチェンバにドーズで供給されるシリコン含有前駆体のような第1の前駆体の気相供給に、表面活性部位ポピュレーションを含むウェハ表面を暴露する。この第1の前駆体の分子は、第1の前駆体の化学吸着種および/または物理吸着分子を伴ってウェハ表面に吸着される。なお、本明細書で記載される場合の、ウェハ表面に化合物が吸着されるときには、その吸着層は、化合物だけではなく、化合物の誘導体も含み得るということは理解されなければならない。例えば、シリコン含有前駆体の吸着層は、シリコン含有前駆体だけではなく、シリコン含有前駆体の誘導体も含むことがある。第1の前駆体のドーズ後に、主に吸着種を残して、または吸着種のみを残して、気相のままの第1の前駆体の大部分またはすべてを除去するように、チェンバを排気する。一部の実施形態では、チェンバを完全には排気しなくてよい。例えば、気相の第1の前駆体の分圧が、反応を緩和するのに十分に低くなるように、チェンバを排気してよい。窒素含有反応物質のような第2の反応物質をチェンバに導入することで、それらの分子の一部を、表面に吸着した第1の前駆体と反応させる。プロセスによっては、第2の前駆体は、吸着した第1の前駆体と直ちに反応する。他の実施形態では、活性化源を一時的に付与した後にのみ、第2の反応物質は反応する。その後、未結合の第2の反応物質分子を除去するために、チェンバを再び排気してよい。上述のように、一部の実施形態では、チェンバを完全には排気しなくてよい。膜厚をビルドアップするために、追加のALDサイクルを用いてよい。
一部の実施形態では、ALD法は、プラズマ活性化を伴う。本明細書で記載される場合の、本明細書に記載のALD法および装置は、2011年4月11日に出願された「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION(プラズマ活性化によるコンフォーマル膜堆積)」と題する米国特許出願第13/084,399号(現在の米国特許第8,728,956号)および2011年4月11日に出願された「SILICON NITRIDE FILMS AND METHODS(シリコン窒化膜および方法)」と題する米国特許出願第13/084,305号で概説されているコンフォーマル膜堆積(CFD)法であってよく、これらの文献は、その全体が参照により本明細書に組み込まれる。
図1は、シリコン窒化膜を堆積するための複数のALDサイクルを示す例示的なタイミングシーケンス図を示している。図1は、キャリアガスまたはパージガス流、プラズマ、シリコン含有前駆体流、および窒素含有反応物質流、などの各種プロセスパラメータについて、典型的なALDプロセス100におけるいくつかのフェーズを示している。図1のALDサイクルのそれぞれは、PEALDサイクルを表し得る。それらのラインは、流入をオン・オフするタイミング、またはプラズマをオン・オフするタイミングを示している。プロセスパラメータの例として、不活性種および反応種の流量、プラズマパワーおよびプラズマ周波数、ウェハ温度、処理チェンバ圧力が含まれるが、ただしこれらに限定されない。
シリコン窒化物を所望の膜厚に堆積するために、任意の適切な数の堆積サイクルをALDプロセスに含んでよい。図1のタイミングシーケンスは、2つの堆積サイクル110Aおよび110Bにおける図1の各種オペレーションを示している。例えば、堆積サイクル110Aでは、ドーズフェーズ157Aにおいてウェハをシリコン含有前駆体に暴露し、堆積サイクル110Bでは、ドーズフェーズ157Bにおいてウェハをシリコン含有前駆体に暴露する。一部の実施形態では、シリコン含有前駆体は、ハロゲン化シランのようなシランである。ドーズフェーズ157Aおよび157Bでは、プラズマをオフにして、窒素含有反応物質をウェハに向けて流入させることなく、アルゴンのようなキャリアガスを連続的に流入させる。一部の実施形態では、流量およびウェハ表面積に応じて、約0.1秒〜約60秒の間の時間、または約0.2秒〜約6秒の間の時間にわたって、ウェハをシリコン含有前駆体に暴露してよい。
一部の実施形態では、シリコン含有前駆体は、自己制御的に基板表面に吸着し、これにより、シリコン含有前駆体が活性点を占拠すると、さらにシリコン含有前駆体がウェハ表面に吸着することは、ほとんどまたは全くなくなる。例えば、シリコン含有前駆体は、ウェハ表面の少なくとも60%に吸着され得る。シリコン含有前駆体は、ウェハ表面上の活性点に吸着すると、表面上にシリコン含有前駆体の薄層を形成する。種々の実施形態において、この層は、1原子層に満たない場合があり、約0.1Å〜約0.5Åの間の厚さを有し得る。CVDプロセスまたはCVD系プロセスとは異なり、シリコン含有前駆体が分解してシリコン層を形成することはない。
パージフェーズ159Aおよび159Bでは、任意選択的に、処理チェンバは、ウェハ表面に吸着しなかった余分な気相のシリコン含有前駆体を除去するためにパージされる。パージは、スイープガスを伴う場合があり、それは、他のオペレーションで使用されるキャリアガスであっても異なるガスであってもよい。一部の実施形態では、パージは、処理チェンバを排気することを伴い得る。パージフェーズ159Aおよび159Bでは、シリコン含有前駆体流をオフにして、プラズマを点火せず、窒素含有反応物質を処理チェンバに供給しない。余分なシリコン含有前駆体を処理チェンバからパージするために、アルゴンのようなキャリアガスを連続的に流入させる。一部の実施形態では、パージフェーズ159Aおよび159Bのそれぞれは、処理チェンバを排気するための1回以上の排気サブフェーズを含むことがある。あるいは、一部の実施形態では、それぞれのパージフェーズ159Aおよび159Bを省略してよいことは理解されるであろう。それぞれのパージフェーズ159Aおよび159Bは、約0秒〜約60秒の間の時間または約0.01秒間など、任意の適切な継続時間であってよい。一部の実施形態では、1種以上のスイープガスの流量を増加させることによって、それぞれのパージフェーズ159Aおよび159Bの継続時間は短縮され得る。例えば、それぞれのパージフェーズ159Aおよび159Bの継続時間を変更するために、種々の反応物質の熱力学的特性ならびに/または処理チェンバおよび/もしくは処理チェンバの配管の幾何学的特性に応じて、パージガス流量を調整してよい。非限定的な一例では、スイープガス流量を変調することにより、スイープフェーズの継続時間を調整してよい。これにより、堆積サイクル時間が短縮されることがあり、これによってウェハスループットが向上し得る。パージ後に、シリコン含有前駆体は、ウェハ表面に吸着したままである。
プラズマ暴露フェーズ161Aおよび161Bでは、プラズマを点火してよい。例えば、プラズマ暴露フェーズ161Aおよび161Bでは、ウェハを窒素プラズマに暴露してよい。従って、プラズマ暴露フェーズ161Aおよび161Bでは、窒素含有反応物質の流入とプラズマの両方をオンにする。一部の実施形態では、プラズマをオンにする前に、窒素含有反応物質の流入をオンにしてよい。プラズマ暴露フェーズ161Aおよび161Bでは、シリコン含有前駆体の流入をオフにして、不活性ガスを連続的に流入させる。約0.1秒〜約60秒の間の継続時間、または約0.1秒〜約6秒の間の継続時間にわたって、ウェハを窒素含有反応物質のプラズマに暴露してよい。一部の実施形態では、プラズマ暴露フェーズ161Aおよび161Bは、ウェハ表面に吸着したすべての前駆体とプラズマが相互作用してウェハ表面上に連続膜を形成するための時間を超える継続時間であってよい。
種々の実施形態において、プラズマは、インサイチュ(in−situ)プラズマであり、これにより、処理チェンバ内のウェハ表面の上方において、直接、プラズマが生成される。例えば、2つの容量結合板を用いて高周波(RF)電場をガスに印加することにより、ALDプロセスのためのプラズマを発生させてよい。堆積プロセスに関与するガスのイオン、ラジカル、および他の活性種が形成され得る。RF電場は、任意の適切な電極によって結合され得ることは理解されるであろう。電極の非限定的な例として、処理ガス分配シャワーヘッドとウェハサポート(ペデスタル)が含まれる。ALDプロセスのためのプラズマは、RF電場をガスに容量結合する以外の1つ以上の適切な方法によって形成してよいことは理解されるであろう。一部の実施形態では、プラズマはリモートプラズマであり、従って、窒素含有反応物質は、処理チェンバの上流のリモートプラズマ発生器内で点火されてから、ウェハを収容している処理チェンバに供給される。一部の実施形態では、容量結合プラズマに代えて、誘導結合プラズマなど、他の種類のプラズマを用いてよい。
プラズマ暴露フェーズ161Aおよび161Bでは、RF電場は、窒素含有反応物質を、シリコン含有前駆体の吸着層と反応するイオンおよびラジカルへと活性化するために供給される。特定の理論にとらわれることなく、より高周波数のプラズマは、イオンよりもラジカルを多く生成し得ることで、ラジカルとシリコン含有前駆体とのより高い反応性を理由として、シリコン窒化物の堆積を向上させることが可能である。種々の実施形態において、少なくとも約13.56MHz、または少なくとも約27MHz、または少なくとも約40MHz、または少なくとも約60MHzの周波数を有する高周波プラズマを用いる。窒素含有反応物質を活性化してプラズマを生成すると、窒素含有反応物質のラジカルおよびイオンは、ウェハ表面に吸着したシリコン含有前駆体と反応して、これによりシリコン窒化物の薄膜を形成する。
次に、プラズマを消火し、パージフェーズ163Aおよび163Bにおいて処理チェンバをパージする。シリコン含有前駆体流をオフにして、プラズマを点火せず、窒素含有反応物質を処理チェンバに供給しない。パージは、キャリアガスまたは他の任意の不活性ガスを流入させることにより実施してよい。
オペレーション157A、159A、161A、163Aを実行することは、シリコンドーズの半サイクルと呼ぶこともできる堆積サイクル110Aのような1サイクルに相当し得る。オペレーション157B、159B、161B、163Bを実行することは、窒化物転化の半サイクルと呼ぶこともできる堆積サイクル110Bのような別の1サイクルに相当し得る。2つの半サイクル110Aと110Bを足し合わせて、サイクル全体を構成することができる。それらのオペレーションのドーズ時間および暴露時間に応じて、それぞれのサイクル全体(または2つの半サイクル)で、約0.05Å〜約2Åの間の厚さを有するシリコン窒化膜層を堆積させてよい。シリコン窒化物の所望の膜厚が得られるまで、サイクルを繰り返してよい。
垂直NAND型フラッシュメモリ構造のような3Dメモリ構造は、比較的高アスペクト比のフィーチャを有し得る。比較的高アスペクト比の垂直構成によって、密度を顕著に高めて3Dメモリ構造を形成することが可能となる。シリコン窒化物の1つ以上の層を、3Dメモリ構造における電荷トラップ層として堆積させてよい。3Dメモリ構造における電荷トラップ層は、電圧が印加されたときに電荷を「トラップする」ことが可能であり得るとともに、3Dメモリ構造のプログラムステップおよび消去ステップに影響を及ぼし得る。従って、電荷トラップ層は、3Dメモリ構造のプログラミングの制御に作用し得る。
場合によっては、電荷トラップ層はシリコン窒化膜である。シリコン窒化膜は、化学式Sixyzを有することができ、この場合、3Dメモリ構造の書き込み消去特性を調整する上で、Sixyzの化学量論制御が重要であり得る。膜の電荷トラップ能力を最適化するために、化学量論を制御することによって、バンドギャップ内欠陥準位を調整することができる。Sixyz化学量論の代わりとなる1つの測定値は、屈折率であり得る。
さらに、3Dメモリ構造におけるシリコン窒化膜は、1つ以上のエッチング工程に暴露されるか、またはその他の形で1つ以上のエッチング工程による損傷を受けやすいことがある。従って、シリコン窒化膜は、低ウェットエッチング速度(WER)および/または低ドライエッチング速度(DER)を有するなど、堅牢かつエッチング耐性であることが望ましい。シリコン窒化膜は、複数のエッチング工程のような複数の後処理工程を経る場合があり、従って、シリコン窒化膜は、そのWERおよびDERによって、そのような後処理工程下において堅牢とすることが可能であり得る。さらに、シリコン窒化層は、高アスペクト比フィーチャを有する3Dメモリ構造内に堆積される。高アスペクト比フィーチャとして、5:1よりも高い、または10:1よりも高い、または20:1よりも高い、または100:1よりも高い、深さ対幅アスペクト比を有するフィーチャを含むことがある。従って、シリコン窒化層は、高アスペクト比フィーチャにおいてコンフォーマルに堆積されることが望ましい。CVDプロセスおよびプラズマCVDプロセスとは異なり、ALDプロセスでは、高アスペクト比フィーチャにおいて比較的良好なコンフォーマル性を確保することができる。
一般に、PEALDプロセスは、かなり短いALDサイクル時間内で、低WERかつ高コンフォーマル性のシリコン窒化膜を堆積することが可能である。ところで、図1のプラズマ暴露フェーズ161Aおよび161Bのようなプラズマ暴露工程では、一般に、使用できる表面配位子のすべてまたは略すべてを、プラズマ暴露工程により、窒素含有反応物質の活性種で飽和状態にする。例えば、アンモニア(NH3)プラズマは、使用できる表面配位子のすべてまたは略すべてをNHまたはNH2ラジカルで飽和状態にすることがある。従って、NH3プラズマによって、シリコン含有前駆体の吸着種のすべてをNHまたはNH2ラジカルで飽和状態にし、これら一連の自己制御反応によりシリコン窒化膜をビルドアップする。使用できる表面配位子のすべてまたは略すべてを窒素含有反応物質の活性種で飽和状態にすることで、ウェハ表面は「窒化」される。これにより、シリコン窒化膜に取り込まれるシリコンの量を基本的に制限することが可能である。ひいては、これにより、シリコン窒化膜中のシリコン対窒素濃度比を制限制御することが可能である。使用できる表面配位子を窒素含有反応物質の活性種でより多く窒化または飽和状態にすることによって、結果的に、より窒素リッチなシリコン窒化膜が得られる。
膜の屈折率は、膜の化学物質含有量の指標または目安となり得る。具体的には、シリコン窒化膜の屈折率は、シリコン窒化膜がよりシリコンリッチであるか窒素リッチであるかを示すことができる。また、屈折率は、シリコン窒化膜の密度、WER、DER、および電荷トラップ層としての電荷トラップ能力など、シリコン窒化膜の他の特性も示し得る。より高い屈折率は、より高いシリコン濃度、密度、WER、DER、および電荷トラップ層としての電荷トラップ能力を示し得る。シリコン窒化膜での電荷トラップ能力が高まると、シリコン窒化膜によって、垂直NAND型フラッシュメモリ構造における効果的なオン/オフトグルが可能となる。
PEALDプロセスは、より窒素リッチなシリコン窒化膜を得ることが可能であり得る。一方、よりシリコンリッチなシリコン窒化膜を得ることを、PEALDプロセスで実現することは難しい場合がある。図2は、シリコン含有前駆体の流量の関数として、シリコン窒化膜の屈折率に関するデータを示すグラフを示している。図2のグラフは、PEALDプロセスを用いて、ドーズフェーズ中のシリコン含有前駆体の流量に応じてシリコン窒化膜の屈折率が高まる様子を示している。シリコン含有前駆体のドーズフェーズは、図1のドーズフェーズ157Aおよび157Bと同様であり得る。ところが、ドーズフェーズで供給されるシリコン含有前駆体を増加させていったとしても、シリコン窒化膜の屈折率は限界に達する。実施形態によっては、従来のPEALDプロセスでは、2.0よりも高い屈折率、または約2.0〜約2.5の間の屈折率、または約2.0〜約2.1の間の屈折率を有するシリコン窒化膜を得ることはできない。これは、従来のPEALDプロセスではシリコン対窒素濃度比が限界に達するだけではなく、密度、WER、DER、および電荷トラップ層での電荷トラップ能力のような他の特性も限界に達し得ることを意味する。
[CVD炉反応器]
2.0よりも高い屈折率を有するシリコン窒化膜を堆積するための従来の方法は、CVD炉反応器を用いて実施されることがある。一部の実施形態では、CVD炉反応器は、低圧化学気相成長(LPCVD)炉反応器である。一部のCVD炉反応器は、より均一な温度分布および対流効果の低減という利点を有するホットウォールシステムであり得る。
図3は、シリコン窒化膜を堆積するための例示的なCVD炉反応器の概略図を示している。CVD炉反応器300は、CVD炉反応器300の壁302を取り囲む複数のヒータ310を備え得る。複数のヒータ310は、CVD炉反応器300に沿った軸方向温度の何らかの制御を可能とする複数の加熱ゾーンを提供することができる。一部の実施形態では、CVD炉反応器300の温度範囲を、約300℃〜約1150℃の間に制御する。図3のCVD炉反応器300の実施形態は、ホットウォールシステムである。
CVD炉反応器300は、複数のウェハ306を互いに積み重ねて入れることができる。各々のウェハ306を、ウェハサポート304で支持して、重力で保持してよい。CVD炉反応器300の垂直方向に沿ったウェハ間の間隔は、均一にすることができる。これにより、CVD炉反応器300全体を通した1回のランで数十または数百のウェハ306をバッチ処理することが可能となる。
CVD炉反応器300に反応ガス330が進入し、ガス入口322を通って流入する。反応ガス330は、対流によってCVD炉反応器300に循環し、拡散によりウェハ306に向かって流れる。各々のウェハ306にシリコン窒化膜を堆積させるために、CVD炉反応器300を、低圧まで減圧するとともに、約630℃よりも高い温度、または約630℃〜約800℃の間の温度、または約650℃などの所望の堆積温度まで加熱することができる。反応ガス330は、ガス入口322を通して供給されて各々のウェハ306に向かって拡散するジクロロシラン(DCS)およびNH3であり得る。余分な反応ガス330は、ガス出口324を通してCVD炉反応器300から排出させてよい。DCSおよびNH3は、高温下で反応して、2.0よりも高い屈折率を有するシリコン窒化膜などのシリコン窒化膜を形成する。高密度かつ低WERを実現するために、高温によって熱NH3転化を促進する。所望の密度、十分な低WER、および十分なスループットを実現するために、堆積温度は(例えば、約630℃よりも高い)高温に維持されなければならず、これにより総サーマルバジェットに負担を課す可能性がある。
CVD炉反応器300は、総サーマルバジェットに負担を課すという問題があるだけではなく、CVD炉反応器300は、化学的減少効果の問題がある。複数のウェハ306は、各ウェハ306間に比較的小さい間隙を有して積み重ねられるので、反応ガス330は、その間隙を通って拡散しなければならない。ウェハ306間の間隔によって拡散が抑制され得ることで、各ウェハ306のエッジと比較して各ウェハ306の中心では、付着する反応ガス330が少なくなることがある。その結果、各ウェハ306の中心からエッジへの化学的減少が生じ、この場合、シリコン窒化膜の厚さは、各ウェハ306の中心よりもエッジにおいて大きくなる。さらに、化学的減少効果は、CVD炉反応器300の高さにわたっても見ることができ、その結果、上部から下部へと厚さが変化する。化学的減少効果によって、各ウェハ306の高アスペクト比フィーチャにわたってなど、各ウェハ306におけるシリコン窒化膜のコンフォーマル性が低下する可能性がある。化学的減少効果を軽減するために、ウェハ306間の間隔を大きくすることができ、また、CVD炉反応器306に供給するウェハ306の数を減らすことができるが、これがスループットに悪影響を及ぼす可能性がある。
[混合モードALDプロセス]
本開示は、単一の反応器内でPEALDプロセスと熱ALDプロセスの組み合わせを用いて、ウェハ上に窒化膜を堆積する方法に関する。高スループットの枚葉式ウェハ反応器において窒化膜を堆積させるために、PEALDプロセスのサイクルと熱ALDプロセスのサイクルを混合することができる。PEALDプロセスによって、低堆積温度、ウェハ全体にわたる高コンフォーマル性、高スループットでのウェハ間の厳密制御が可能となる。熱ALDプロセスによって、屈折率、各種元素の相対濃度、密度、WER、DER、および電荷トラップ層での電荷トラップ能力のような特性など、窒化膜の材料特性の向上した調整が可能となる。PEALDプロセスと熱ALDプロセスは、単一の反応器内で同様のウェハ温度で作用し得る。一部の実施形態では、PEALDサイクルと熱ALDサイクルの両方において、ウェハ温度を、約500℃〜約630℃の間の温度に維持することができる。PEALDサイクル単独では実現されないかもしれない窒化膜の特性の微調整を可能とするために、PEALDサイクルと熱ALDサイクルを順次繰り返して実行することができる。
一部の実施形態では、窒化膜はシリコン窒化膜である。混合モードALDプロセスでPEALDサイクルと熱ALDサイクルを組み合わせることにより、さもなければPEALDプロセスで実現できないかもしれない形で、シリコン窒化膜の特性を調整することが可能である。例えば、シリコン窒化膜は、約2.0よりも高い屈折率、または約2.0〜約2.5の間の屈折率、または約2.0〜約2.1の間の屈折率を有することができる。一部の実施形態では、シリコン窒化膜中のシリコン対窒素濃度比は、1.2:1〜1.8:1の間である。例えば、混合モードALDプロセスを用いて、シリコン窒化膜におけるシリコン対窒素濃度の化学量論比1.33:1を実現することができる。一般に、シリコン窒化物の化学量論比が大きいほど、欠陥がない。混合モードALDプロセスを用いて、従来のPEALDプロセスと比較して向上したシリコン窒化膜の特性を得ることが可能である。さらに、混合モードALDプロセスは、化学的減少効果の問題、およびCVD炉反応器におけるサーマルバジェットの制約となり得る高堆積温度の問題はそれほどない。
ウェハとして、任意の半導体ウェハ、部分集積回路、プリント回路基板、または他の適切なワークピースを含むことができる。プロセス条件は、ウェハサイズに応じて異なり得る。一般に、多くの製造設備は、200mmウェハ用、300mmウェハ用、または450mmウェハ用に構成されている。本明細書で記載される開示の実施形態は、300mmおよび450mmウェハ技術などの任意の適切なウェハサイズに対して機能するように構成される。一部の実施形態では、複数のフィーチャを有するウェハ上に窒化膜を堆積し、このとき、それらのフィーチャの各々は、約10:1よりも高い、または約20:1よりも高い、または約100:1よりも高い、深さ対幅アスペクト比を有する。一部の実施形態では、それらのフィーチャは、垂直NAND型フラッシュメモリ構造のような3Dメモリ構造の一部であってよい。
図4は、シリコン窒化膜を堆積するためのPEALDサイクルおよび熱ALDサイクルを示す例示的なタイミングシーケンス図を示している。図4は、PEALDサイクル410Aおよび熱ALDサイクル410Bのフェーズを示している。図4は、PEALDサイクル410Aおよび熱ALDサイクル410Bにわたる、キャリアガスまたはパージガス流、プラズマ、シリコン含有前駆体流、および窒素含有反応物質流、などの各種プロセスパラメータを示している。それらのラインは、流入をオン・オフするタイミング、またはプラズマをオン・オフするタイミングを示している。プロセスパラメータの例として、不活性種および反応種の流量、プラズマパワーおよびプラズマ周波数、ウェハ温度、処理チェンバ圧力が含まれるが、ただしこれらに限定されない。
図5は、窒化膜を堆積するための例示的なプロセスのフロー図を示している。図5のプロセス500におけるオペレーションは、異なる順序で、かつ/または異なるオペレーション、より少数のオペレーション、または追加のオペレーションを伴って、実行してよい。一部の実施形態では、プロセス500におけるオペレーションは、図7Aに示す処理チェンバ、図7Bに示すシングルステーションモジュール、および/または図8に示すプロセスツールで、実施してよい。一部の実施形態では、プロセス500のオペレーションは、少なくとも部分的に、1つ以上の非一時的なコンピュータ可読媒体に格納されたソフトウェアに従って実施してよい。図4と図5を併せて、以下で説明する。
プロセス500のブロック510で、ウェハを処理チェンバ内に供給する。ウェハは、200mmウェハ、300mmウェハ、または450mmウェハのようなシリコンウェハであってよく、その上に堆積された誘電材料、導電材料、または半導体材料などの1つ以上の材料層を有するウェハが含まれる。ウェハは、ビア、トレンチ、リセス、またはホールのような「フィーチャ」を有してよく、それらは、フィーチャ内の1つ以上の幅狭かつ/またはリエントラント型の開口部、および高いアスペクト比を特徴とし得る。フィーチャは、上記の層のうちの1つ以上に形成されてよい。フィーチャの一例は、半導体ウェハ内またはウェハ上の層内のホールもしくはビアである。他の例は、ウェハ内または層内のトレンチである。一部の実施形態では、フィーチャは、バリア層または接着層のような下層を有し得る。下層の非限定的な例として、誘電体層および導電層が含まれ、例えば、シリコン酸化物、シリコン窒化物、シリコン炭化物、金属酸化物、金属窒化物、金属炭化物、および金属の層が含まれる。
一部の実施形態では、フィーチャは、少なくとも約2:1の、少なくとも約5:1の、少なくとも約10:1の、少なくとも約20:1の、少なくとも約100:1、またはさらに高い、深さ対幅アスペクト比を有し得る。さらに、フィーチャは、開口付近の寸法として、約10nm〜500nmの間の、例えば約25nm〜約300nmの間の、開口径またはライン幅などを有し得る。開示される方法は、約150nm未満の開口部を有するフィーチャを備えたウェハ上で実施してよい。フィーチャビアまたはトレンチは、未充填フィーチャまたはフィーチャと呼ばれることがある。フィーチャは、フィーチャの底、閉端、または内部からフィーチャ開口に向かって窄まるリエントラント型プロファイルを有することがある。
プロセス500のブロック520で、窒素含有反応物質のプラズマ転化によってウェハ上に1つ以上の窒化層を堆積させるために、1回以上のPEALDサイクルを付与する。熱ALDサイクルを付与する前、または熱ALDサイクルを付与した後に、ブロック520で、任意の適切な数のPEALDサイクルを付与してよい。従って、プロセス500のブロック520とブロック530は、入れ替えてよい。図4において、各PEALDサイクル410Aを、ドーズフェーズ457A、パージフェーズ459A、プラズマ暴露フェーズ461A、およびパージフェーズ463Aを含む一連のフェーズに分割することができる。
ドーズフェーズ457Aでは、シリコン含有前駆体の第1のドーズを気相でウェハに導入する。ドーズフェーズ457Aでは、プラズマをオフにして、窒素含有反応物質をウェハに向けて流入させることなく、アルゴンのようなキャリアガスを連続的に流入させる。一部の実施形態では、流量およびウェハ表面積に応じて、約0.1秒〜約60秒の間の時間、または約0.2秒〜約10秒の間の時間、または約2秒〜約10秒の間の時間にわたって、ウェハをシリコン含有前駆体に暴露する。一部の実施形態では、約20sccm〜約5,000sccmの間の、例えば約1,000sccm〜約4,000sccmの間の流量で、シリコン含有前駆体を供給することができる。一部の実施形態では、シリコン含有前駆体は、ハロゲン化シランを含む。
ウェハをシリコン含有前駆体に暴露すると、シリコン含有前駆体がウェハ表面に吸着して、これによりシリコン含有前駆体の吸着層を形成する。一部の実施形態では、シリコン含有前駆体は、自己制御的にウェハ表面に吸着し、これにより、シリコン含有前駆体が活性点を占拠すると、さらにシリコン含有前駆体がウェハ表面に吸着することは、ほとんどまたは全くなくなる。例えば、シリコン含有前駆体は、ウェハ表面の少なくとも約60%に吸着され得る。シリコン含有前駆体は、ウェハ表面上の活性点に吸着すると、表面上にシリコン含有前駆体の薄層を形成する。種々の実施形態において、この層は、1原子層に満たない場合があり、約0.1Å〜約0.5Åの間の厚さを有し得る。
シリコン含有前駆体は、シリコン含有膜を作製するために使用される試薬または試薬の混合物であり、この場合、試薬または試薬の混合物は、少なくとも1種のシリコン化合物を含む。いくつかの実施形態において、シリコン含有前駆体は、例えば、シラン、ハロシラン、またはアミノシランであってよい。ハロゲンフリーシランは、水素基および/または炭素基を含むが、ハロゲンは含まない。ハロゲンフリーシランの例は、シラン(Si4)、ジシラン(Si26)、さらに、メチルシラン、エチルシラン、イソプロピルシラン、t−ブチルシラン、ジメチルシラン、ジエチルシラン、ジ−t−ブチルシラン、アリルシラン、sec−ブチルシラン、テキシルシラン、イソアミルシラン、t−ブチルジシラン、ジ−t−ブチルジシラン、などのような有機シラン、である。ハロシランは、少なくとも1つのハロゲン基を含み、水素基および/または炭素基は含んでも含まなくてもよい。ハロシランの例は、ヨードシラン、ブロモシラン、クロロシラン、およびフルオロシランである。ハロシラン、特にフルオロシランは、シリコン材料を浸食する可能性がある反応性ハロゲン化物種を形成し得るが、本明細書に記載のいくつかの実施形態では、プラズマが点火されるときにシリコン含有前駆体は存在しない。具体的なクロロシランは、ヘキサクロロジシラン(Si2Cl6)、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t−ブチルクロロシラン、ジ−t−ブチルクロロシラン、クロロイソプロピルシラン、クロロ−sec−ブチルシラン、t−ブチルジメチルクロロシラン、テキシルジメチルクロロシランなどである。アミノシランは、シリコン原子に結合した少なくとも1つの窒素原子を含むが、さらに、水素、酸素、ハロゲン、および炭素を含んでもよい。アミノシランの例は、モノ−、ジ−、トリ−、テトラ−アミノシラン(それぞれ、H3Si(NH24、H2Si(NH22、HSi(NH23、Si(NH24)、ならびに、置換モノ−、ジ−、トリ−、テトラ−アミノシラン、例えば、t−ブチルアミノシラン、メチルアミノシラン、tert−ブチルシランアミン、ビス(tert‐ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS)、tert−ブチルシリルカルバメート、SiH(CH3)−(N(CH322、SiHCl−(N(CH322、(Si(CH32NH)3などである。アミノシランのさらなる例は、トリシリルアミン(N(SiH3))である。
一部の実施形態では、PEALDサイクル410Aは、パージフェーズ459Aを含み得る。パージフェーズ459Aでは、任意選択的に、処理チェンバは、ウェハ表面に吸着しなかった余分な気相のシリコン含有前駆体を除去するためにパージされる。パージは、スイープガスを伴う場合があり、それは、他のオペレーションで使用されるキャリアガスであっても異なるガスであってもよい。一部の実施形態では、パージは、処理チェンバを排気することを伴い得る。パージフェーズ459Aでは、シリコン含有前駆体流をオフにして、プラズマを点火せず、窒素含有反応物質を処理チェンバに供給しない。余分なシリコン含有前駆体を処理チェンバからパージするために、アルゴンのようなキャリアガスを連続的に流入させる。一部の実施形態では、パージフェーズ459Aは、処理チェンバを排気するための1回以上の排気サブフェーズを含むことがある。あるいは、一部の実施形態では、パージフェーズ459Aを省略してよいことは理解されるであろう。パージフェーズ459Aは、約0秒〜約60秒の間の時間、または約0.1〜約20秒の間の時間、または約2秒〜約10秒の間の時間など、任意の適切な継続時間であってよい。一部の実施形態では、パージフェーズ459Aにおけるキャリアガスの流量は、約250sccm〜約10,000sccmの間、または約2,000sccm〜約6,000sccmの間とすることができる。一部の実施形態では、1種以上のスイープガスの流量を増加させることによって、パージフェーズ459Aの継続時間は短縮され得る。例えば、パージフェーズ459Aの継続時間を変更するために、種々の反応物質の熱力学的特性ならびに/または処理チェンバおよび/もしくは処理チェンバの配管の幾何学的特性に応じて、パージガス流量を調整してよい。非限定的な一例では、スイープガス流量を変調することにより、スイープフェーズの継続時間を調整してよい。これにより、堆積サイクル時間が短縮されることがあり、これによってウェハスループットが向上し得る。パージ後に、シリコン含有前駆体は、ウェハ表面に吸着したままである。
一部の実施形態では、PEALDサイクルは、ウェハを窒素含有反応物質のプラズマに暴露することを含む。プラズマ暴露フェーズ461Aでは、プラズマを点火してよい。従って、プラズマ暴露フェーズ461Aでは、窒素含有反応物質の流入とプラズマの両方をオンにする。一部の実施形態では、プラズマをオンにする前に、窒素含有反応物質の流入をオンにしてよい。プラズマ暴露フェーズ461Aでは、シリコン含有前駆体の流入をオフにして、不活性ガスを連続的に流入させる。一部の実施形態では、約0.1秒〜約60秒の間の継続時間、または約0.5秒〜約10秒の間の継続時間にわたって、ウェハを窒素含有反応物質のプラズマに暴露してよい。一部の実施形態では、窒素含有反応物質は、アミンまたはアンモニアを含む。
窒素含有反応物質は、少なくとも1つの窒素を含み、例えば、アンモニア、ヒドラジン、さらに、メチルアミン、ジメチルアミン、エチルアミン、イソプロピルアミン、t−ブチルアミン、ジ−t−ブチルアミン、シクロプロピルアミン、sec−ブチルアミン、シクロブチルアミン、イソアミルアミン、2−メチルブタン−2−アミン、トリメチルアミン、ジイソプロピルアミン、ジエチルイソプロピルアミン、ジ−t−ブチルヒドラジンのような、アミン(炭素を有するアミン)、ならびに、アニリン、ピリジン、ベンジルアミンのような、芳香族アミンである。アミンは、第1級、第2級、第3級、または第4級アミン(例えば、テトラアルキルアンモニウム化合物)であってよい。窒素含有反応物質は、窒素以外のヘテロ原子を含むことができ、例えば、ヒドロキシルアミン、t−ブチルオキシカルボニルアミン、およびN−t−ブチルヒドロキシルアミンは、窒素含有反応物質である。
プラズマとは、処理チェンバ内で点火されるプラズマ(すなわち、インサイチュプラズマ)、またはリモートで点火されて処理チェンバ内に送り込まれるプラズマを指し得る。PEALDプロセスのためのプラズマは、容量結合によって、または誘導結合のような他の適切な方法によって生成してよいことは理解されるであろう。プラズマは、窒素含有反応物質のイオン、ラジカル、および他の活性種を含み得る。例えば、NH3分子は、NH3プラズマでは解離して、NH3の各種イオン、ラジカル、および他の活性種を生成することができる。例えば、プラズマは、NH2およびNHラジカルを含むことができる。プラズマが点火されるときに、処理チェンバ内には他の反応物質および他の試薬が存在することがある。
プラズマ暴露フェーズ461Aでは、窒素含有反応物質を、シリコン含有前駆体の吸着層と反応するイオン、ラジカル、および他の活性種へと活性化するために、RF電場を供給することができる。いずれかの特定の理論にとらわれることなく、より高周波数のプラズマは、イオンよりもラジカルを多く生成し得ることで、ラジカルとシリコン含有前駆体とのより高い反応性を理由として、シリコン窒化物の堆積を向上させることが可能である。種々の実施形態において、少なくとも約13.56MHz、または少なくとも約27MHz、または少なくとも約40MHz、または少なくとも約60MHzの周波数を有する高周波プラズマを用いる。窒素含有反応物質を活性化してプラズマを生成すると、窒素含有反応物質のイオン、ラジカル、および他の活性種は、ウェハ表面に吸着したシリコン含有前駆体と反応して、これによりシリコン窒化物の薄膜を形成する。すなわち、窒素含有反応物質を、プラズマ転化によってシリコン窒化物の薄膜に転化させることができる。
次に、プラズマを消火し、パージフェーズ463Aにおいて処理チェンバをパージする。シリコン含有前駆体流をオフにして、プラズマを点火せず、窒素含有反応物質を処理チェンバに供給しない。パージは、キャリアガスまたは他の任意の不活性ガスを流入させることにより実施してよい。パージフェーズ463Aは、パージフェーズ459Aと同様であってよい。
一部の実施形態では、ドーズフェーズ457A、パージフェーズ459A、プラズマ暴露フェーズ461A、パージフェーズ463Aのそれぞれは、高温に維持することができる。高温は、ウェハサポートまたはペデスタルを介してウェハに付与することができる。一部の実施形態では、高温は、少なくとも約500℃以上、または約500℃〜約630℃の間である。ドーズフェーズ457Aは、シリコン含有前駆体を熱転化させるように作用し得る一方、プラズマ暴露フェーズ461Aは、プラズマによって窒素含有反応物質を転化させるように作用し得る。PEALDサイクル410A全体にわたって高温を維持することができ、その高温は、CVD炉反応器でシリコン窒化物の薄膜を堆積させるための温度よりも低い。
図5に戻って、プロセス500のブロック530で、窒素含有反応物質の熱転化によって1つ以上の窒化層をウェハ上に堆積させるために、1回以上の熱ALDサイクルを付与する。1回以上の熱ALDサイクルにおいて、窒素含有反応物質を少なくとも部分的に1つ以上の窒化層に転化させることができる。一部の実施形態では、熱ALDサイクルの数は、PEALDサイクルの数以上である。PEALDサイクルを付与する前、またはPEALDサイクルを付与した後に、ブロック530で、任意の適切な数の熱ALDサイクルを付与してよい。ブロック520と530は、同時にではなく順次に実行される。いくつかの実施形態では、ブロック520は、ブロック530の前の順番で実行されてよい。他のいくつかの実施形態では、ブロック530は、ブロック520の前の順番で実行されてよい。従って、図5に示すように、ブロック520と530を実行する順序を入れ替えてよい。図4において、各熱ALDサイクル410Bを、ドーズフェーズ457B、パージフェーズ459B、熱転化フェーズ461B、およびパージフェーズ463Bを含む一連のフェーズに分割することができる。
ドーズフェーズ457Bでは、シリコン含有前駆体の第2のドーズを気相でウェハに導入する。ドーズフェーズ457Bでは、プラズマをオフにして、窒素含有反応物質をウェハに向けて流入させることなく、アルゴンのようなキャリアガスを連続的に流入させる。一部の実施形態では、流量およびウェハ表面積に応じて、約0.1秒〜約60秒の間の時間、または約0.5秒〜約30秒の間の時間、または約2秒〜約10秒の間の時間にわたって、ウェハをシリコン含有前駆体に暴露する。一部の実施形態では、約20sccm〜約5,000sccmの間の、例えば約1,000sccm〜約4,000sccmの間の流量で、シリコン含有前駆体を供給することができる。シリコン含有前駆体は、ウェハ表面に吸着して、これによりシリコン含有前駆体の吸着層を形成する。一部の実施形態では、ドーズフェーズ461Bにおけるシリコン含有前駆体は、ドーズフェーズ461Aで導入されるシリコン含有前駆体と同じものであり得る。一方、一部の実施形態では、ドーズフェーズ461Bにおけるシリコン含有前駆体は、ドーズフェーズ461Aで導入されるシリコン含有前駆体とは異なるものであり得る。
一部の実施形態では、熱ALDサイクル410Bは、パージフェーズ459Bを含み得る。パージフェーズ459Bは、PEALDサイクル410Aのパージフェーズ459Aと同様の特徴を有し得る。パージフェーズ459Bでは、任意選択的に、処理チェンバは、ウェハ表面に吸着しなかった余分な気相のシリコン含有前駆体を除去するためにパージされる。パージは、スイープガスを伴う場合があり、それは、他のオペレーションで使用されるキャリアガスであっても異なるガスであってもよい。一部の実施形態では、パージは、処理チェンバを排気することを伴い得る。パージフェーズ459Bでは、シリコン含有前駆体流をオフにして、プラズマを点火せず、窒素含有反応物質を処理チェンバに供給しない。余分なシリコン含有前駆体を処理チェンバからパージするために、アルゴンのようなキャリアガスを連続的に流入させる。パージフェーズ459Bは、約0秒〜約60秒の間の時間、または約0.1〜約20秒の間の時間、または約2秒〜約10秒の間の時間など、任意の適切な継続時間であってよい。一部の実施形態では、パージフェーズ459Bにおけるキャリアガスの流量は、約250sccm〜約10,000sccmの間、または約2,000sccm〜約6,000sccmの間とすることができる。パージ後に、シリコン含有前駆体は、ウェハ表面に吸着したままである。
一部の実施形態では、熱ALDサイクル410Bは、高温でウェハを窒素含有反応物質に暴露することを含む。高温は、ウェハサポートまたはペデスタルを介してウェハに付与することができる。高温では、窒素含有前駆体は解離反応を起こすことができ、解離種は、ウェハ表面に吸着したシリコン含有前駆体と反応して、これによりシリコン窒化層を形成することができる。安定した薄膜を形成するために、吸着した反応物質が起こす表面化学反応を、高温での熱によって熱力学的に促進することができる。従って、熱ALDサイクル410Bは、窒素含有反応物質を、吸着したシリコン含有前駆体と反応させるための熱転化フェーズ461Bを含む。一部の実施形態では、熱転化フェーズ461Bにおける窒素含有反応物質は、プラズマ暴露フェーズ461Aにおける窒素含有反応物質と同じものである。一方、一部の実施形態では、熱転化フェーズ461Bにおける窒素含有反応物質は、プラズマ暴露フェーズ461Aにおける窒素含有反応物質とは異なるものである。
熱転化フェーズ461Bでは、プラズマを点火しない。熱転化フェーズ461Bでは、窒素含有反応物質の流入をオンにする。熱転化フェーズ461Bでは、シリコン含有前駆体の流入をオフにして、不活性ガスを連続的に流入させる。
一部の実施形態では、熱転化フェーズ461Bの継続時間は、約0.1秒〜約60秒の間、または約0.5秒〜約10秒の間の時間とすることができる。一部の実施形態では、熱転化フェーズ461Bの継続時間は、プラズマ暴露フェーズ461Aの継続時間よりも長い。
一部の実施形態では、熱転化フェーズ461Bでの高温によって、約500℃以上、または約500℃〜約630℃の間の温度まで、ウェハを加熱することができる。窒素含有反応物質の熱転化のための高温は、CVD炉反応器で用いられる高温よりも低くすることができ、これにより、総サーマルバジェットを軽減すること可能である。熱ALDサイクル410B全体にわたって高温を維持することができる。ウェハの高温は、ウェハサポートまたはペデスタルを加熱することによって実現することができる。一部の実施形態では、処理チェンバの残り部分は加熱されない。このようにして、処理チェンバの壁は、ウェハおよびウェハサポートと比較して低温のままに維持することができる。一部の実施形態では、熱転化フェーズ461B中の高温は、プラズマ暴露フェーズ461A中の温度と同じとすることができる。
一般に、窒化層を形成するための化学反応が熱力学的に促進された場合の反応速度は比較的遅い。熱ALDによって窒素含有反応物質を転化させる場合の反応速度が不利であることから、窒化層を形成するために熱ALDを用いることは望ましくない。熱ALDは、酸化層のような他の種類の層を堆積させるために、より一般的に用いられる。ところが、熱ALDサイクル410BをPEALDサイクル410Aと併用すると、熱ALDの比較的遅い反応速度によって著しく制限されることなく、混合ALDモードによって、窒化層が形成され得る。
一部の実施形態では、熱転化フェーズ461Bにおいて、窒素含有反応物質は、吸着したシリコン含有前駆体と反応するために分解される。例えば、NH3分子を、シリコンと反応させるために分解することができる。一部の実施形態では、熱転化フェーズ461Bにおいて、NH3分子を、シリコンと反応させるために分解することができる一方、プラズマ暴露フェーズ461Aでは、NH3分子を、NH2およびNHラジカルに解離することができる。
いずれかの特定の理論にとらわれることなく、プラズマ暴露フェーズ461Aでは、窒素含有反応物質を、より多くの反応種に解離させることが可能であり、それらはウェハ表面を急速に飽和状態にして、吸着したシリコン含有前駆体と反応する。熱転化フェーズ461Bでは、窒素含有反応物質を、より長い時間をかけて、より少ない反応種に解離させることが可能であり、それらは、その後、吸着したシリコン含有前駆体と反応する。一例として、窒素含有反応物質がNH3であった場合に、以下の反応:Si−H+N−H→SiN+H2の活性化エネルギーの障壁を越えるのに十分なエネルギーを有する分子がより少ないことがある。熱転化時間を変化させることにより、膜に取り込まれる窒素の量を制御することが可能である。熱転化フェーズ461Bでは、プラズマ暴露フェーズ461Aと比較して、よりシリコンリッチなシリコン窒化膜を得られる可能性がある。一方、プラズマ暴露フェーズ461Aでは、熱転化フェーズ461Bと比較して、より窒素リッチなシリコン窒化膜を得られる可能性がある。
熱転化フェーズ461Bの後に、パージフェーズ463Bにおいて処理チェンバをパージする。シリコン含有前駆体流をオフにして、プラズマを点火せず、窒素含有反応物質を処理チェンバに供給しない。パージは、キャリアガスまたは他の任意の不活性ガスを流入させることにより実施してよい。パージフェーズ463Bは、パージフェーズ463Aと同様であってよい。
図5に戻って、一部の実施形態では、ブロック520および530を、1回以上繰り返してよい。ブロック520は、一連のPEALDサイクルに相当し得る一方、ブロック530は、一連の熱ALDサイクルに相当し得るものであり、その場合、ブロック520およびブロック530は、より大きな混合モードALDサイクルの一部であり得る。所望の厚さの窒化膜を得るために、各混合モードALDサイクルを、一定回数繰り返すことができる。
プロセス500のブロック540で、1つ以上の窒化層によって窒化膜を形成する。1回以上のPEALDサイクルで1つ以上の窒化層を堆積させるとともに、1回以上の熱ALDサイクルでも1つ以上の窒化層を堆積させることで、窒化膜を形成することができる。PEALDサイクルによる1つ以上の窒化層は、熱ALDサイクルによる1つ以上の窒化層と比較して、より窒素リッチであり得る。一部の実施形態では、1回以上の熱ALDサイクルの数は、1回以上のPEALDサイクルの数以上である。1回以上のPEALDサイクルと、1回以上の熱ALDサイクルは、同じ処理チェンバ内で、任意のシーケンスで実行することができるとともに、繰り返すことができる。
オペレーション457A、459A、461A、463Aを実行することは、PEALDサイクル410Aに相当し得る。オペレーション457B、459B、461B、463Bを実行することは、熱ALDサイクル410Bに相当し得る。それらのオペレーションのドーズ時間および暴露時間に応じて、それぞれのサイクルで、約0.05Å〜約2Åの間の厚さを有するシリコン窒化膜層を堆積させてよい。上記のサイクルを、混合してよく、さらに、シリコン窒化物の所望の膜厚が得られるまで繰り返してよい。
一部の実施形態では、ある混合モードALDサイクルで、X回のPEALDサイクル410Aを実行してよく、その混合モードALDサイクルで、Y回の熱ALDサイクル410Bを実行してよい。X回のPEALDサイクル410Aと、Y回の熱ALDサイクル410Bとの組み合わせによって、1つの混合モードALDサイクルを形成してよい。その混合モードALDサイクルを、所望の厚さの薄膜が得られるまで、Z回繰り返すことができる。一部の実施形態では、典型的な成膜速度は、混合モードALDサイクル当たり約2Å〜混合モードALDサイクル当たり約10Åの間であり、例えば、混合モードALDサイクル当たり約6.6Åである。混合モードALDサイクルは、基本的には、包括的な混合モードALDサイクルに含まれるX回のPEALDサイクル410AとY回の熱ALDサイクル410Bの2種類の入れ子ループである。一部の実施形態では、各混合モードALDサイクルにおいて、熱ALDサイクル410Aの数は、PEALDサイクル410Bの数以上である。
一部の実施形態では、XとYの値は、薄膜中の元素濃度比に影響を及ぼすことがある。例えば、XとYの値は、シリコン窒化膜中のシリコン対窒素濃度比に影響を及ぼすことがあり、これにより、シリコン窒化膜の屈折率に影響を及ぼす。混合モードALDサイクルにおけるXとYの値を調整することによって、元素濃度比、屈折率、密度、WER、DER、電荷トラップ層での電荷トラップ能力などのような特性の微調整など、薄膜の特性の微調整を実施することが可能である。例えば、混合モードALDサイクルにおける熱ALDサイクルの数を多くすることで、よりシリコンリッチな薄膜のための調整が可能である一方、混合モードALDサイクルにおけるPEALDサイクルの数を多くすることで、より窒素リッチな薄膜のための調整が可能である。
図6は、1つのPEALDサイクル当たりの熱ALDサイクル数の関数として、シリコン窒化膜の屈折率に関するデータを示すグラフを示している。グラフは、各混合モードALDサイクルにおける熱ALDサイクル対PEALDサイクルの比率を増加させることによって、いかにシリコン窒化膜の屈折率を調整できるのかを示している。グラフでは、各混合モードALDサイクルにおける熱ALDサイクル対PEALDサイクルの比率が5:1であると、屈折率は2.05となる。各混合モードALDサイクルにおける熱ALDサイクル対PEALDサイクルの比率が10:1であると、2.20を超える屈折率が得られる。シリコン窒化膜の屈折率が2.0で限界に達する図2のグラフとは対照的に、図6のグラフにおけるシリコン窒化膜の屈折率は、2.0を超えて引き続き増加している。屈折率の増加は、シリコン対窒素濃度比の増加を反映している。垂直NAND型フラッシュメモリ構造に関わる実施形態では、この比率を制御することで、プログラム電圧および消去電圧を最適化することが可能である。
混合モードALDプロセスによれば、従来のPEALDプロセスおよびCVDプロセスと比べて、いくつかの効果を得ることができる。混合モードALDプロセスによれば、従来のPEALDプロセスと比べて、元素濃度比、屈折率、密度、WER、DER、電荷トラップ層での電荷トラップ能力などのような特性など、堆積される薄膜の特性の向上した微調整が可能となる。PEALDプロセスは、プラズマ暴露フェーズでの使用できる配位子部位の飽和状態によって制限が可能である。混合モードALDプロセスは、スループット、コンフォーマル性、および総サーマルバジェットを著しく犠牲にすることなく、特性の微調整を可能とするために、熱ALDサイクルを組み込んでいる。熱ALDサイクルと共にPEALDサイクルを組み込むことで、混合モードALDサイクルは、高速であるとともに、低WERかつ高コンフォーマル性(すなわち、高ステップカバレッジ)の薄膜を得ることができる。一部の実施形態では、薄膜は、希釈率100:1の希HFで毎分10Å未満の、または希釈率100:1の希HFで毎分5Å未満の、WERを有し得る。一部の実施形態では、堆積される薄膜は、80%よりも高い、90%よりも高い、または95%よりも高いステップカバレッジを有し得る。そのような高ステップカバレッジを、高アスペクト比フィーチャにおいてさえ実現することができる。
混合モードALDプロセスにおいてXとYの値を調整することにより薄膜の特性を微調整することに加えて、薄膜の特性に影響を及ぼすように、各々の入れ子PEALDサイクルおよび熱ALDサイクルのプロセス条件を調整することができる。表1は、PEALDサイクルと熱ALDサイクルの混合を用いてシリコン窒化膜を堆積させるためのプロセス条件および適切な範囲の一例を示している。表1に示すように、プロセス条件の多くは、同じ処理チェンバ内でのPEALDサイクルと熱ALDサイクルにおいて維持することができる。ウェハ温度、ドーズ圧力、転化圧力、シリコン含有前駆体の流量、窒素含有反応物質の流量などのプロセス条件は、PEALDサイクルと熱ALDサイクルにわたって同じとすることができる。一方、表1に示すプロセス条件の例は、熱ALDサイクルのプロセス条件では、シリコン含有前駆体のドーズ時間がより長く、プラズマパワーは不要、窒素含有反応物質を転化させるための転化時間がより長くなり得ること、を示している。
Figure 2018050038
[装置]
図7Aは、PEALDサイクルおよび熱ALDサイクルによって窒化膜を堆積するための例示的な装置の概略図である。装置またはプロセスステーション700aは、低圧環境を維持するための処理チェンバ702を備える。共通の低圧プロセスツール環境の中に、複数の装置またはプロセスステーション700aを含み得る。例えば、図8は、マルチステーション・プロセスツール800の実施形態を示している。一部の実施形態では、詳細に後述するものなど、装置またはプロセスステーション700aの1つ以上のハードウェアパラメータを、1つ以上のシステムコントローラ750によってプログラムで調整してよい。装置またはプロセスステーション700aは、窒化膜をウェハ712上に堆積させるために、1回以上のPEALDサイクルおよび1回以上の熱ALDサイクルを含む上述の混合モードALDサイクルを実行することが可能であり得る。
装置またはプロセスステーション700aは、処理ガスを分配シャワーヘッド706に供給するための反応物質供給システム701aと流体連通している。反応物質供給システム701aは、気相のシリコン含有前駆体のような処理ガスを、シャワーヘッド706への供給用に配合および/または調整するための混合容器704を有する。一部の実施形態では、反応物質供給システムは、窒素含有反応物質(例えば、アンモニア)を、シャワーヘッド706への供給用に配合および/または調整するための混合容器704を有する。1つ以上の混合容器入口弁720によって、混合容器704への処理ガスの導入を制御してよい。また、窒素含有反応物質のプラズマを、同じくシャワーヘッド706に供給してもよく、または装置もしくはプロセスステーション700aで生成してもよい。
一例として、図7Aの実施形態では、混合容器704に供給される液状反応物質を気化させるための気化ポイント703を含む。一部の実施形態では、気化ポイント703は、加熱蒸発器であってよい。このような蒸発器で生成される飽和反応物蒸気は、下流の送り管内で凝縮し得る。その凝縮反応物に不適合ガスが暴露されると、小粒子が形成されることがある。これらの小粒子は、配管を詰まらせ、弁の動作を妨げ、基板を汚染するなどの恐れがある。これらの問題に対処するアプローチには、残留反応物を除去するために、送り管のパージおよび/または排気を伴うものがある。しかしながら、送り管のパージによって、プロセスステーションのサイクル時間が長くなり、プロセスステーションのスループットが低下することがある。そこで、一部の実施形態では、気化ポイント703の下流の送り管を、ヒートトレースしてよい。いくつかの例では、混合容器704もまた、ヒートトレースしてよい。非限定的な一例において、気化ポイント703の下流の配管は、約100℃から混合容器704における約150℃に及ぶ昇温プロファイルを有する。
一部の実施形態では、液体注入器において、液状前駆体または液状反応物質を気化させてよい。例えば、液体注入器で、混合容器704の上流のキャリアガス流に液状反応物質のパルスを注入してよい。一実施形態では、液体注入器で、液体をより高圧側からより低圧側へフラッシュさせることによって、反応物質を気化させてよい。別の例では、液体注入器で、液体を霧化して分散微小液滴にし、それらを、その後、加熱された送り管内で気化させてよい。小さい液滴のほうが大きい液滴よりも速く気化し得ることで、液体注入から完全な気化までの遅延が短縮される。気化が速いほど、気化ポイント703よりも下流の配管の長さは短縮され得る。1つのシナリオでは、液体注入器を、混合容器704に直接取り付けてよい。別のシナリオでは、液体注入器を、シャワーヘッド706に直接取り付けてよい。
一部の実施形態では、気化させて装置またはプロセスステーション700aに供給する液体の質量流量を制御するために、気化ポイント703の上流に液流量コントローラ(LFC)を設けてよい。例えば、LFCは、LFCの下流に配置された熱式質量流量計(MFM)を有し得る。この場合、MFMと電気導通している比例積分微分(PID)コントローラによって供給されるフィードバック制御信号に応じて、LFCのプランジャ弁を調整してよい。しかしながら、フィードバック制御を用いて液流を安定化するには1秒以上を要することがある。これによって、液状反応物質をドーズする時間が長くなることがある。そこで、一部の実施形態では、LFCを、フィードバック制御モードと直接制御モードとの間で動的に切り替えてよい。一部の実施形態では、これを、LFCの検知管およびPIDコントローラを無効にすることによって実施してよい。
シャワーヘッド706は、処理ガスをウェハ712に向けて分配する。図7Aに示す実施形態では、ウェハ712は、シャワーヘッド706の下方に配置されており、ペデスタル708の上に載置されているところを示している。シャワーヘッド706は、任意の適切な形状を有するものであってよく、また、ウェハ712に処理ガスを分配するための任意の適切な数および配置のポートを有し得る。
一部の実施形態では、ウェハ712とシャワーヘッド706との間のボリュームにウェハ712を暴露するために、ペデスタル708を上昇または下降させてよい。なお、一部の実施形態では、ペデスタルの高さを、適切なシステムコントローラ750によってプログラムで調整してよいことは理解されるであろう。
別のシナリオでは、ペデスタル708の高さを調整することによって、プロセスにおけるプラズマ活性化サイクル中にプラズマ密度を変化させることが可能となり得る。プロセスフェーズの終わりに、別のウェハ搬送フェーズにおいて、ペデスタル708からのウェハ712の取り外しを可能とするために、ペデスタル708を下降させてよい。
一部の実施形態では、ヒータ710によって、ペデスタル708を温度制御してよい。開示の実施形態で記載したようなシリコン窒化膜の堆積時に、一部の実施形態では、ペデスタル708を、約500℃〜約630℃の間の温度など、約650℃未満の温度に加熱してよい。さらに、一部の実施形態では、バタフライ弁718によって、装置またはプロセスステーション700aのための圧力制御を提供してよい。図7Aの実施形態に示すように、バタフライ弁718は、下流の真空ポンプ(図示せず)によって提供される真空をスロットル調整する。しかしながら、一部の実施形態では、装置またはプロセスステーション700aに導入される1種以上のガスの流量を変化させることによって、装置またはプロセスステーション700aの圧力制御を調整してもよい。
一部の実施形態では、ウェハ712とシャワーヘッド706との間のボリュームを変化させるために、ペデスタル708に対するシャワーヘッド706の相対位置を調整してよい。また、ペデスタル708および/またはシャワーヘッド706の垂直位置は、本開示の範囲内で、任意の適切な機構によって変更してよいことは理解されるであろう。一部の実施形態では、ペデスタル708は、ウェハ712の向きを回転させるための回転軸を有し得る。なお、一部の実施形態では、これらの例示的な調整のうちの1つ以上を、1つ以上の適切なシステムコントローラ750によってプログラムで実行してよいことは理解されるであろう。
上述のようにプラズマが使用され得るいくつかの実施形態では、シャワーヘッド706およびペデスタル708は、プラズマにパワーを供給するための高周波(RF)電源714および整合回路716と電気導通している。いくつかの実施形態において、プロセスステーション圧力、ガス濃度、RF源パワー、RF源周波数、プラズマパワーパルスタイミング、のうちの1つ以上を制御することによって、プラズマエネルギーを制御してよい。例えば、所望の組成のラジカル種を有するプラズマを発生させるために、任意の適切なパワーでRF電源714および整合回路716を作動させてよい。適切なパワーの例については、上記に記載がある。同様に、RF電源714は、任意の適切な周波数のRF電力を供給し得る。一部の実施形態では、RF電源714は、高周波と低周波のRF電源を互いに独立に制御するように構成されてよい。低周波RF周波数の例として、0kHz〜500kHzの間の周波数が含まれ得るが、ただし、これに限定されない。高周波RF周波数の例として、1.8MHz〜2.45GHzの間の周波数、または約13.56MHz超の周波数、または27MHz超の周波数、または40MHz超の周波数、または60MHz超の周波数が含まれ得るが、ただし、これらに限定されない。なお、表面反応のためのプラズマエネルギーを供給するために、任意の適切なパラメータを離散変調または連続変調してよいことは理解されるであろう。
一部の実施形態では、1つ以上のプラズマモニタによって、プラズマをインサイチュ監視してよい。1つのシナリオでは、1つ以上の電圧、電流センサ(例えば、VIプローブ)によって、プラズマパワーを監視してよい。別のシナリオでは、1つ以上の発光分光センサ(OES)によって、プラズマ密度および/または処理ガス濃度を測定してよい。いくつかの実施形態において、そのようなインサイチュプラズマモニタからの測定値に基づいて、1つ以上のプラズマパラメータをプログラムで調整してよい。例えば、プラズマパワーのプログラム制御を提供するために、OESセンサをフィードバックループで用いてよい。いくつかの実施形態において、プラズマおよび他のプロセス特性を監視するために、他のモニタを用いてよいことは理解されるであろう。そのようなモニタとして、赤外線(IR)モニタ、音響モニタ、圧力トランスデューサが含まれ得るが、ただし、これらに限定されない。
一部の実施形態では、コントローラ750への命令は、入力/出力制御(IOC)シーケンシング命令によって提供され得る。一例では、あるプロセスフェーズの条件を設定するための命令は、プロセスレシピの対応するレシピフェーズに含まれ得る。場合によっては、プロセスレシピフェーズは、あるプロセスフェーズの全ての命令がそのプロセスフェーズと同時に実行されるように、順に配列されることがある。いくつかの実施形態において、あるレシピフェーズは、1つ以上の反応器パラメータを設定するための命令を含み得る。例えば、第1のレシピフェーズは、不活性ガスおよび/または前駆体ガス(例えば、シリコン含有前駆体)の流量を設定するための命令と、(アルゴンのような)キャリアガスの流量を設定するための命令と、第1のレシピフェーズのための時間遅延命令と、を含み得る。その後に続く第2のレシピフェーズは、不活性ガスおよび/または前駆体ガスの流量を変調または停止するための命令と、キャリアガスまたはパージガスの流量を変調するための命令と、第2のレシピフェーズのための時間遅延命令と、を含み得る。第3のレシピフェーズは、アンモニアのような窒素含有反応ガスの流量を変調するための命令と、キャリアガスまたはパージガスの流量を変調するための命令と、第3のレシピフェーズのための時間遅延命令と、を含み得る。その後に続く第4のレシピフェーズは、不活性ガスおよび/または反応ガスの流量を変調または停止するための命令と、キャリアガスまたはパージガスの流量を変調するための命令と、第4のレシピフェーズのための時間遅延命令と、を含み得る。第4のレシピは、一部の実施形態では、窒素含有反応物質のプラズマを点火するための命令を含むことがある。なお、これらのレシピフェーズは、開示の実施形態の範囲内で、任意の適切な形態で、さらに細分化および/または反復されてよいことは理解されるであろう。いくつかの実施形態において、コントローラ750は、図8のシステムコントローラ850に関して後述される機能のいずれかを有し得る。
図7Bは、PEALDサイクルおよび熱ALDサイクルによって窒化膜を堆積するための例示的なシングルステーションモジュールの概略図である。図7Aの装置700aと同様に、シングルステーションモジュール700bは、ペデスタル708に対向したシャワーヘッド706を備え得る。ペデスタル708は、ウェハ712を支持することができ、ウェハ712は、複数のフィーチャ(図示せず)を有し得る。ウェハ712の処理中は、ペデスタル708を高温に加熱することができる。シャワーヘッド706は、複数の貫通孔722を有することができ、それらの貫通孔を通して処理ガスをシングルステーションモジュール700bの処理チェンバ702に供給することができる。処理ガスは、シリコン含有前駆体および/または窒素含有反応物質を含み得る。シングルステーションモジュール700bは、窒化膜をウェハ712上に堆積させるために、1回以上のPEALDサイクルおよび1回以上の熱ALDサイクルを含む上述の混合モードALDサイクルを実行することが可能であり得る。
上述のように、マルチステーション・プロセスツールには、1つ以上のプロセスステーションが含まれ得る。図8は、ロードロック821を有するマルチステーション・プロセスツール800の実施形態の概略図を示している。マルチステーション・プロセスツール800は、搬送モジュール803を備える。搬送モジュール803は、処理されているウェハを各種モジュール間で移送するときのウェハの汚染のリスクを最小限に抑えるための、クリーンな加圧環境を提供する。本明細書で開示する実施形態によるPEALDおよび熱ALDを必要に応じて含むALDを実施することが可能なマルチステーション反応器809が、搬送モジュール803に取り付けられる。マルチステーション反応器809は、それらのオペレーションを実行し得る複数のステーション811、813、815、817を有し得る。これらのステーションのいくつかは、加熱されるペデスタルまたはウェハサポートと、1つ以上のガス入口またはシャワーヘッドまたは分散板と、を有し得る。図示のマルチステーション反応器809は、4つのステーションを有しているが、本開示による反応器は、任意の適切な数のステーションを有し得ることは理解されるであろう。例えば、いくつかの実施形態では、反応器は、5つ以上のステーションを有し得る一方、他の実施形態では、3つ以下のステーションを有し得る。
さらに、プラズマまたは化学的(非プラズマ)プレクリーニングを実施することが可能な1つ以上のシングルまたはマルチステーションモジュール807を、搬送モジュール803に取り付けてもよい。また、このモジュールは、他の様々な処理に用いてもよい。マルチステーション・プロセスツール800は、さらに、処理前および処理後のウェハを格納する1つ以上のウェハソースモジュール801を備える。大気搬送チェンバ819内の大気ロボット(図示せず)によって、まず最初に、ウェハをソースモジュール801からロードロック821に取り出してよい。搬送モジュール803内のウェハ搬送装置(一般的には、ロボットアームユニット)によって、ウェハを、ロードロック821から、搬送モジュール803に取り付けられたモジュールへ、さらには搬送モジュール803に取り付けられたモジュール間で、移送する。
一部の実施形態では、システムコントローラ850は、プロセスツール800の動作のすべてを制御する。システムコントローラ850は、システム制御ソフトウェアを実行し、これは大容量記憶装置に保存されて、メモリデバイスにロードされて、プロセッサで実行される。あるいは、制御ロジックは、コントローラ850にハードコーディングされてもよい。このような目的で、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、すなわちFPGA)などを用いてよい。以下の説明において、「ソフトウェア」または「コード」を用いる場合には、いずれも、機能的に同等のハードコーディングされたロジックを代わりに用いてよい。
システム制御ソフトウェアは、タイミング、ガスの混合、ガス流量、チェンバおよび/またはステーションの圧力、チェンバおよび/またはステーションの温度、ウェハ温度、目標パワーレベル、RF電力レベル、ウェハペデスタル、チャックおよび/またはサセプタの位置、ならびにプロセスツール800によって実施される具体的なプロセスのその他のパラメータを制御するための命令を含み得る。システム制御ソフトウェアは、任意の適切な形態で構成されてよい。例えば、様々なプロセスツールプロセスを実施するために使用されるプロセスツール構成要素の動作を制御するための、各種プロセスツール構成要素サブルーチンまたは制御オブジェクトが作成され得る。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコーディングされてよい。
いくつかの実施形態において、システム制御ソフトウェアは、上記の各種パラメータを制御するための入力/出力制御(IOC)シーケンシング命令を含み得る。いくつかの実施形態において、システムコントローラ850に関連付けられた大容量記憶装置および/またはメモリデバイスに保存されるその他のコンピュータソフトウェアおよび/またはプログラムを採用してよい。本目的のためのプログラムまたはプログラム部分の例には、ウェハ位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが含まれる。
ウェハ位置決めプログラムは、基板をウェハペデスタル上にロードするために、さらには、ウェハとプロセスツール800のその他部材との間隔を制御するために使用されるプロセスツール構成要素のための、プログラムコードを含み得る。
処理ガス制御プログラムは、ガス組成(例えば、本明細書に記載されているような、シリコン含有前駆体ガス、窒素含有反応ガス、キャリアガスおよびパージガス)および流量を制御するための、さらにはオプションとして、堆積前にプロセスステーション内の圧力を安定化させるために1つ以上のプロセスステーションにガスを流入させるための、コードを含み得る。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁やプロセスステーションに流入するガス流などを調節することによってプロセスステーション内の圧力を制御するための、コードを含み得る。
ヒータ制御プログラムは、ウェハを加熱するために使用される加熱ユニットへの電流を制御するためのコードを含み得る。あるいは、ヒータ制御プログラムは、ウェハへの熱伝達ガス(ヘリウムなど)の供給を制御し得る。
プラズマ制御プログラムは、本明細書における実施形態に従って1つ以上のプロセスステーション内のプロセス電極に印加されるRF電力レベルを設定するためのコードを含み得る。
圧力制御プログラムは、本明細書における実施形態に従って処理チェンバ内の圧力を維持するためのコードを含み得る。
いくつかの実施形態において、システムコントローラ850に関連付けられたユーザインタフェースが設けられることがある。ユーザインタフェースとして、ディスプレイ画面と、装置および/またはプロセス条件のグラフィックソフトウェア表示と、さらに、ポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力装置が含まれることがある。
いくつかの実施形態において、システムコントローラ850によって調整されるパラメータは、プロセス条件に関連したものであり得る。非限定的な例として、処理ガスの組成および流量、温度、圧力、(RFバイアスパワーレベルのような)プラズマ条件、などが含まれる。これらのパラメータは、ユーザインタフェースを利用して入力され得るレシピの形でユーザに提供されてよい。
プロセスの監視のための信号が、各種プロセスツールセンサから、システムコントローラ850のアナログおよび/またはデジタル入力接続によって供給され得る。プロセスを制御するための信号が、プロセスツール800のアナログおよびデジタル出力接続に出力され得る。監視され得るプロセスツールセンサの非限定的な例として、マスフローコントローラ、(マノメータのような)圧力センサ、熱電対、などが含まれる。プロセス条件を維持するために、これらのセンサからのデータと共に、適切にプログラムされたフィードバックおよび制御アルゴリズムを用いてよい。
システムコントローラ850は、上記の堆積プロセスを実施するためのプログラム命令を提供し得る。それらのプログラム命令は、DC電力レベル、RFバイアスパワーレベル、圧力、温度などのような様々なプロセスパラメータを制御し得るものである。それらの命令は、本明細書に記載の種々の実施形態による積層膜のインサイチュ堆積に作用するようにパラメータを制御するものであり得る。
システムコントローラ850は、典型的には、1つ以上のメモリデバイスと、開示の実施形態による方法を装置で実施するための命令を実行するように構成された1つ以上のプロセッサと、を有する。開示の実施形態によるプロセス工程を制御するための命令を含む機械可読媒体を、システムコントローラ850に結合してよい。
いくつかの実施形態において、システムコントローラ850は、上記の例の一部であり得るシステムの一部である。そのようなシステムは、プロセスツールもしくはツール群、チェンバもしくはチェンバ群、処理用プラットフォームもしくはプラットフォーム群、および/または(ウェハペデスタル、ガスフローシステムなどの)特定の処理コンポーネント、などの半導体処理装置を備えることができる。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、処理後のそれらのオペレーションを制御するための電子装置と統合されることがある。電子装置は、「コントローラ」と呼ばれることがあり、これにより、そのシステムまたはシステム群の各種コンポーネントまたはサブパーツを制御してよい。システムコントローラ850は、プロセス条件および/またはシステムのタイプに応じて、処理ガスの供給、(例えば、加熱および/または冷却)温度設定、圧力設定、真空設定、パワー設定、RF発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給の設定、位置および動作設定、ツールとの間および他の移送ツールとの間および/または特定のシステムに接続もしくはインタフェースしているロードロックとの間のウェハ移送など、本明細書に開示のプロセスのいずれかを制御するようにプログラムされ得る。
システムコントローラ850は、広義には、種々の集積回路、ロジック、メモリと、さらに/または、命令を受け取り、命令を発行し、オペレーションを制御し、クリーニング動作を実現し、終点測定を実現するなどのソフトウェアと、を有する電子装置と定義され得る。集積回路には、プログラム命令を格納したファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として規定されるチップ、および/またはプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラ、が含まれ得る。プログラム命令は、半導体ウェハ上での特定のプロセスまたは半導体ウェハのための特定のプロセスまたはシステムに対する特定のプロセスを実行するための動作パラメータを規定する様々な個々の設定(またはプログラムファイル)の形でシステムコントローラ850に伝達される命令であり得る。動作パラメータは、一部の実施形態では、ウェハの1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはダイの作製において1つ以上の処理工程を実現するために、プロセスエンジニアによって規定されるレシピの一部であり得る。
システムコントローラ850は、いくつかの実施形態において、システムに統合もしくは接続されるか、またはその他の方法でシステムにネットワーク接続されたコンピュータの一部であるか、またはそのようなコンピュータに接続されたものであるか、またはそれらの組み合わせであり得る。例えば、システムコントローラ850は、「クラウド」にあるか、またはファブホストコンピュータシステムの全体もしくは一部であってよく、それは、ウェハ処理のためのリモートアクセスを可能とするものであり得る。コンピュータによって、製造オペレーションの現在の進行状況を監視し、過去の製造オペレーションの履歴を調査し、複数の製造オペレーションからの傾向またはパフォーマンスメトリックを調査するため、現在の処理のパラメータを変更するため、現在の処理に従って処理工程を設定するため、または、新たなプロセスを開始するための、システムへのリモートアクセスが実現され得る。いくつかの例において、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含み得るネットワークを介して、システムにプロセスレシピを提供することができる。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能とするユーザインタフェースを有してよく、それらのパラメータおよび/または設定は、その後、リモートコンピュータからシステムに伝達される。一部の例では、システムコントローラ850は、1つ以上のオペレーションにおいて実行される各々の処理工程のパラメータを指定するデータの形で命令を受け取る。なお、それらのパラメータは、実施されるプロセスのタイプ、およびシステムコントローラ850がインタフェースまたは制御するように構成されているツールのタイプ、に固有のものであり得ることは、理解されなければならない。その場合、上述のように、相互にネットワーク接続されているとともに、本明細書に記載のプロセスおよび制御などの共通の目的に向かって協働する1つ以上の別個のコントローラを備えることなどによって、システムコントローラ850を分散させてよい。このような目的の分散コントローラの一例は、チェンバに搭載する1つ以上の集積回路であり、これらは、(プラットフォームレベルで、またはリモートコンピュータの一部として、など)遠隔配置された1つ以上の集積回路と通信し、共同でチェンバにおけるプロセスを制御する。
例示的なシステムは、限定するものではないが、プラズマエッチングチェンバまたはモジュール、成膜チェンバまたはモジュール、スピンリンスチェンバまたはモジュール、金属メッキチェンバまたはモジュール、クリーンチェンバまたはモジュール、ベベルエッジエッチングチェンバまたはモジュール、物理気相成長(PVD)チェンバまたはモジュール、化学気相成長(CVD)チェンバまたはモジュール、ALDチェンバまたはモジュール、原子層エッチング(ALE)チェンバまたはモジュール、イオン注入チェンバまたはモジュール、トラックチェンバまたはモジュール、ならびに半導体ウェハの製作および/または製造に関連もしくは使用することがある他の任意の半導体処理システム、を含み得る。
上述のように、システムコントローラ850は、ツールによって実行される処理工程または工程群に応じて、他のツール回路またはモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近隣のツール、工場の至るところに配置されたツール、メインコンピュータ、他のコントローラ、または半導体製造工場においてツール場所および/もしくはロードポートとの間でウェハの容器を移動させる材料搬送で使用されるツール、のうちの1つ以上と通信し得る。
[リソグラフィパターニング]
上記の装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネルなどの作製または製造のために、リソグラフィパターニング・ツールまたはプロセスと組み合わせて用いてよい。一般に、そのようなツール/プロセスは、必ずしもそうではないが、共通の製造設備で一緒に使用または実施される。膜のリソグラフィパターニングは、通常、以下の工程の一部またはすべてを含み、各工程は、いくつかの考え得るツールを用いて実施される。(1)スピン式またはスプレー式のツールを用いて、ワークピースすなわち基板の上にフォトレジストを塗布する;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる;(3)ウェハステッパなどのツールによって、可視光線または紫外線またはX線でフォトレジストを露光する;(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去するようにレジストを現像し、これによりパターンを形成する;(5)ドライまたはプラズマアシスト・エッチングツールを用いて、レジストパターンを下地膜またはワークピースに転写する;(6)RFまたはマイクロ波プラズマ・レジストストリッパなどのツールを用いて、レジストを剥離する。
[他の実施形態]
本発明の例示的な実施形態ならびに応用について、本明細書で図示および記載しているが、本発明の概念、範囲、および趣旨から逸脱することなく、数多くの変形および変更が可能であり、それらの変形例は、本出願を精読することで、当業者に明らかになるであろう。よって、記載の実施形態は例示とみなされるべきであって、限定するものではなく、本発明は、本明細書で提示された詳細に限定されるべきではなく、添付の特許請求の範囲および均等物の範囲内で変更してよい。

Claims (20)

  1. 方法であって、
    ウェハ上に複数の混合モード原子層堆積(ALD)サイクルを付与することを含み、前記各モードALDサイクルは、1回以上のプラズマ強化原子層堆積(PEALD)サイクルおよび1回以上の熱原子層堆積(熱ALD)サイクルを含み、前記各PEALDサイクルおよび前記各熱ALDサイクルでは、窒素含有反応物質を前記ウェハ上の窒化層に転化させる、方法。
  2. 請求項1に記載の方法であって、前記複数の混合モードALDサイクルを付与することは、
    前記1回以上のPEALDサイクルを付与することを含み、このとき、前記1回以上のPEALDサイクルを付与することは、
    シリコン含有前駆体の第1のドーズを気相で前記ウェハに導入することと、
    前記ウェハを前記窒素含有反応物質のプラズマに暴露することと、
    前記窒素含有反応物質を前記ウェハ上の窒化層に転化させることと、を含み、このとき、前記窒化層はシリコン窒化層である、方法。
  3. 請求項2に記載の方法であって、前記複数の混合モードALDサイクルを付与することは、
    前記1回以上の熱ALDサイクルを付与することを含み、このとき、前記1回以上の熱ALDサイクルを付与することは、
    前記シリコン含有前駆体の第2のドーズを気相で前記ウェハに導入することと、
    高温で前記ウェハを前記窒素含有反応物質に暴露することと、
    前記高温で前記窒素含有反応物質を窒化層に転化させることと、を含み、このとき、前記窒化層はシリコン窒化層である、方法。
  4. 請求項3に記載の方法であって、前記高温は、少なくとも500℃以上である、方法。
  5. 請求項3に記載の方法であって、前記ウェハを前記窒素含有反応物質の前記プラズマに暴露する間、前記ウェハを前記高温に維持する、方法。
  6. 請求項3に記載の方法であって、前記高温で前記ウェハを前記窒素含有前駆体に暴露する熱暴露時間は、前記ウェハを前記窒素含有前駆体の前記プラズマに暴露するプラズマ暴露時間よりも長い、方法。
  7. 請求項3に記載の方法であって、前記シリコン窒化層中のシリコン対窒素濃度比は、1.2:1〜1.8:1の間である、方法。
  8. 請求項3に記載の方法であって、前記シリコン窒化層は、約2.0〜約2.5の間の屈折率を有する、方法。
  9. 請求項3に記載の方法であって、前記窒素含有反応物質は、アミンまたはアンモニアを含む、方法。
  10. 請求項3に記載の方法であって、前記シリコン含有前駆体は、ハロゲン化シランを含む、方法。
  11. 請求項1から請求項10のいずれか1項に記載の方法であって、前
    記各混合モードALDサイクルにおいて、前記1回以上の熱ALDサイクルの数は、前記1回以上のPEALDサイクルの数以上である、方法。
  12. 請求項1から請求項10のいずれか1項に記載の方法であって、前記ウェハは、複数のフィーチャを含み、前記フィーチャの各々は、10:1よりも高い深さ対幅アスペクト比を有する、方法。
  13. 窒化膜をウェハ上に製造する方法であって、
    ウェハを処理チェンバ内に供給することと、
    窒素含有反応物質のプラズマ転化によって1つ以上の窒化層をウェハ上に堆積させるために、1回以上のプラズマ強化原子層堆積(PEALD)サイクルを付与することと、
    前記1回以上のPEALDサイクルの前または後に、前記窒素含有反応物質の熱転化によって1つ以上の窒化層を前記ウェハ上に堆積させるために、1回以上の熱原子層堆積(熱ALD)サイクルを付与することと、
    前記1つ以上の窒化層から窒化膜を形成することと、を含む方法。
  14. 請求項13に記載の方法であって、前記熱ALDサイクルの数は、前記PEALDサイクルの数以上である、方法。
  15. 請求項13に記載の方法であって、前記1回以上のPEALDサイクルの付与中および前記1回以上の熱ALDサイクルの付与中は、前記ウェハの500℃以上の温度を維持する、方法。
  16. 請求項13に記載の方法であって、前記窒素含有反応物質の熱転化の時間は、前記窒素含有反応物質のプラズマ転化の時間よりも長い、方法。
  17. 請求項13から請求項16のいずれか1項に記載の方法であって、前記窒化膜は、シリコン窒化膜である、方法。
  18. 請求項17に記載の方法であって、前記シリコン窒化膜は、約2.0〜約2.5の間の屈折率を有する、方法。
  19. 請求項17に記載の方法であって、前記シリコン窒化膜中のシリコン対窒素濃度比は、1.2:1〜1.8:1の間である、方法。
  20. 請求項13から請求項16のいずれか1項に記載の方法であって、前記1回以上のPEALDサイクルを付与することは、前記窒素含有反応物質のプラズマ転化の前に、シリコン含有前駆体の第1のドーズを導入することを含み、
    前記1回以上の熱ALDサイクルを付与することは、前記窒素含有反応物質の熱転化の前に、前記シリコン含有前駆体の第2のドーズを導入することを含み、
    前記シリコン含有前駆体は、ハロゲン化シランを含み、
    前記窒素含有反応物質は、アミンまたはアンモニアを含む、方法。
JP2017168109A 2016-09-07 2017-09-01 プラズマ支援および熱原子層堆積プロセスによる窒化膜形成 Active JP7022537B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/258,789 2016-09-07
US15/258,789 US9865455B1 (en) 2016-09-07 2016-09-07 Nitride film formed by plasma-enhanced and thermal atomic layer deposition process

Publications (3)

Publication Number Publication Date
JP2018050038A true JP2018050038A (ja) 2018-03-29
JP2018050038A5 JP2018050038A5 (ja) 2020-10-08
JP7022537B2 JP7022537B2 (ja) 2022-02-18

Family

ID=60812864

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017168109A Active JP7022537B2 (ja) 2016-09-07 2017-09-01 プラズマ支援および熱原子層堆積プロセスによる窒化膜形成

Country Status (3)

Country Link
US (1) US9865455B1 (ja)
JP (1) JP7022537B2 (ja)
KR (3) KR102439698B1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230051535A (ko) 2020-08-26 2023-04-18 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치

Families Citing this family (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US20200411342A1 (en) * 2019-06-27 2020-12-31 Applied Materials, Inc. Beamline architecture with integrated plasma processing
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114641A1 (en) * 2021-12-14 2023-06-22 Lam Research Corporation Conformal deposition of silicon nitride
WO2023133075A1 (en) * 2022-01-07 2023-07-13 Lam Research Corporation Silicon nitride deposition
WO2023178216A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Low-k dielectric protection during plasma deposition of silicon nitride
WO2023230170A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Hybrid atomic layer deposition
WO2023230296A1 (en) * 2022-05-27 2023-11-30 Lam Research Corporation Single wafer reactor, low temperature, thermal silicon nitride deposition

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007138295A (ja) * 2005-11-18 2007-06-07 Tokyo Electron Ltd シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
JP2009170823A (ja) * 2008-01-19 2009-07-30 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2014082322A (ja) * 2012-10-16 2014-05-08 Tokyo Electron Ltd シリコン窒化物膜の成膜方法および成膜装置
JP2015103780A (ja) * 2013-11-28 2015-06-04 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
JP2015159282A (ja) * 2014-02-18 2015-09-03 ラム リサーチ コーポレーションLam Research Corporation コンフォーマルな窒化アルミニウムに関する高い成長速度のプロセス
US20160111272A1 (en) * 2015-12-28 2016-04-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes

Family Cites Families (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
CA2387341A1 (en) 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
JP5016767B2 (ja) 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
WO2001082368A2 (en) 2000-04-25 2001-11-01 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101923167B1 (ko) * 2011-04-07 2018-11-29 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007138295A (ja) * 2005-11-18 2007-06-07 Tokyo Electron Ltd シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
JP2009170823A (ja) * 2008-01-19 2009-07-30 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2014082322A (ja) * 2012-10-16 2014-05-08 Tokyo Electron Ltd シリコン窒化物膜の成膜方法および成膜装置
JP2015103780A (ja) * 2013-11-28 2015-06-04 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
JP2015159282A (ja) * 2014-02-18 2015-09-03 ラム リサーチ コーポレーションLam Research Corporation コンフォーマルな窒化アルミニウムに関する高い成長速度のプロセス
US20160111272A1 (en) * 2015-12-28 2016-04-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230051535A (ko) 2020-08-26 2023-04-18 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치

Also Published As

Publication number Publication date
US9865455B1 (en) 2018-01-09
KR102439698B1 (ko) 2022-09-01
KR20230148308A (ko) 2023-10-24
JP7022537B2 (ja) 2022-02-18
KR20220124668A (ko) 2022-09-14
KR20180028016A (ko) 2018-03-15

Similar Documents

Publication Publication Date Title
JP7022537B2 (ja) プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
CN111247269B (zh) 介电膜的几何选择性沉积
CN109937467B (zh) 用于高模数ALD SiO2间隔物的方法
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
US10176984B2 (en) Selective deposition of silicon oxide
TWI714534B (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
KR20180013745A (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR20160118968A (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
KR20160061885A (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US20210384029A1 (en) Modifying hydrophobicity of a wafer surface using an organosilicon precursor
US20180308690A1 (en) Densifying films in semiconductor device
KR20210150606A (ko) 변조된 원자 층 증착
US20220384186A1 (en) Methods to enable seamless high quality gapfill
TW202418351A (zh) 表面抑制原子層沉積
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200831

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210914

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220111

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220207

R150 Certificate of patent or registration of utility model

Ref document number: 7022537

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150