JP2009170823A - 成膜方法及び成膜装置 - Google Patents

成膜方法及び成膜装置 Download PDF

Info

Publication number
JP2009170823A
JP2009170823A JP2008009926A JP2008009926A JP2009170823A JP 2009170823 A JP2009170823 A JP 2009170823A JP 2008009926 A JP2008009926 A JP 2008009926A JP 2008009926 A JP2008009926 A JP 2008009926A JP 2009170823 A JP2009170823 A JP 2009170823A
Authority
JP
Japan
Prior art keywords
gas supply
film
film forming
nitriding gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008009926A
Other languages
English (en)
Other versions
JP4935687B2 (ja
Inventor
Nobutake Nodera
伸武 野寺
Kazuhide Hasebe
一秀 長谷部
Masanobu Matsunaga
正信 松永
Jun Sato
潤 佐藤
Pao-Hwa Chou
保華 周
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008009926A priority Critical patent/JP4935687B2/ja
Priority to TW098100871A priority patent/TWI421941B/zh
Priority to US12/320,018 priority patent/US8080290B2/en
Priority to KR1020090003552A priority patent/KR101105130B1/ko
Priority to CN2009100055111A priority patent/CN101488452B/zh
Publication of JP2009170823A publication Critical patent/JP2009170823A/ja
Application granted granted Critical
Publication of JP4935687B2 publication Critical patent/JP4935687B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】薄膜の膜質特性を精度良く制御することが可能な成膜方法を提供する。
【解決手段】複数枚の被処理体Wが収容されて真空引き可能になされた処理容器4内に、シラン系ガスと窒化ガスとを供給して被処理体の表面にシリコン窒化膜よりなる薄膜を形成する成膜処理を行うようにした成膜方法において、シラン系ガスを供給するシラン系ガス供給工程と窒化ガスを供給する窒化ガス供給工程とを交互に繰り返し行うと共に、繰り返される複数の窒化ガス供給工程にはプラズマを立てる窒化ガス供給工程とプラズマを立てない窒化ガス供給工程とを含ませることにより薄膜の膜質を制御する。
【選択図】図3

Description

本発明は、半導体ウエハ等の被処理体に薄膜を形成する成膜方法及び成膜装置に関する。
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理、自然酸化膜の除去処理等の各種の処理が行なわれる。これらの処理を特許文献1等に開示されている縦型の、いわゆるバッチ式の熱処理装置にて行う場合には、まず、半導体ウエハを複数枚、例えば25枚程度収容できるカセットから、半導体ウエハを縦型のウエハボートへ移載してこれに多段に支持させる。このウエハボートは、例えばウエハサイズにもよるが30〜150枚程度のウエハを載置できる。このウエハボートは、排気可能な処理容器内にその下方より搬入(ロード)された後、処理容器内が気密に維持される。そして、処理ガスの流量、プロセス圧力、プロセス温度等の各種のプロセス条件を制御しつつ所定の熱処理が施される。
ここで上記半導体集積回路の特性を向上させる要因の1つとして、集積回路中の絶縁膜の特性を向上させることは重要である。上記集積回路中の絶縁膜としては、一般的にはSiO 、PSG(Phospho Silicate Glass)、P(プラズマ)−SiO、P(プラズマ)−SiN、SOG(Spin On Glass)、Si (シリコン窒化膜)等が用いられる。そして、特にシリコン窒化膜は、絶縁特性がシリコン酸化膜より比較的良好なこと、及びエッチングストッパ膜や層間絶縁膜としても十分に機能することから多用される傾向にある。また同様な理由でボロン窒化膜も用いられる傾向にある。
半導体ウエハの表面に上述したようなシリコン窒化膜を形成するには、成膜ガスとしてモノシラン(SiH )やジクロルシラン(SiH Cl )やヘキサクロロジシラン(Si Cl )、ビス ターシャル ブチルアミノシラン(BTBAS)や(t−C NH) SiH 等のシラン系ガスを用いて熱CVD(Chemical Vapor Deposition)により成膜する方法が知られている(例えば特許文献1参照)。そして、上記絶縁膜の誘電率を小さくするためにシリコン窒化膜に不純物として例えばボロン(B)を添加して絶縁膜を形成するようにした提案もなされている(特許文献2)。
ところで、最近にあっては半導体集積回路の更なる高集積化及び高微細化の要求が強くなされており、回路素子の特性の向上を目的として半導体集積回路の製造工程における熱履歴も低減化することが望まれている。このような状況下において、縦型の、いわゆるバッチ式の縦型の処理装置においても、ウエハをそれ程の高温に晒さなくても目的とする処理が可能なことから、原料ガス等を間欠的に供給しながら原子レベルで1層〜数層ずつ、或いは分子レベルで1層〜数層ずつ繰り返し成膜する方法が知られている(特許文献3〜7等)。このような成膜方法は一般的にはALD(Atomic Layer Deposition)と称されている。
ここで従来の成膜方法としては、シラン系ガスであるジクロロシラン(以下、「DCS」とも称す)と窒化ガスであるNH ガスとを用いてシリコン窒化膜(SiN)を形成している。具体的には、処理容器内に、DCSとNH ガスとを交互に間欠的に供給し、NH ガスを供給する時にRF(高周波)を印加してプラズマを立て、窒化反応を促進するようにしている。この場合、DCSを処理容器内へ供給することにより、ウエハ表面上にDCSが分子レベルで一層、或いは複数層吸着し、そして余分なDCSを不活性ガスパージ、或いは真空引きで排除した後、NH を供給してプラズマを立てることによって低温での窒化を促進して窒化膜を形成し、この一連の工程を繰り返し行っている。
特開平11−172439号公報 特開平2−93071号公報 特開平6−45256号公報 特開平11−87341号公報 特開2006−287194号公報 特開2006−278485号公報 特開2006−156626号公報
上述のように窒化ガスであるNH ガスを供給する時に高周波(RF)によりプラズマを立てて窒化反応を促進させることにより、プラズマを全く用いない場合と比較して成膜レート(成膜速度)を向上させることができるのみならず、堆積したシリコン窒化膜のストレスを高めることによって電子や正孔の移動度を改善することができるので、膜質を大幅に向上させることができる。更には、上記シリコン窒化膜は、これをメモリセルのチャージトラップ層として用いると、有効に作用することが知られている(特許文献7)。
ところで、半導体集積回路の更なる薄膜化及び高微細化により、上記したシリコン窒化膜の膜質特性についても、より厳しい規格が求められており、この結果、シリコン窒化膜の膜質特性である屈折率、Si成分とN成分との組成比率、或いはストレス等について、より厳しく調整し、且つ制御された薄膜が求められている。
しかしながら、上述したような薄膜の膜質特性を十分に精度良く制御する方法が見い出されていないのが現状である。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、薄膜の膜質特性を精度良く制御することが可能な成膜方法及び成膜装置を提供することにある。
請求項1に係る発明は、複数枚の被処理体が収容されて真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとを供給して前記被処理体の表面にシリコン窒化膜よりなる薄膜を形成する成膜処理を行うようにした成膜方法において、前記シラン系ガスを供給するシラン系ガス供給工程と前記窒化ガスを供給する窒化ガス供給工程とを交互に繰り返し行うと共に、前記繰り返される複数の窒化ガス供給工程にはプラズマを立てる窒化ガス供給工程とプラズマを立てない窒化ガス供給工程とを含ませることにより前記薄膜の膜質を制御するようにしたことを特徴とする成膜方法である。
このように、複数枚の被処理体が収容されて真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとを供給して被処理体の表面にシリコン窒化膜よりなる薄膜を形成するに際して、シラン系ガスを供給するシラン系ガス供給工程と窒化ガスを供給する窒化ガス供給工程とを交互に繰り返し行うと共に、繰り返される複数の窒化ガス供給工程にはプラズマを立てる窒化ガス供給工程とプラズマを立てない窒化ガス供給工程とを含ませるようにしたので、薄膜の膜質特性を精度良く制御することができる。
この場合、例えば請求項2に記載したように、前記膜質は、屈折率、組成比及びストレスの内のいずれか1つである。
また例えば請求項3に記載したように、前記プラズマを立てる窒化ガス供給工程と前記プラズマを立てない窒化ガス供給工程とが混在されており、その比率が調整可能になされている。
また例えば請求項4に記載したように、前記プラズマを立てる窒化ガス供給工程と前記プラズマを立てない窒化ガス供給工程とが偏在されており、その比率が調整可能になされている。
また例えば請求項5に記載したように、前記シラン系ガス供給工程と前記窒化ガス供給工程との間には間欠期間が設けられており、該間欠期間には、前記処理容器内は不活性ガスパージされていること及び/又は全てのガスの供給が停止されて真空引きされている。
また例えば請求項6に記載したように、前記プラズマを立てる窒化ガス供給では、前記窒化ガスは前記処理容器内で高周波電力によって発生したプラズマによって活性化される。
また例えば請求項7に記載したように、前記窒化ガスの供給開始から所定の時間が経過した後に、前記高周波電力が印加される。
また例えば請求項8に記載したように、前記薄膜の成膜時の温度は、25℃〜700℃の範囲内である。
また例えば請求項9に記載したように、前記薄膜の成膜時の圧力は、13Pa(0.1Torr)〜13300Pa(100Torr)の範囲内である。
また例えば請求項10に記載したように、前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)、トリメチルシラン(TMS)、ジメチルシラン(DMS)、モノメチルアミン(MMA)、トリジメチルアミノシラン(3DMAS)よりなる群より選択される1以上のガスである。
また例えば請求項11に記載したように、前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスである。
また例えば請求項12に記載したように、前記シリコン窒化膜には、不純物がドープされている。
請求項13に係る発明は、被処理体に対して所定の薄膜を形成するための成膜装置において、真空引き可能になされた縦型の筒体状の処理容器と、前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、前記処理容器の外周に設けられる加熱手段と、前記処理容器内へシラン系ガスを供給するシラン系ガス供給手段と、前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、前記窒化ガスを活性化する活性化手段と、請求項1乃至12のいずれか一項に記載した成膜方法を実行するように制御する制御手段と、を備えたことを特徴とする成膜装置である。
請求項14に係る発明は、複数枚の被処理体が収容されて真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとを供給して前記被処理体の表面にSiN薄膜を形成するようにした成膜装置を用いて薄膜を形成するに際して、請求項1乃至12のいずれか一項に記載の成膜方法を実行するように前記成膜装置を制御するコンピュータ読み取り可能なプログラムを記憶することを特徴とする記憶媒体である。
本発明に係る成膜方法及び成膜装置によれば、次のように優れた作用効果を発揮することができる。
複数枚の被処理体が収容されて真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとを供給して被処理体の表面にシリコン窒化膜よりなる薄膜を形成するに際して、シラン系ガスを供給するシラン系ガス供給工程と窒化ガスを供給する窒化ガス供給工程とを交互に繰り返し行うと共に、繰り返される複数の窒化ガス供給工程にはプラズマを立てる窒化ガス供給工程とプラズマを立てない窒化ガス供給工程とを含ませるようにしたので、薄膜の膜質特性を精度良く制御することができる。
以下に、本発明に係る成膜方法及び成膜装置の一実施例を添付図面に基づいて詳述する。
図1は本発明の係る成膜装置の一例を示す縦断面構成図、図2は成膜装置(加熱手段は省略)を示す横断面構成図である。尚、ここではシラン系ガスとしてジクロロシラン(DCS)を用い、窒化ガスとしてアンモニアガス(NH )を用い、上記NH ガスをプラズマにより活性化して窒化膜としてSiN膜を成膜する場合を例にとって説明する。
図示するように、プラズマを形成することができるこの成膜装置2は、下端が開口された有天井の円筒体状の処理容器4を有している。この処理容器4の全体は、例えば石英により形成されており、この処理容器4内の天井には、石英製の天井板6が設けられて封止されている。また、この処理容器4の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド8がOリング等のシール部材10を介して連結されている。尚、ステンレス製のマニホールド8を設けないで、全体を円筒体状の石英製の処理容器で構成した装置もある。
上記処理容器4の下端は、上記マニホールド8によって支持されており、このマニホールド8の下方より複数枚の被処理体としての半導体ウエハWを多段に載置した保持手段としての石英製のウエハボート12が昇降可能に挿脱自在になされている。本実施例の場合において、このウエハボート12の支柱12Aには、例えば50〜100枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
このウエハボート12は、石英製の保温筒14を介してテーブル16上に載置されており、このテーブル16は、マニホールド8の下端開口部を開閉する例えばステンレススチール製の蓋部18を貫通する回転軸20上に支持される。
そして、この回転軸20の貫通部には、例えば磁性流体シール22が介設され、この回転軸20を気密にシールしつつ回転可能に支持している。また、蓋部18の周辺部とマニホールド8の下端部には、例えばOリング等よりなるシール部材24が介設されており、処理容器4内のシール性を保持している。
上記した回転軸20は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム26の先端に取り付けられており、ウエハボート12及び蓋部18等を一体的に昇降して処理容器4内へ挿脱できるようになされている。尚、上記テーブル16を上記蓋部18側へ固定して設け、ウエハボート12を回転させることなくウエハWの処理を行うようにしてもよい。
このマニホールド8には、処理容器4内の方へプラズマ化される窒化ガスとして、例えばアンモニア(NH )ガスを供給する窒化ガス供給手段28と、成膜ガスであるシラン系ガスとして例えばDCS(ジクロロシラン)ガスを供給するシラン系ガス供給手段30と、パージガスとして不活性ガス、例えばN ガスを供給するパージガス供給手段36とが設けられる。具体的には、上記窒化ガス供給手段28は、上記マニホールド8の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなるガス分散ノズル38を有している。このガス分散ノズル38には、その長さ方向に沿って複数(多数)のガス噴射孔38Aが所定の間隔を隔てて形成されており、各ガス噴射孔38Aから水平方向に向けて略均一にアンモニアガスを噴射できるようになっている。
また同様に上記シラン系ガス供給手段30も、上記マニホールド8の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなるガス分散ノズル40を有している。このガス分散ノズル40には、その長さ方向に沿って複数(多数)のガス噴射孔40Aが所定の間隔を隔てて形成されており、各ガス噴射孔40Aから水平方向に向けて略均一にシラン系ガスであるDCSガスを噴射できるようになっている。
また同様に上記パージガス供給手段36は、上記マニホールド8の側壁を貫通して設けたガスノズル46を有している。上記各ノズル38、40、46には、それぞれのガス通路48、50、56が接続されている。そして、各ガス通路48、50、56には、それぞれ開閉弁48A、50A、56A及びマスフローコントローラのような流量制御器48B、50B、56Bが介設されており、NH ガス、DCSガス及びN ガスをそれぞれ流量制御しつつ供給できるようになっている。これらの各ガスの供給、供給停止、ガス流量の制御及び後述する高周波のオン・オフ制御等は例えばコンピュータ等よりなる制御手段60により行われる。またこの制御手段60は、上記制御に加え、この装置全体の動作も制御する。そして、この制御手段60は、上記制御を行うためのプログラムが記憶されているフロッピディスクやフラッシュメモリやハードディスク等よりなる記憶媒体62を有している。
一方、上記処理容器4の側壁の一部には、その高さ方向に沿ってプラズマを発生させて窒化ガスを活性化させる活性化手段66が形成されると共に、この活性化手段66に対向する処理容器4の反対側には、この内部雰囲気を真空排気するために処理容器4の側壁を、例えば上下方向へ削りとることによって形成した細長い排気口68が設けられている。具体的には、上記活性化手段66は、上記処理容器4の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口70を形成し、この開口70をその外側より覆うようにして断面凹部状になされた上下に細長い例えば石英製のプラズマ区画壁72を容器外壁に気密に溶接接合することにより形成されている。
これにより、この処理容器4の側壁の一部を凹部状に外側へ窪ませることにより一側が処理容器4内へ開口されて連通された活性化手段66が一体的に形成されることになる。すなわちプラズマ区画壁72の内部空間は、プラズマボックスとなって上記処理容器4内に一体的に連通された状態となっている。上記開口70は、ウエハボート12に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。
そして、上記プラズマ区画壁72の両側壁の外側面には、その長さ方向(上下方向)に沿って互いに対向するようにして細長い一対のプラズマ電極74が設けられると共に、このプラズマ電極74にはプラズマ発生用の高周波電源76が給電ライン78を介して接続されており、上記プラズマ電極74に例えば13.56MHzの高周波電圧を印加することによりプラズマを発生し得るようになっている。尚、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
そして、上記処理容器4内を上方向に延びていく窒化ガス用のガス分散ノズル38は途中で処理容器4の半径方向外方へ屈曲されて、上記プラズマ区画壁72内の一番奥(処理容器4の中心より一番離れた部分)に位置され、この一番奥の部分に沿って上方に向けて起立させて設けられている。従って、高周波電源76がオンされている時に上記ガス分散ノズル38のガス噴射孔38Aから噴射されたアンモニアガスはここで活性化されて処理容器4の中心に向けて拡散しつつ流れるようになっている。
そして上記プラズマ区画壁72の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー80が取り付けられている。また、この絶縁保護カバー80の内側部分には、図示しない冷媒通路が設けられており、冷却された窒素ガスや冷却水を流すことにより上記プラズマ電極74を冷却し得るようになっている。
そして上記プラズマ区画壁72の開口70の外側近傍、すなわち開口70の外側(処理容器4内)には、上記シラン系ガス用のガス分散ノズル40が起立させて設けられており、このノズル40に設けた各ガス噴射孔40Aより処理容器4の中心方向に向けてシラン系ガスを噴射し得るようになっている。
一方、上記開口70に対向させて設けた排気口68には、これを覆うようにして石英よりなる断面コ字状に成形された排気口カバー部材82が溶接により取り付けられている。この排気口カバー部材82は、上記処理容器4の側壁に沿って上方に延びており、処理容器4の上方のガス出口84より図示しない真空ポンプや圧力調整弁等を介設した真空排気系により真空引きされる。そして、この処理容器4の外周を囲むようにしてこの処理容器4及びこの内部のウエハWを加熱する筒体状の加熱手段86が設けられている。
次に、以上のように構成された成膜装置2を用いて行なわれるプラズマによる本発明の成膜方法(いわゆるALD成膜)について説明する。
本発明では、シラン系ガスを供給するシラン系ガス供給工程と窒化ガスを供給する窒化ガス供給工程とを交互に繰り返し行うと共に、繰り返される複数の窒化ガス供給工程にはプラズマを立てる窒化ガス供給工程とプラズマを立てない窒化ガス供給工程とを含ませることにより薄膜の膜質を制御するようにしてシリコン窒化膜(SiN)よりなる薄膜を形成する。
この場合、具体的には、例えば第1〜第4実施形態に示すような成膜形態の内の1つを選択的に行って、薄膜の膜質特性を制御することになる。まず、本発明方法の第1実施形態について説明する。図3は本発明の成膜方法の各実施形態における各種ガスの供給のタイミングとRF(高周波)の印加タイミングを示すタイミングチャートである。図3(A)はDCSガスの供給のタイミングを示し、図3(B)はNH ガスの供給のタイミングを示し、図3(C)では本発明の第1実施形態におけるRFの印加タイミングを示し、図3(D)では本発明の第2実施形態におけるRFの印加タイミングを示し、図3(E)では本発明の第3実施形態におけるRFの印加タイミングを示し、図3(F)では本発明の第4実施形態におけるRFの印加タイミングを示す。そして、この図3は1バッチの成膜期間のタイミング状態を示している。また、図4は図3に示す第1〜第4実施形態によって形成される薄膜の断面を示す模式図である。
まず、常温の多数枚、例えば50〜100枚の300mmサイズの製品用のウエハWが載置された状態のウエハボート12を予め所定の温度になされた処理容器4内にその下方より上昇させてロードし、蓋部18でマニホールド8の下端開口部を閉じることにより容器内を密閉する。
そして処理容器4内を真空引きして所定のプロセス圧力に維持すると共に、加熱手段86への供給電力を増大させることにより、ウエハ温度を上昇させてプロセス温度を維持する。上記DCSガスをシラン系ガス供給手段30から供給し、そして、NH ガスを窒化ガス供給手段28から供給する。具体的には、図3に示すように、上記シラン系ガスを供給するシラン系ガス供給工程と上記窒化ガスを供給する窒化ガス供給工程とを交互に繰り返し行うと共に、上記繰り返される複数の窒化ガス供給工程にはプラズマを立てる窒化ガス供給工程とプラズマを立てない窒化ガス供給工程とが含まれるようにする。この際、上記シラン系ガス供給工程と窒化ガス供給工程との間には、パージを行う間欠期間を設けるようにするのがよい。尚、この間欠期間を設けなくてもよい。また隣り合うシラン系ガス供給工程同士間が1サイクルとなる。
これにより、回転しているウエハボート12に支持されているウエハWの表面にSiN薄膜を形成する。この際、NH ガスを供給する時に、常にプラズマを立てるようにするのではなく、プラズマを立てないで成膜するサイクルと、プラズマを立てて成膜するサイクルとが混在するように設定する。
この図3(C)に示す第1実施形態では、パルス状にNH ガスである窒化ガスの供給工程を行っており、この窒化ガスの供給工程に同期させて1つ置きにRFをオンしてプラズマを立てるようにしている。すなわち、窒化ガス供給工程の全てにプラズマを立てるのではなく、プラズマを立てる窒化ガス供給工程とプラズマを立てない窒化ガス供給工程とを交互に行うようになっている。この場合、ウエハWの表面には、図4(A)に示すように薄膜が形成されていることになり、具体的には、プラズマを立てる窒化ガス供給工程ではプラズマにより窒化が促進されたSiN膜、すなわちプラズマSiN膜100Aと、プラズマを用いないで熱分解反応により形成されたSiN膜、すなわち熱SiN膜100Bとが交互に積層される。従って、窒化ガス供給工程においてRFをオンする場合とRFをオフする場合が”1:1”の関係になっている。
具体的なガスの供給に関しては、上記NH ガスはガス分散ノズル38の各ガス噴射孔38Aから水平方向へ噴射され、また、DCSガスはガス分散ノズル40の各ガス噴射孔40Aから水平方向へ噴射され、各ガスが反応してSiN薄膜が形成される。この場合、上記各ガスは、連続的に供給されるのではなく、図3に示すようにDCSガスは間欠的に、パルス状に供給される(シラン系ガス供給工程)。上記工程によりガスがウエハ表面に吸着されることになる。NH ガスは上記シラン系ガス供給工程からタイミングをずらして同じくパルス状に供給される(窒化ガス供給工程)。
そして、上記窒化ガス供給工程の前後にはパージを行う間欠期間を挟み込んでおり、SiN膜を一層ずつ繰り返し積層する。また間欠期間においては真空引きが継続されて容器内に残留するガスを排除している。そして、NH ガスを単独で流す時には、上述したように、1サイクル毎にRF電源がパルス状にオンされてプラズマを立てる場合と、RF電源をオフしてプラズマを立てない場合とが繰り返し行われ、プラズマを立てた時にはNH ガスが活性化されて活性種等が作られて反応が促進された状態で成膜がなされ、プラズマが立てられない時には反応速度が遅い状態で成膜がなされる。
この場合、プラズマを立てる時にはNH ガスのパルスの供給期間の全期間に亘ってRF電源をオンしてもよいし、NH ガスの1パルス内で供給開始から所定の時間Δtが経過した後に、RF電源をオンするようにしてもよい。この所定の時間ΔtとはNH ガスの流量が安定するまでの時間であり、例えば2〜5秒程度である。このように、NH ガスの流量が安定化した後にRF電源をオンすることにより、ウエハWの面間方向(高さ方向)における活性種の濃度均一性を向上できる。また間欠期間では、不活性ガスであるN ガスを処理容器4内へ供給して残留ガスを排除するようにしてもよいし(不活性ガスパージ)、或いは、全てのガスの供給を停止したまま真空引きを継続して行うことにより(バキュームとも称す)、処理容器4内の残留ガスを排除するようにしてもよい。更には、間欠期間の前半はバキュームを行い、後半は不活性ガスパージを行うようにしてもよい。
この場合、シラン系ガスの供給期間(シラン系ガス供給工程)T1は2〜10秒程度、窒化ガス供給期間(窒化ガス供給工程)T2は10〜20秒程度、前半の間欠期間T3は5〜15秒程度、後半の間欠期間T4は5〜15秒程度であるが、これらの各時間は単に一例を示したに過ぎず、この数値に限定されない。通常、1サイクルによって形成される膜厚は、プラズマを立てる場合には1.3Å/サイクル程度、プラズマを立てない場合には0.9Å/サイクル程度なので、目標膜厚が例えば500Åであるならば、455サイクル程度繰り返し行うことになる。尚、図3(A)に示す場合は、全部で20サイクルであるが、これは発明の理解を容易にするために簡略化したものであり、必要とする膜厚にもよるが実際には上述したように455サイクル程度行うことになる。
ここで上記成膜処理のプロセス条件について説明すると、DCSガスの流量は50〜2000sccmの範囲内、例えば1000sccm(1slm)であり、NH ガスの流量は500〜5000sccmの範囲内、例えば1000sccmである。
またプロセス温度はCVD成膜処理よりも低い温度であり、具体的には25℃〜700℃の範囲内、好ましくは450〜630℃の範囲内である。このプロセス温度が300℃よりも低いと、反応が生ぜずにほとんど膜が堆積せず、また700℃よりも高い場合には、膜質の劣るCVDによる堆積膜が形成されてしまうのみならず、前工程ですでに形成されている金属膜等に熱的ダメージを与えてしまう。
またプロセス圧力は13Pa(0.1Torr)〜13300Pa(100Torr)の範囲内、好ましくは40Pa(0.3Torr)〜266Pa(2Torr)の範囲内、より好ましくは93P(0.7Torr)〜107P(0.8Torr)の範囲内であり、例えばシラン系ガス供給工程では1Torr、窒化ガス供給工程では0.3Torrである。ここでプロセス圧力が13Paよりも小さい場合には、成膜レートが実用レベル以下になってしまう。またプロセス圧力が13300Paまでは、ウエハWに対する反応は吸着反応が主流であるので、膜質が良好な薄膜を高い成膜速度で安定的に堆積させることができ、良好な結果を得ることができる。
しかし、プロセス圧力が13300Paよりも大きくなると、反応形態が吸着反応から気相反応へ移行してこの気相反応が主流となり、この結果、膜厚の面間及び面内均一性が低下するのみならず、気相反応に起因するパーティクルが急激に増大するので好ましくない。
次は、本発明の第2実施形態について説明する。この第2実施形態では図3(D)に示すように、2回置きの窒化ガス供給工程の時にRFをオフしてプラズマを立てないようにしている。すなわち、連続する2回の窒化ガス供給工程の時にプラズマを立て、次の窒化ガス供給工程の時にプラズマを立てないようにする、という一連の動作を繰り返し行っており、3回の窒化ガス供給工程で1回の割合でプラズマを立てないようにしている。
この場合には、窒化ガスの供給工程において、RFをオンする場合とRFをオフする場合が”2:1”の関係になっている。このような第2実施形態では、図4(B)に示すように薄膜が形成される。すなわち、ウエハWの表面には2層のプラズマSiN膜100Aが積層された上に1層の熱SiN膜100Bが積層される、という3層構造が繰り返し形成されて行くことになる。
次は、本発明の第3実施形態について説明する。この第3実施形態では図3(E)に示すように、3回置きの窒化ガス供給工程の時にRFをオフしてプラズマを立てないようにしている。すなわち、連続する3回の窒化ガス供給工程の時にプラズマを立て、次の窒化ガス供給工程の時にプラズマを立てないようにする、という一連の動作を繰り返し行っており、4回の窒化ガス供給工程で1回の割合でプラズマを立てないようにしている。
この場合には、窒化ガスの供給工程において、RFをオンする場合とRFをオフする場合が”3:1”の関係になっている。このような第3実施形態では、図4(C)に示すように薄膜が形成される。すなわち、ウエハWの表面には3層のプラズマSiN膜100Aが積層された上に1層の熱SiN膜100Bが積層される、という4層構造が繰り返し形成されて行くことになる。
尚、上記第1〜第3実施形態では、RFをオンする場合とRFをオフする場合について”1:1”〜”3:1”の比率について説明したが、これらは単に一例を示したに過ぎず、窒化ガス供給工程の繰り返し回数”N”(Nは正の整数)に応じてあらゆる比率、例えば”1:N−1”〜”N−1:1”を採用することができる。
更には、上記第1〜第3実施形態では、RFをオンする場合とRFをオフする場合とを、共に均等に分散させて混在させるようにしたが、これに限定されず、両者を偏在させるようにしてもよい。例えば図3(F)に示す本発明の第4実施形態のように、全ての窒化ガス供給工程の内、前半の半分はRFをオンし、後半の半分はRFをオフするようにしてもよい。この場合は、図4(D)に示すように前半の10層でプラズマSiN膜100Aが積層され、後半の10層で熱SIN膜100Bが形成されることになる。この第4実施形態では、RFをオンする場合とRFをオフする場合が”1:1”の関係になっており、この比率は先の図3(C)及び図4(A)に示す第1実施形態と同じである。このようにRFをオンする場合とRFをオフする場合とを偏在させて配列することは第2、第3実施形態等の全ての散在配列形態においても適用することができる。
<膜質特性の評価>
ここで、実際に上記第1〜第3実施形態を選択的に行って薄膜(SiN膜)を形成した時の膜質特性等について検討したので、その評価結果について説明する。
図5はRF(高周波)のオン、オフの実施態様と1サイクルの成膜レートとの関係を示すグラフ、図6はRFのオン、オフの実施態様と反射率との関係を示すグラフ、図7はRFのオン、オフの実施態様とストレスとの関係を示すグラフである。尚、図中、”TOP”はウエハボートの上段に位置するウエハを示し、”CTR”はウエハボートの中段に位置するウエハを示し、”BTM”はウエハボートの下段に位置するウエハを示す。
また、ここでは参考のために、全ての窒化ガス供給工程においてプラズマを立てなかった場合(RF:ON=0%)と、全ての窒化ガス供給工程においてプラズマを立てた場合(RF:ON=100%)のそれぞれの比較例を行った。
まず、図5に示すように成膜レートに関しては、全ての窒化ガス供給工程においてRFをオフにした場合(ON=0%)には、最も成膜レートが低くて0.89Å程度であり、そしてRFのOFF/ONの比率においてONの割合を増加して行くに従って、成膜レートは、1.11Å程度、1.17Å程度、1.19Å程度となって順に増加しており、更にONの比率が100%になると、成膜レートは1.26Å程度に達している。すなわち、RFのONの比率が高くなる程、プラズマによる窒化を促進させて成膜レートを大きくできることが理解できる。
次に、図6に示すように反射率に関しては、全ての窒化ガス供給工程においてRFをオフした場合(ON=0%)には、最も反射率が高くて2.05程度であり、RFのOFF/ONの比率においてONの割合を増加して行くに従って、反射率は2.00程度、1.99程度、1.98程度となって精度良く、且つ僅かずつ確実に変化している。そして、ONの比率が100%になると、反射率は、1.97程度になっている。このように、窒化ガス供給工程においてRFのオフ・オンの比率を変えることにより、成膜されたシリコン窒化膜の反射率を非常に高い精度でもって調整、或いは制御できることを理解することができる。
この薄膜の屈折率は、シリコン窒化膜中のSi成分とN成分との組成比に依存して変化することは知られているので、上述のように屈折率を精度良く制御することにより、シリコン窒化膜中のSi成分とN成分との比率も精度良く制御できることが理解できる。
ここで屈折率の変化は非常に僅かではあるが、この僅かの差が前述したように膜質特性に大きく影響を与えるので、実際のシリコン窒化膜の成膜処理において、この屈折率を精度良くコントロールすることが求められている。
次に、図7に示すようにストレスに関しては、全ての窒化ガス供給工程においてRFをオフした場合(ON=0%)には、最もストレスが低くて0.27〜0.31GPa程度であり、RFのOFF/ONの比率においてONの割合を増加して行くに従って、ストレスは0.33〜0.34GPa程度、0.35〜0.40GPa程度、0.36〜0.41GPa程度となって精度良く、且つ僅かずつ確実に変化している。そして、ONの比率が100%になると、ストレスは、0.62〜0.64GPa程度になっている。このように、窒化ガス供給工程においてRFのオフ・オンの比率を変えることにより、成膜されたシリコン窒化膜のストレスを非常に高い精度でもって調整、或いは制御できることを理解することができる。このストレスは、前述したように電子や正孔の移動度に大きな影響を与える。
尚、上述のようなRFのオフ/オンの比率は例えば制御手段60より任意に選択できるようになっており、自動、或いはオペレータによる手動で選択できるようになっているのは勿論である。
このように、複数枚の被処理体である半導体ウエハWが収容されて真空引き可能になされた処理容器4内に、シラン系ガスと窒化ガスとを供給して被処理体の表面にシリコン窒化膜よりなる薄膜を形成するに際して、シラン系ガスを供給するシラン系ガス供給工程と窒化ガスを供給する窒化ガス供給工程とを交互に繰り返し行うと共に、繰り返される複数の窒化ガス供給工程にはプラズマを立てる窒化ガス供給工程とプラズマを立てない窒化ガス供給工程とを含ませるようにしたので、薄膜の膜質特性を精度良く制御することができる。
尚、ここではシリコン窒化膜としてSiN膜を成膜する場合を例にとって説明したが、これに限定されず、不純物ドープ用のガス、例えばボロン含有ガスや炭化水素ガス等を供給して、B及び/又はC等の不純物がドープされたシリコン窒化膜を形成するような場合にも、本発明を適用することができる。
また上記各実施例では、シラン系ガスとしてDCSガスを用いたが、これに限定されず、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)、トリメチルシラン(TMS)、ジメチルシラン(DMS)、モノメチルアミン(MMA)、トリジメチルアミノシラン(3DMAS)よりなる群より選択される1以上のガスを用いることができる。
また、上記各実施例では、窒化ガスとしてNH ガスを用いたが、これに限定されず、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスを用いることができる。
また被処理体としては、半導体ウエハに限定されず、ガラス基板やLCD基板等にも本発明を適用することができる。
本発明の係る成膜装置の一例を示す縦断面構成図である。 成膜装置(加熱手段は省略)を示す横断面構成図である。 本発明の成膜方法の各実施形態における各種ガスの供給のタイミングとRF(高周波)の印加タイミングを示すタイミングチャートである。 図3に示す第1〜第4実施形態によって形成される薄膜の断面を示す模式図である。 RF(高周波)のオン、オフの実施態様と1サイクルの成膜レートとの関係を示すグラフである。 RFのオン、オフの実施態様と反射率との関係を示すグラフである。 RFのオン、オフの実施態様とストレスとの関係を示すグラフである。
符号の説明
2 成膜装置
4 処理容器
12 ウエハボート(保持手段)
18 蓋部
28 窒化ガス供給手段
30 シラン系ガス供給手段
36 パージガス供給手段
38,40 ガス分散ノズル
60 制御手段
62 記憶媒体
66 活性化手段
74 プラズマ電極
76 高周波電源
86 加熱手段
100A プラズマSiN膜
100B 熱SiN膜
W 半導体ウエハ(被処理体)

Claims (14)

  1. 複数枚の被処理体が収容されて真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとを供給して前記被処理体の表面にシリコン窒化膜よりなる薄膜を形成する成膜処理を行うようにした成膜方法において、
    前記シラン系ガスを供給するシラン系ガス供給工程と前記窒化ガスを供給する窒化ガス供給工程とを交互に繰り返し行うと共に、前記繰り返される複数の窒化ガス供給工程にはプラズマを立てる窒化ガス供給工程とプラズマを立てない窒化ガス供給工程とを含ませることにより前記薄膜の膜質を制御するようにしたことを特徴とする成膜方法。
  2. 前記膜質は、屈折率、組成比及びストレスの内のいずれか1つであることを特徴とする請求項1記載の成膜方法。
  3. 前記プラズマを立てる窒化ガス供給工程と前記プラズマを立てない窒化ガス供給工程とが混在されており、その比率が調整可能になされていることを特徴とする請求項1又は2記載の成膜方法。
  4. 前記プラズマを立てる窒化ガス供給工程と前記プラズマを立てない窒化ガス供給工程とが偏在されており、その比率が調整可能になされていることを特徴とする請求項1又は2記載の成膜方法。
  5. 前記シラン系ガス供給工程と前記窒化ガス供給工程との間には間欠期間が設けられており、該間欠期間には、前記処理容器内は不活性ガスパージされていること及び/又は全てのガスの供給が停止されて真空引きされていることを特徴とする請求項1乃至4のいずれか一項に記載の成膜方法。
  6. 前記プラズマを立てる窒化ガス供給では、前記窒化ガスは前記処理容器内で高周波電力によって発生したプラズマによって活性化されることを特徴とする請求項1乃至5のいずれか一項に記載の成膜方法。
  7. 前記窒化ガスの供給開始から所定の時間が経過した後に、前記高周波電力が印加されることを特徴とする請求項6記載の成膜方法。
  8. 前記薄膜の成膜時の温度は、25℃〜700℃の範囲内であることを特徴とする請求項1乃至7のいずれか一項に記載の成膜方法。
  9. 前記薄膜の成膜時の圧力は、13Pa(0.1Torr)〜13300Pa(100Torr)の範囲内であることを特徴とする請求項1乃至8のいずれか一項に記載の成膜方法。
  10. 前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)、トリメチルシラン(TMS)、ジメチルシラン(DMS)、モノメチルアミン(MMA)、トリジメチルアミノシラン(3DMAS)よりなる群より選択される1以上のガスであることを特徴とする請求項1乃至9のいずれか一項に記載の成膜方法。
  11. 前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスであることを特徴とする請求項1乃至10のいずれか一項に記載の成膜方法。
  12. 前記シリコン窒化膜には、不純物がドープされていることを特徴とする請求項1乃至11のいずれか一項に記載の成膜方法。
  13. 被処理体に対して所定の薄膜を形成するための成膜装置において、
    真空引き可能になされた縦型の筒体状の処理容器と、
    前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、
    前記処理容器の外周に設けられる加熱手段と、
    前記処理容器内へシラン系ガスを供給するシラン系ガス供給手段と、
    前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、
    前記窒化ガスを活性化する活性化手段と、
    請求項1乃至12のいずれか一項に記載した成膜方法を実行するように制御する制御手段と、
    を備えたことを特徴とする成膜装置。
  14. 複数枚の被処理体が収容されて真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとを供給して前記被処理体の表面にSiN薄膜を形成するようにした成膜装置を用いて薄膜を形成するに際して、
    請求項1乃至12のいずれか一項に記載の成膜方法を実行するように前記成膜装置を制御するコンピュータ読み取り可能なプログラムを記憶することを特徴とする記憶媒体。
JP2008009926A 2008-01-19 2008-01-19 成膜方法及び成膜装置 Expired - Fee Related JP4935687B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008009926A JP4935687B2 (ja) 2008-01-19 2008-01-19 成膜方法及び成膜装置
TW098100871A TWI421941B (zh) 2008-01-19 2009-01-10 半導體製程用之膜形成方法與設備
US12/320,018 US8080290B2 (en) 2008-01-19 2009-01-14 Film formation method and apparatus for semiconductor process
KR1020090003552A KR101105130B1 (ko) 2008-01-19 2009-01-16 반도체 처리용 성막 방법 및 장치
CN2009100055111A CN101488452B (zh) 2008-01-19 2009-01-19 半导体处理用的成膜方法和装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008009926A JP4935687B2 (ja) 2008-01-19 2008-01-19 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
JP2009170823A true JP2009170823A (ja) 2009-07-30
JP4935687B2 JP4935687B2 (ja) 2012-05-23

Family

ID=40891267

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008009926A Expired - Fee Related JP4935687B2 (ja) 2008-01-19 2008-01-19 成膜方法及び成膜装置

Country Status (5)

Country Link
US (1) US8080290B2 (ja)
JP (1) JP4935687B2 (ja)
KR (1) KR101105130B1 (ja)
CN (1) CN101488452B (ja)
TW (1) TWI421941B (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013157491A (ja) * 2012-01-31 2013-08-15 Tokyo Electron Ltd 成膜装置
KR20150075066A (ko) * 2011-09-30 2015-07-02 도쿄엘렉트론가부시키가이샤 박막의 형성 방법 및 성막 장치
US20170103885A1 (en) 2014-06-25 2017-04-13 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
JP2017174983A (ja) * 2016-03-24 2017-09-28 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
JP2017533589A (ja) * 2014-10-24 2017-11-09 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2018050038A (ja) * 2016-09-07 2018-03-29 ラム リサーチ コーポレーションLam Research Corporation プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
JP2018174327A (ja) * 2012-01-20 2018-11-08 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 無塩素の共形SiN膜を蒸着させるための方法
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US8592325B2 (en) * 2010-01-11 2013-11-26 International Business Machines Corporation Insulating layers on different semiconductor materials
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5723678B2 (ja) * 2011-05-31 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置及びそのガス供給方法
JP6047039B2 (ja) * 2012-04-20 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101924862B1 (ko) * 2012-08-31 2018-12-05 에스케이하이닉스 주식회사 반도체 소자 및 제조 방법
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150179316A1 (en) * 2013-12-23 2015-06-25 Intermolecular Inc. Methods of forming nitrides at low substrate temperatures
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
KR102155281B1 (ko) * 2017-07-28 2020-09-11 주성엔지니어링(주) 기판처리장치의 가스분사장치, 기판처리장치, 및 기판처리방법
JP6988629B2 (ja) * 2018-03-26 2022-01-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
CN110581050A (zh) * 2018-06-07 2019-12-17 东京毅力科创株式会社 处理方法和等离子体处理装置
CN111958078B (zh) * 2020-09-27 2021-08-27 淄博晨启电子有限公司 一种高可靠性高浪涌冲击能力半导体防护器件的焊接工艺
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006049809A (ja) * 2004-06-28 2006-02-16 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2007138295A (ja) * 2005-11-18 2007-06-07 Tokyo Electron Ltd シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP3529989B2 (ja) * 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
JP3501284B2 (ja) * 2001-03-30 2004-03-02 富士通カンタムデバイス株式会社 半導体装置の製造方法
US6638879B2 (en) * 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
JP2003347674A (ja) * 2002-05-30 2003-12-05 Mitsubishi Electric Corp 半導体レーザ装置及びその製造方法
KR100496265B1 (ko) * 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
JP4293591B2 (ja) * 2003-01-23 2009-07-08 川崎マイクロエレクトロニクス株式会社 表示装置の製造方法
US7094708B2 (en) * 2003-01-24 2006-08-22 Tokyo Electron Limited Method of CVD for forming silicon nitride film on substrate
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US20050170104A1 (en) * 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7519885B2 (en) * 2006-03-31 2009-04-14 Tokyo Electron Limited Monitoring a monolayer deposition (MLD) system using a built-in self test (BIST) table

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006049809A (ja) * 2004-06-28 2006-02-16 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2007138295A (ja) * 2005-11-18 2007-06-07 Tokyo Electron Ltd シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
KR20150075066A (ko) * 2011-09-30 2015-07-02 도쿄엘렉트론가부시키가이샤 박막의 형성 방법 및 성막 장치
KR101536226B1 (ko) * 2011-09-30 2015-07-13 도쿄엘렉트론가부시키가이샤 박막의 형성 방법 및 성막 장치
US9145604B2 (en) 2011-09-30 2015-09-29 Tokyo Electron Limited Thin film forming method and film forming apparatus
KR101630748B1 (ko) * 2011-09-30 2016-06-15 도쿄엘렉트론가부시키가이샤 박막의 형성 방법 및 성막 장치
US9777366B2 (en) 2011-09-30 2017-10-03 Tokyo Electron Limited Thin film forming method
JP2018174327A (ja) * 2012-01-20 2018-11-08 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 無塩素の共形SiN膜を蒸着させるための方法
JP2013157491A (ja) * 2012-01-31 2013-08-15 Tokyo Electron Ltd 成膜装置
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10229829B2 (en) 2014-06-25 2019-03-12 Kokusai Electric Corporation Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
KR101920702B1 (ko) * 2014-06-25 2018-11-21 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US10163625B2 (en) 2014-06-25 2018-12-25 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
US10497561B2 (en) 2014-06-25 2019-12-03 Kokusai Electric Corporation Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
JPWO2015199111A1 (ja) * 2014-06-25 2017-04-20 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US20170103885A1 (en) 2014-06-25 2017-04-13 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
JP2017533589A (ja) * 2014-10-24 2017-11-09 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP2017174983A (ja) * 2016-03-24 2017-09-28 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
KR102115642B1 (ko) * 2016-03-24 2020-05-26 도쿄엘렉트론가부시키가이샤 제어 장치, 기판 처리 시스템, 기판 처리 방법 및 프로그램
KR20170113217A (ko) * 2016-03-24 2017-10-12 도쿄엘렉트론가부시키가이샤 제어 장치, 기판 처리 시스템, 기판 처리 방법 및 프로그램
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
JP2018050038A (ja) * 2016-09-07 2018-03-29 ラム リサーチ コーポレーションLam Research Corporation プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
JP7022537B2 (ja) 2016-09-07 2022-02-18 ラム リサーチ コーポレーション プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis

Also Published As

Publication number Publication date
KR20090080019A (ko) 2009-07-23
CN101488452A (zh) 2009-07-22
CN101488452B (zh) 2012-02-08
US8080290B2 (en) 2011-12-20
JP4935687B2 (ja) 2012-05-23
TW201001548A (en) 2010-01-01
KR101105130B1 (ko) 2012-01-16
US20090191722A1 (en) 2009-07-30
TWI421941B (zh) 2014-01-01

Similar Documents

Publication Publication Date Title
JP4935687B2 (ja) 成膜方法及び成膜装置
JP5151260B2 (ja) 成膜方法及び成膜装置
JP4935684B2 (ja) 成膜方法及び成膜装置
JP5233562B2 (ja) 成膜方法及び成膜装置
JP4258518B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5920242B2 (ja) 成膜方法及び成膜装置
JP4179311B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5699980B2 (ja) 成膜方法及び成膜装置
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5287964B2 (ja) 成膜方法及び成膜装置
JP4434149B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4396547B2 (ja) 成膜方法、成膜装置及び記憶媒体
TWI612561B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
JP5887962B2 (ja) 成膜装置
JP2009260151A (ja) 金属ドープ層の形成方法、成膜装置及び記憶媒体
JPWO2004066377A1 (ja) 被処理基板上にシリコン窒化膜を形成するcvd方法
US10774421B2 (en) Semiconductor device manufacturing method, substrate processing apparatus and recording medium
JP2006066884A (ja) 成膜方法、成膜装置及び記憶媒体
JP5082595B2 (ja) 成膜装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100823

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111027

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120124

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120206

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150302

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees