TWI421941B - 半導體製程用之膜形成方法與設備 - Google Patents

半導體製程用之膜形成方法與設備 Download PDF

Info

Publication number
TWI421941B
TWI421941B TW098100871A TW98100871A TWI421941B TW I421941 B TWI421941 B TW I421941B TW 098100871 A TW098100871 A TW 098100871A TW 98100871 A TW98100871 A TW 98100871A TW I421941 B TWI421941 B TW I421941B
Authority
TW
Taiwan
Prior art keywords
gas
film
cycle
plasma
supply
Prior art date
Application number
TW098100871A
Other languages
English (en)
Other versions
TW201001548A (en
Inventor
Kazuhide Hasebe
Nobutake Nodera
Masanobu Matsunaga
Jun Satoh
Pao Hwa Chou
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201001548A publication Critical patent/TW201001548A/zh
Application granted granted Critical
Publication of TWI421941B publication Critical patent/TWI421941B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

半導體製程用之膜形成方法與設備
本發明係有關於半導體製程用之膜形成方法及設備,其用於在如半導體晶圓之目標基板上形成氮化矽膜。於此使用之名詞『半導體製程』包含不同種類之製程,其用以藉由在目標基板上以預定圖案形成半導體層、絕緣層及導電層,而在目標基板(如半導體晶圓或用於平板顯示器(Flat Panel Display,FPD,例如LCD(液晶顯示器))之玻璃基板)上製造半導體裝置、或具有待連接至半導體裝置之配線層、電極、及其類似物等的構造。
在製造半導體裝置以構成半導體積體電路時,使如半導體基板之目標基板經歷各種製程,如膜形成、蝕刻、氧化、擴散、重整、退火、及原生氧化物膜移除。美國專利第2006/0286817A1號揭露了在直立式熱處理設備(所謂的批式設備)中執行的此類型之半導體處理方法。依據本方法,首先將半導體晶圓由晶圓匣搬移至直立式晶舟上,且以間隔方式在垂直方向上受支撐於其上。晶圓匣可儲存如25片晶圓,而晶舟可支承30至150片晶圓。接著,將晶舟由下方裝載進入處理容器中,且使處理容器氣密地關閉。然後,當如處理氣體流速、處理壓力、及處理溫度等製程條件受控制時,執行預定之熱製程。
為了改善半導體積體電路之效能,改善使用於半導體裝置中之絕緣膜的性質是重要的。半導體裝置包含由如二氧化矽(SiO2 )、磷矽酸鹽玻璃(Phospho Silicate Glass,PSG)、P-SiO(由電漿CVD形成)、P-SiN(由電漿CVD形成)、旋轉塗佈玻璃(Spin On Glass,SOG)、以及氮化矽(Si3 N4 )等材料所製成之絕緣膜。尤其,因為當與氧化矽膜比較時,氮化矽膜具有較佳之絕緣性質,且可充分地作為蝕刻阻擋膜或層間絕緣膜,所以被廣泛地使用。更進一步而言,由於相同的原因,有時使用摻雜硼之氮化碳膜。
已知數種以熱化學氣相沉積法(Chemical Vapor Deposition,CVD)在半導體晶圓之表面上形成氮化矽膜的方法。在該熱CVD法中,使用矽烷族氣體,如單矽烷(monosilane,SiH4 )、二氯矽烷(dichlorosilane,DCS,SiH2 Cl2 )、六氯二矽烷(hexachlorodisilane,HCD,Si2 Cl6 )、雙特丁基胺基矽烷(bistertialbutylaminosilane,BTBAS,SiH2 (NH(C4 H9 ))2 )、或(t-C4 H9 NH)2 SiH2 ,作為矽源氣體。舉例而言,以使用SiH2 Cl2 +NH3 (見美國專利第5874368A號)或Si2 Cl6 +NH3 之氣體組合的熱CVD法形成氮化矽膜。更進一步而言,亦提出用於對氮化矽膜摻雜如硼之不純物以減少介電常數的方法。
近年來,由於漸增之半導體積體電路的小型化及整合之需求,需要減緩半導體裝置在製造步驟中之熱歷程,從而改善裝置的特性。對於直立式製程設備而言,亦需要依據上述之需求而改善半導體處理方法。舉例而言,有一用於膜形成製程之CVD法,其施行膜形成、同時間歇性地供給來源氣體等等,以逐一或數個接數個地重複形成各具有原子或分子等級之厚度的疊層(例如日本公開專利公報第2-93071及6-45256號,以及美國專利第6165916A號)。一般而言,將此膜形成製程稱為原子層沉積(Atomic Layer Deposition,ALD)或分子層沉積(Molecular Layer Depostion,MLD),該膜形成製程容許一預定製程可在不將晶圓曝露於極高溫度的情形下施行。
例如,在分別供應DCS及NH3 以作為矽烷族氣體及氮化氣體以形成氮化矽膜(SiN)的情況下,如以下一般執行製程。具體而言,伴隨插入其間之沖洗期,將DCS及NH3 交替且間歇性地供給進入處理容器內。當供給NH3 氣體時,施加射頻(radio frequency,RF)以在處理容器內產生電漿而促進氮化反應。更具體而言,當供給DCS至處理容器內時,具有一或更多DCS分子厚度之疊層被吸附至晶圓之表面上。多餘的DCS在沖洗期中被去除。然後,供給NH3 並產生電漿,從而執行低溫氮化以形成氮化矽膜。重複這些連續的步驟以完成具有預定厚度之膜層。
本發明之目的為提供半導體製程用之膜形成方法及設備,該方法及設備可以高準確性控制氮化矽膜之品質因子。
依據本發明之第一態樣,提供有半導體製程用之膜形成方法,用於執行膜形成製程,以在處理容器中之處理場內的目標基板上形成氮化矽膜,該處理場係用以選擇性供給含有矽烷族氣體之第一處理氣體、及含有氮化氣體之第二處理氣體,且與用於激發待供給之第二處理氣體的激發機構相通,安排膜形成製程,以在具有目標基板置於其中之處理場內重複電漿循環及非電漿循環複數次,以層疊由各次在目標基板上所形成之薄膜,藉此形成具有預定厚度之氮化矽膜,
其中電漿循環及非電漿循環中之每一者包含:第一供給步驟,執行供給第一處理氣體至處理場,同時將第二處理氣體至處理場之供給維持於關閉狀態;以及第二供給步驟,執行供給第二處理氣體至處理場,同時將第一處理氣體至處理場之供給維持於關閉狀態,在電漿循環中,第二供給步驟包含供給第二處理氣體至處理場、同時藉由激發機構激發第二處理氣體之激發時期,且在非電漿循環中,第二供給步驟不包含藉由激發機構激發第二處理氣體之時期,且該方法包含:獲得代表電漿循環及非電漿循環之循環混合法,相對於氮化矽膜之膜品質因素的關係之關係式或關係表;以相關於關係式或關係表之膜品質因素的目標值為基礎,而決定循環混合法之具體方式;以及依據具體方式安排膜形成製程,且然後執行膜形成製程。依據本發明之第二態樣,提供有半導體製程用之膜形成設備,包含:處理容器,其具有被配置成容納目標基板之處理場;支承構件,其被配置成支承處理場內側之目標基板;加熱器,其被配置成加熱處理場內側之目標基板;排氣系統,其被配置成由處理場排放氣體;第一處理氣體供給電路,其被配置成供給含有矽烷族氣體之第一處理氣體至處理場;第二處理氣體供給電路,其被配置成供給含有氮化氣體之第二處理氣體至處理場;激發機構,其被配置成激發待供給之第二處理氣體;以及控制部,其被配置成控制設備之操作,其中預先設定控制部用於執行膜形成製程,以在處理場內之目標基板上形成氮化矽膜,安排膜形成製程,以在具有目標基板置於其中之處理場內重複電漿循環及非電漿循環複數次,以層疊由各次在目標基板上所形成之薄膜,藉此形成具有預定厚度之氮化矽膜,其中電漿循環及非電漿循環中之每一者包含:第一供給步驟,執行供給第一處理氣體至處理場,同時將第二處理氣體至處理場之供給維持於關閉狀態;以及第二供給步驟,執行供給第二處理氣體至處理場,同時將第一處理氣體至處理場之供給維持於關閉狀態,在電漿循環中,第二供給步驟包含供給第二處理氣體至處理場、同時藉由激發機構激發第二處理氣體之激發時期,且在非電漿循環中,第二供給步驟不包含藉由激發機構激發第二處理氣體之時期,且預先設定該控制部,以存取儲存部以擷取儲存於其中之關於關係式或關係表的資料,該關係式或關係表係代表電漿循環及非電漿循環之循環混合法,相對於氮化矽膜之膜品質因素的關係;以相關於關係式或關係表之膜品質因素的目標值為基礎,而決定循環混合法之具體方式;以及
依據具體方式安排膜形成製程,且然後執行膜形成製程。
依據本發明之第三態樣,提供有包含在處理器上實施之程式指令的電腦可讀式媒體,用於半導體製程用之膜形成設備,該設備包含處理容器內側之處理場,該處理場係用以選擇性地供給含有矽烷族氣體之第一處理氣體、及含有氮化氣體之第二處理氣體,且與用於激發待供給之第二處理氣體的激發機構相通,其中程式指令在由處理器實施時,使膜形成設備執行膜形成製程以在該處理場內之目標基板上形成氮化矽膜,安排膜形成製程,以在具有目標基板置於其中之處理場內重複電漿循環及非電漿循環複數次,以層疊由各次在目標基板上所形成之薄膜,藉此形成具有預定厚度之氮化矽膜,其中電漿循環及非電漿循環中之每一者包含:第一供給步驟,執行供給第一處理氣體至處理場,同時將第二處理氣體至處理場之供給維持於關閉狀態;以及
第二供給步驟,執行供給第二處理氣體至處理場,同時將第一處理氣體至處理場之供給維持於關閉狀態,在電漿循環中,第二供給步驟包含供給第二處理氣體至處理場、同時以激發機構激發第二處理氣體之激發時期,且
在非電漿循環中,第二供給步驟不包含以激發機構激發第二處理氣體之時期,且
該程式指令更造成該膜形成設備之一控制部
存取一儲存部以擷取儲存於其中之關於一關係式或關係表的資料,該關係式或關係表係代表該電漿循環及該非電漿循環之一循環混合法,相對於該氮化矽膜之一膜品質因素的關係;以相關於該關係式或關係表之該膜品質因素的一目標值為基礎,而決定該循環混合法之一具體方式;以及
依據該具體方式安排該膜形成製程,且然後執行該膜形成製程。
本發明附加之目的及優勢將於以下之說明中陳述,且一部分將由說明而變得明顯,或可由本發明之實施而知悉。本發明之目的及優勢將藉由使用手段及組合於以下個別地指出。
在發展本發明之過程中,有關用於形成氮化矽膜的方法,發明人研究了用於半導體製程之習知技術的問題。因此,發明人已達成以下所提出之結果。
具體而言,如之前所述,有一習知技術利用所謂的ALD或MLD膜形成法,且在以NH3 氣體作為氮化氣體時,使用射頻(radio frequency,RF)而產生電漿,從而提升氮化反應。當與不使用電漿而執行之製程比較時,此製程可改善膜形成速率(膜形成速率)。更進一步而言,此製程可藉由增加所沉積之氮化矽膜的應力,而改善電子或電洞之遷移率,且因此大幅度改善所沉積之氮化矽膜的品質。更進一步而言,已知此類型之氮化矽膜可有效使用於記憶單元之電荷捕捉層(日本公開專利公報第2006-156626號)。
近年來,由於漸增之半導體積體電路的小型化及整合之需求,需要使氮化矽膜在受到準確地控制的狀態中具有更高品質。在習知情形中,氮化矽膜的品質係使用溫度、壓力、氣體流速等等作為參數而控制。在此方面,本發明人已發現在執行ALD或MLD膜形成法的情況下,可使用電漿循環及非電漿循環之循環混合法,而確實控制一些膜品質因素。
現將參考隨附圖式而說明基於以上提供之結果所完成的本發明之實施例。在以下的說明中,將具有大致相同之功能及配置的組成元件以相同的參考數字表示,且將僅於必要時重複說明。
圖1為顯示依據本發明實施例的膜形成設備(直立式CVD設備)之剖面圖;圖2為顯示圖1中所示之設備的局部之剖面俯視圖。膜形成設備2具有處理場,其係用以選擇性供給含有二氯矽烷(dichlorosilane,DCS)作為矽烷族氣體之第一處理氣體、及含有氨(ammonia,NH3 )氣體作為氮化氣體之第二處理氣體。膜形成設備2係用於在處理場中之目標基板上形成氮化矽膜。
設備2包含具有頂蓋及開放底部之圓柱狀的處理容器4,其中將處理場5界定為容納並處理在垂直方向上以間隔方式堆疊之複數個半導體晶圓。處理容器4整體係由例如石英所製成。處理容器4之頂部設有石英頂板6以氣密地密封頂部。處理容器4之底部係經由密封構件10,如O型環,而被連接至圓柱形歧管8。處理容器4可在不具分開形成之歧管8的情況下,整體上由圓柱形石英柱形成。
歧管8係由例如不銹鋼所製成,且支承處理容器4之底部。經由歧管8之底部通道口而使由石英所製成之晶舟12上下移動,使得晶舟12進入/退出處理容器4。將一些目標基板或半導體晶圓W堆疊於晶舟12上。舉例而言,在此實施例中,晶舟12具有支柱12A,該支柱12A可支承例如大約50至100個具有300mm之直徑、以實質上規律的間隔堆疊於垂直方向上的晶圓。
將晶舟12經由石英製成之絕熱圓筒14置於平台16上。平台16係由穿透以例如不銹鋼者所製成之外蓋18的旋轉軸20所支承,且被用於開啟/閉合歧管8之底部通道口。
外蓋18上由旋轉軸20所穿透之部分設有例如磁性流體密封墊22,使得旋轉軸20以氣密密封狀態被支承且仍可旋轉。將密封構件24,如O型環,插入外蓋18之外圍與歧管8之底部之間,使得處理容器4之內側維持密封。
旋轉軸20係被附著於由升降機構,如晶舟升降機,所支承的臂部26之末端。升降機構25使晶舟12及外蓋18一同上下移動。可將平台16固定至外蓋18,使得晶圓W在不旋轉晶舟12的情況下被處理。
將氣體供給部連接至歧管8之側面,以供給預定之處理氣體至處理容器4內的處理場5。具體而言,氣體供給部包含第二處理氣體供給電路28、第一處理氣體供給電路30、以及沖洗氣體供給電路36。安排第一處理氣體供給電路30用於供給包含矽烷族氣體,如DCS(二氯矽烷)氣體,的第一處理氣體。安排第二處理氣體供給電路28用於供給包含如NH3 氣體之氮化氣體的第二處理氣體。安排沖洗氣體供給電路36用於供給如N2 氣體之惰性氣體作為沖洗氣體。依需求將第一及第二處理氣體中之每一者與適量之載送氣體混合。然而,為了說明之簡明,以下將不提及此載送氣體。
更具體而言,第二及第一處理氣體供給電路28及30分別包含氣體分配噴嘴38及40,其中之每一者係由石英管所形成,該石英管由外側穿透歧管8之側壁且然後扭轉並朝上延伸(見圖1)。氣體分配噴嘴38及40分別具有複數個氣體噴射孔38A及40A,在晶舟12上之所有晶圓W的縱向(垂直方向)將各組孔以預定之規律形成。氣體噴射孔38A及40A中之每一組近乎均勻地以水平方向遞送相對應之處理氣體,以形成與晶舟12上之晶圓W平行的氣體流。沖洗氣體供給電路36包含短氣體噴嘴46,該氣體噴嘴46由外側穿透歧管8之側壁。
將噴嘴38、40及46分別經由氣體供給管線(氣體通道)48、50及56,而連接至分別為NH3氣體、DCS氣體及N2氣體之氣體源28S、30S及36S。氣體供給管線48、50及56分別設有開關閥48A、50A及56A,以及例如質量流量控制器之流速控制器48B、50B及56B。藉由此配置,可使NH3 氣體、DCS氣體及N2 氣體以受控制之流速供給。
氣體激發部66係以垂直方向形成於處理容器4之側壁上。在相對於氣體激發部66的處理容器4之側邊上,藉由在例如垂直方向者切割處理容器4之側壁,而形成用於將內部環境真空排氣的狹長排氣通道口68。
具體而言,氣體激發部66具有垂直之狹長開口70,該開口70係藉由在垂直方向切割預定之處理容器4的側壁寬度而形成。開口70係由石英外蓋(電漿生成箱)72所覆蓋,該外蓋72以焊接方式而氣密地連接至處理容器4之外表面。外蓋72具備帶有凹形橫剖面的垂直之狹長形狀,使得其從處理容器4朝外凸出。
藉由此安排,形成氣體激發部66使得其從處理容器4之側壁朝外凸出,且在朝向處理容器4之內部的另一側開放。換言之,氣體激發部66之內部空間與處理容器4內之處理場5相通。開口70具有足以在垂直方向覆蓋晶舟12上之所有晶圓W的垂直長度。
將一對狹長之電極74設於外蓋72之相對的外表面上,且在延伸於長度方向(垂直方向)的同時互相面對。電極74係經由饋入線78而連接至電漿生成用之射頻電源76。將如13.56MHz之射頻電壓施加於電極74,以在電極74之間形成激發電漿用的射頻電場。射頻電壓之頻率不限於13.56MHz,且可將其設於另一頻率,如400kHz。
在低於晶舟12上之最低的晶圓W之位置,將第二處理氣體之氣體分配噴嘴38沿處理容器4之半徑方向朝外彎曲。然後,氣體分配噴嘴38在氣體激發部66中最深之位置(由處理容器4中心起最遠之位置)垂直地延伸。如圖2中亦顯示者,氣體分配噴嘴38由一對電極74之間所夾的區域,亦即主要電漿實際地產生之電漿生成區域PS,朝外分離。由氣體分配噴嘴38之氣體噴射孔38A,將包含NH3 氣體之第二處理氣體噴向電漿生成區域PS。然後,第二處理氣體在電漿生成區域PS中被選擇性地激發(分解或活化),且以此狀態被供給至晶舟12上之晶圓W上。
將以例如石英者製成之絕緣保護蓋80附著並覆蓋於外蓋72之外表面上。將冷卻機構(未顯示)設於絕緣保護蓋80中,且包含分別面對電極74之冷卻劑通道。對冷卻劑通道供給如冷卻之氮氣的冷卻劑,以使電極74冷卻。絕緣保護蓋80係以設於外表面之護罩(未顯示)所覆蓋,用於防止射頻洩漏。
在接近氣體激發部66之開口70且於其外側的位置,設置第一處理氣體之氣體分配噴嘴40。具體而言,氣體分配噴嘴40在開口70之外側(處理容器4內)的一側上朝上延伸。由氣體分配噴嘴40之氣體噴射孔40A,將含有DCS氣體之第一處理氣體噴向處理容器4之中心。
另一方面,形成於相對氣體激發部66的位置之排氣通道口68,係以排氣通道口外蓋構件82覆蓋。排氣通道口外蓋構件82係以具有U形橫剖面之石英製成,且以焊接方式使其附著。排氣通道口外蓋構件82沿處理容器4之側壁朝上延伸,且在處理容器4之頂部具有氣體出口84。氣體出口84係被連接至包含真空泵等等之真空排氣系統GE。
處理容器4係由用於加熱處理容器4內之大氣及晶圓W的加熱器86所環繞。將熱電耦(未顯示)設於靠近處理容器4內之排氣通道口68處,用於控制加熱器86。
膜形成設備2更包含由例如電腦所形成的主要控制部60,用於控制設備整體。依據預先儲存於該處之儲存部62中關於膜厚度及待形成之膜的成分之製程參數,主要控制部60可如以下所說明般控制膜形成製程。在儲存部62中,亦將處理氣體流速與膜之厚度及成分之間的關係預先儲存為控制資料。因此,以所儲存之製程參數及控制資料為基礎,主要控制部60可控制升降機構25、氣體供給電路28、30及36、排氣系統GE、氣體激發部66、加熱器86等等。儲存媒體之實例為磁碟(軟性磁碟、硬碟(其代表物為包含於儲存部62內之硬碟)等等)、光碟(CD、DVD等等)、磁光碟(MO等等)、以及半導體記憶體。
然後,將說明執行於圖1中所示之設備內的膜形成方法(所謂的ALD或MLD膜形成法)。在此膜形成方法中,氮化矽膜係藉由ALD或MLD而形成於半導體晶圓上。為了達到此目的,將含有DCS作為矽烷族氣體之第一處理氣體,以及含有NH3 氣體作為氮化氣體之第二處理氣體,選擇性地供給至容納晶圓W之處理場5中。具體而言,膜形成製程係伴隨以下操作而執行。
<膜形成製程>
首先,使支承如50至100之數量的具有300mm直徑之晶圓、位於室溫的晶舟12,進入加熱為預定溫度之處理容器4中,且將處理容器4氣密密封。然後,將處理容器4之內部真空排氣並維持在預定之處理壓力,且將晶圓溫度增加至用於膜形成的處理溫度。此時,設備為等待狀態直到溫度變得穩定。然後,當晶舟12被轉動的同時,將第一及第二處理氣體以受控制之流速間歇地由個別的氣體分配噴嘴40及38供給。
將含有DCS氣體之第一處理氣體由氣體分配噴嘴40之氣體噴射孔40A供給,用於形成平行於晶舟12上之晶圓W的氣體流。在被供給的同時,DCS氣體藉由對處理場5加熱溫度而激發,且DCS氣體之分子以及藉分解而產生之分解生成物的分子及原子被吸附到晶圓W上。
另一方面,將含有NH3 之第二處理氣體由氣體分配噴嘴38之氣體噴射孔38A供給,用於形成平行於晶舟12上之晶圓W的氣體流。當供給第二處理氣體時,如稍後所述,取決於主要及附加階段,而將氣體激發部66設為開啟狀態(ON-state)或關閉狀態(OFF-state)。
當將氣體激發部66設為開啟狀態時,第二處理氣體被激發,且當通過該對電極74之間之電漿生成區域PS時,部分轉變為電漿。此時舉例而言,生成如N*、NH*、NH2 *及NH3 *之自由基(符號『*』代表其為自由基)。另一方面,當將氣體激發部66設為關閉狀態時,第二處理氣體主要以氣體分子之形式通過氣體激發部66。自由基或氣體分子自氣體激發部66之開口70朝向處理容器4之中心流出,且以層流狀態被供給進入晶圓W之間的間隙。
源自於由電漿所激發之NH3 氣體的自由基、或NH3 氣體分子及由因加熱溫度對處理場5之活化所致之分解而生成的分解生成物之分子和原子,與被吸附於晶圓W之表面上的DCS氣體之分子等等起反應,使得薄膜形成於晶圓W上。或者,當DCS氣體流至源自NH3 氣體的自由基,或源自NH3 氣體且被吸附於晶圓W之表面上的分解生成物之分子及原子上時,導致相同的反應,因此在晶圓W上形成氮化矽膜。當將氣體激發部66設為開啟狀態時,膜形成係以增加之反應速率發展;另一方面,當將氣體激發部66設為關閉狀態時,膜形成係以減少之反應速率發展。
圖3A為顯示依據本發明實施例的膜形成方法之氣體供給及射頻施加之時序圖。圖3A顯示在執行於一批晶圓上之膜形成製程的完整期間之一部份中之情況。如圖3A所示,依據本實施例之膜形成方法混合地(如在此實例中逐一交替)重複電漿循環ECL及非電漿循環NCL複數次。電漿循環ECL為含有NH3 之第二處理氣體藉由氣體激發部66受到電漿激發的循環;非電漿循環NCL為第二處理氣體未受到來自氣體激發部66之電漿激發的循環。電漿及非電漿循環ECL及NCL中之每一者由第一至第四步驟T1至T4所形成。因此,包含第一至第四步驟T1至T4之循環被重複數次,且由個別循環形成之氮化矽薄膜被層疊,藉此達到具有目標厚度之氮化矽膜。
具體而言,在將第二處理氣體(圖3A中表示為NH3 )至處理場5之供給維持於關閉狀態之同時,安排第一步驟T1以執行供給第一處理氣體(圖3A中表示為DCS)至處理場5。安排第二步驟T2以維持第一及第二處理氣體對處理場5之供給的關閉狀態。在將第一處理氣體對處理場5之供給維持於關閉狀態之同時,安排第三步驟T3以執行第二處理氣體至處理場5之供給。安排第四步驟T4以將第一及第二處理氣體至處理場5之供給維持於關閉狀態。
使用第二及第四步驟T2及T4中每一者作為沖洗步驟,以去除處理容器4中之殘留氣體。『沖洗』一詞意指藉由在供給如N2 氣體之惰性氣體進入處理容器4時,使處理容器4之內部真空排氣,或藉由將所有氣體之供給維持於關閉狀態時,使處理容器4之內部真空排氣,而去除處理容器4中之殘留氣體。在此方面,可安排第二及第四步驟T2及T4,使得第一半部僅使用真空排氣且第二半部使用真空排氣及惰性氣體供給二者。更進一步而言,在供給第一及第二處理氣體中之每一者時,可安排第一及第三步驟T1及T3,以使處理容器4停止真空排氣。然而,在伴隨使處理容器4真空排氣而執行供給第一及第二處理氣體中之每一者的情況下,可使處理容器4之內部在第一至第四步驟T1至T4之整體期間持續地真空排氣。
在電漿循環ECL之第三步驟T3中,將射頻電源76設為開啟狀態,用於藉由氣體激發部66而使第二處理氣體轉換為電漿,以供給活化狀態之第二處理氣體至處理場5。在非電漿循環NCL之第三步驟T3中,將射頻電源76設為關閉狀態,以在供給第二處理氣體至處理場5時,不藉由氣體激發部66將第二處理氣體轉換為電漿。然而,由加熱器86對處理場5所設定之加熱溫度在電漿及非電漿循環ECL及NCL中保持相同,亦即,實質上並未依這些循環而將其改變。
在圖3A中,將第一步驟T1設定在約2至10秒的範圍內、將第二步驟T2設定在約5至15秒的範圍內、將第三步驟T3設定在約10至20秒的範圍內、以及將第四步驟T4設定在約5至15秒的範圍內。在電漿循環ECL中,由第一至第四步驟T1至T4之一循環所得到的膜厚度約為0.13nm。在非電漿循環NCL中,由第一至第四步驟T1至T4之一循環所得到的膜厚度約為0.09nm。因此舉例而言,在目標膜厚度為50nm的狀況下,循環被重複約455次。然而,這些次數及厚度之數值僅為範例,且於是不具限制性。為了易於瞭解本發明,圖3A僅顯示該455個循環中之20個循環。
可相關於NH3 氣體供給步驟中的射頻電源之開啟狀態,而變更電漿循環ECL之第三步驟T3。舉例而言,在變化例中,於第三步驟T3的中途將射頻電源76設為開啟狀態,用於在次步驟T3b期間供給活化狀態之第二處理氣體至處理場5。具體而言,在此情形中,在經過預定時間△t之後將射頻電源76開啟,以藉由氣體激發部66而將第二處理氣體轉換為電漿,以在次步驟T3b期間供給活化狀態之第二處理氣體至處理場5。預定時間△t被定義為使NH3 氣體之流速穩定所需要的時間,該△t被設為例如約5秒。由於在第二處理氣體之流速穩定後,將射頻電源開啟以產生電漿,因此改善了晶圓W之間之自由基的一致性(在垂直方向之一致性)。
圖4A為剖面圖,其顯示使用圖3A所示之時序圖所形成之氮化矽膜的層疊狀態。如圖4A所示,將使用電漿所形成之SiN膜(亦即,電漿SiN膜100A)及不使用電漿而由熱分解所形成之SiN膜(亦即,熱SiN膜100B)逐一交替地層疊於晶圓W之表面上。此係因將圖3A所示之時序圖安排成逐一交替地重複電漿循環ECL及非電漿循環NCL複數次(混合比=1:1)。
膜形成製程之製程條件如下。將DCS氣體之流速設定在50至2000sccm之範圍內,如1000sccm(1slm)。將NH3 氣體之流速設定在500至5000sccm之範圍內,如1000sccm。處理溫度係較一般CVD製程為低,且被設定在200至700℃之範圍內,較佳地為300至700℃之範圍內,且更佳地為450至630℃之範圍內。若處理溫度低於200℃,則因為幾乎未造成任何反應而實質上未使膜沉積。若處理溫度高於700℃,則沉積出低品質之CVD膜,且如金屬膜之現存膜遭到熱破壞。依據在電漿及非電漿循環ECL及NCL中電漿之存在與否,可將處理場5之溫度改變至一定程度。然而,由加熱器86對處理場5所設定之加熱溫度在電漿及非電漿循環ECL及NCL中維持實質上的相同。
將處理壓力設定在13Pa(0.1Torr)至13300Pa(100Torr)之範圍內,較佳地為40Pa(0.3Torr)至266Pa(2Torr)之範圍內,且更佳地為93Pa(0.7Torr)至107Pa(0.8Torr)之範圍內。舉例而言,在第一步驟期間(DCS供給步驟)將處理壓力設為1Torr,且在第三步驟期間(NH3 供給步驟)為0.3Torr。若處理壓力低於13Pa,則膜形成速率變得低於可實施的等級。在處理壓力未超過13300Pa處,晶圓W上之反應模式主要為吸附反應,且於是能以高膜形成速率穩定地沉積高品質薄膜,因此獲得良好的結果。然而,若處理壓力超過13300Pa,則反應模式由吸附反應變為之後在晶圓W上盛行之氣相反應。此為不欲發生之情形,因為薄膜的基板間一致性及平面一致性劣化,且氣相反應所致之微粒數突然增加。
<變化例>
圖3B、3C及3D為顯示依據變化例之膜形成方法的射頻施加之時序圖。在這些圖式中,射頻脈衝之位置對應至圖3A所示之NH3 脈衝的位置。圖4B、4C及4D為剖面圖,其顯示使用圖3B、3C及3D分別顯示之時序圖所形成之氮化矽膜的層疊狀態。
在圖3B所示之變化例中,將與NH3 脈衝同步之射頻脈衝於每三次中取消一次。換言之,對於第三步驟T3(NH3 供給步驟)而言,交替地重複二射頻開啟(RF-ON)狀態及一射頻關閉(RF-OFF)狀態的組合。因此,將由二電漿循環ECL及一非電漿循環NCL所形成之循環組交替地重複複數次(混合比=2:1)。在此情形中,如圖4B所示,將由使用電漿所形成之二SiN膜(亦即,電漿SiN膜100A)及不使用電漿而由熱分解所形成之一SiN膜(亦即,熱SiN膜100B)的組合交替地層疊於晶圓W之表面上。
在圖3C所示之變化例中,將與NH3 脈衝同步之射頻脈衝於每四次中取消一次。換言之,對於第三步驟T3(NH3 供給步驟)而言,交替地重複三射頻開啟狀態及一射頻關閉狀態的組合。因此,將由三電漿循環ECL及一非電漿循環NCL所形成之循環組交替地重複複數次(混合比=3:1)。在此情形中,如圖4C所示,將三電漿SiN膜100A及一熱SiN膜100B的組合交替地層疊於晶圓W之表面上。
將圖3A至3C所示之時序圖安排成以混合比1:1至1:3交替地重複電漿循環ECL及非電漿循環NCL。然而,這些僅為範例,且混合比可依據膜品質因素之目標值而作不同的改變。換言之,依據第三步驟(NH3 供給步驟)T3之重複數目N(N為2或更大之正整數),可將混合比設成在1:(N-1)至(N-1):1之範圍內的任何比率。
在圖3C所示之變化例中,連續十次施加與NH3 脈衝同步之射頻脈衝且然後連續十次將其取消。換言之,對於第三步驟T3(NH3 供給步驟)而言,將一組十次射頻開啟狀態及一組十次射頻關閉狀態交替地重複。因此,將由十個電漿循環ECL所形成之循環組,以及由十個非電漿循環NCL所形成之循環組交替地重複複數次(混合比=1:1)。在此情形中,如圖4D所示,將一組十個電漿SiN膜100A及一組十個熱SiN膜100B交替地層疊於晶圓W之表面上。
如同在本變化例中,可將循環混合法安排成交替地執行由一個或更多電漿循環所形成之第一循環組,以及由一個或更多非電漿循環所形成之第二循環組。
<實驗>
如依據上述之實施例的本實例PE1、PE2及PE3,以及比較性實例CE1及CE2,分別使用不同的電漿及非電漿循環之混合法,利用膜形成方法在圖1所示之設備中形成氮化矽膜,且然後測試依此形成之膜。在本實例PE1、PE2及PE3中,分別依據圖3A、3B及3C之時序圖,而設定對於各循環之第三步驟(NH3 供給步驟)T3的電漿激發。在比較性實例CE1中,對於所有循環之第三步驟(NH3 供給步驟)T3不使用電漿激發(射頻開啟=0%)。在比較性實例CE2中,對於所有循環之第三步驟(NH3 供給步驟)T3使用電漿激發(射頻開啟=100%)。在此實驗中,使用上述之處理條件作為膜形成製程之參考,同時將膜形成溫度設為630℃且將目標膜厚度設為大約50nm。利用置於晶舟12之TOP(頂部)、CTR(中央)及BTM(底部)的晶圓來量測晶圓。
圖5為顯示在一循環中氮化矽膜之膜形成速率相對於電漿及非電漿循環之混合法的關係之圖表。比較性實例CE1(開啟=0%)導致數值約為0.089nm之最低膜形成速率。本實例PE1、PE2及PE3藉由增加電漿循環之比率造成較高之膜形成速率,使得本實例PE1、PE2及PE3分別表現出0.111nm、0.117nm及0.119nm之數值。比較性實例CE2(開啟=100%)導致數值約為0.126nm之最高膜形成速率。因此,已發現:藉由調整電漿循環相對於非電漿循環之混合比,可控制氮化矽膜關於電漿促進氮化之膜形成速率。
圖6顯示氮化矽膜之折射率相對於電漿及非電漿循環之混合法的關係之圖表。比較性實例CE1(開啟=0%)導致數值約為2.05之最高折射率。本實例PE1、PE2及PE3藉由增加電漿循環之比率,造成些微較低但確實地具有高準確度之折射率,使得本實例PE1、PE2及PE3分別表現出2.00、1.99及1.98之數值。比較性實例CE2(開啟=100%)導致數值約為1.97之最低折射率。因此,已發現:藉由調整電漿循環相對於非電漿循環之混合比,可以極高之準確度控制氮化矽膜之折射率。
氮化矽膜之折射率取決於氮化矽膜中的N原子相對於Si原子之比率,該比率為膜之成份比率。當N原子相對於Si原子之比率較高時,則折射率較低,且所以藉由調整電漿循環之比率成為較高值,可控制N原子相對於Si原子之比率成為較高值。即使在折射率中的改變非常小,此小改變仍大幅影響成份比率。因此,較佳地在膜形成製程中以高準確度控制折射率。
圖7為顯示氮化矽膜之應力相對於電漿及非電漿循環之混合法的關係之圖表。比較性實例CE1(開啟=0%)導致數值約為0.27至0.31GPa之最低應力。本實例PE1、PE2及PE3藉由增加電漿循環之比率造成些微較高但確實地具有高準確度之應力,使得本實例PE1、PE2及PE3分別表現出0.33至0.34GPa、0.35至0.40GPa及0.36至0.41GPa之數值。比較性實例CE2(開啟=100%)導致數值約為0.62至0.64GPa之最高應力。因此,已發現藉由調整電漿循環相對於非電漿循環之混合比,可以極高之準確度控制氮化矽膜之應力。如以上所述,應力在電子或電洞的遷移率上具有巨大的影響。
<膜形成方法之執行程序>
如以上所述,依據本實施例,提供依照以下順序來執行膜形成製程的方法。具體而言,首先得到代表電漿及非電漿循環之循環混合法相對於氮化矽膜之膜品質因素的關係之關係式或關係表。舉例而言,膜品質因素可如上述從曲折射率、N原子相對於Si原子之成份比率以及應力所組成之群組中選擇。此類型之關係式或關係表可由預先執行之實驗而獲得。然後,以例如折射率、成份比率及應力之膜品質因素的目標值為基礎,參照關係式或關係表而決定循環混合法之具體方式。然後,將膜形成製程依據循環混合法之具體方式安排,且如上述般執行。
至於決定循環混合法之具體方式及依據具體方式安排膜形成製程的操作,製程操作者可手動執行這些操作程序,但可將膜形成設備2之控制部60用於自動執行這些操作程序。具體而言,在後者的情形中,將如上述所得之關係式或關係表儲存於如儲存部62之儲存部內,控制部60可預先從該儲存部中擷取資料。在此情形中,預先設定控制部60,以因應膜品質因素之目標值的輸入而存取儲存部,擷取關於關係式或關係表的資料,並以參照關係式或關係表之輸入目標值為基礎來決定循環混合法之具體方式。更進一步而言,預先設定控制部60,以依據具體方式而安排膜形成製程,且然後控制設備以執行膜形成製程。
如上述之實驗資料所示,在膜品質因素為氮化矽膜之折射率的情況下,隨著目標值之增加將電漿循環相對於非電漿循環之混合比設定得更小。在膜品質因素為氮化矽膜之成份比率(N原子相對於Si原子之比率)的情況下,隨著目標值之增加將電漿循環相對於非電漿循環之混合比設定得更大。在膜品質因素為氮化矽膜之應力的情況下,隨著目標值之增加將電漿循環相對於非電漿循環之混合比設定得更大。
可安排循環混合法以交替地執行由一或更多各為電漿循環者所形成之第一循環組,以及由一或更多各為非電漿循環者所形成之第二循環組。
<其他變化例>
在上述之實施例中,舉例而言,第一處理氣體含有DCS氣體作為矽烷族氣體。在此方面,矽烷族氣體可含有選自於由以下氣體組成之群組中至少一者:二氯矽烷(dichlorosilane,DCS)、六氯二矽烷(hexachlorodisilane,HCD)、單矽烷(monosilane,SiH4 )、二矽烷(disilane,Si2 H6 )、六甲基二矽氮烷(hexamethyl-disilazane,HMDS)、四氯矽烷(tetrachlorosilane,TCS)、二矽烷基胺(disilylamine,DSA)、三矽烷基胺(trisilylamine,TSA)、雙特丁基胺基矽烷(bistertialbutylaminosilane,BTBAS)、三甲基矽烷(trimethylsilane,TMS)、二甲基矽烷(dimethylsilane,DMS)、甲胺(monomethylamine,MMA)、以及三二甲胺基矽烷(tridimethylaminosilane,3DMAS)。
在上述之實施例中,舉例而言,第二處理氣體含有NH3 氣體作為氮化氣體。在此方面,氮化氣體可含有從由以下氣體組成之群組中選擇之至少一者:氨(ammonia,NH3 )、氮(nitrogen,N2 )、一氧化二氮(dinitfogen oxide,N2 O)、以及一氧化氮(nitrogen oxide,NO)。
在上述之實施例中,可使待形成之氮化矽膜具有如硼及/或碳之成分。在此情形中,膜形成製程之循環中的每一者更包含一或數個供給摻雜氣體及/或碳氫化合物的步驟。用於摻雜硼之含硼氣體可含有由以下氣體組成之群組中選擇之至少一者:BCl3 、B2 H6 、BF3 、以及B(CH3 )3 。用於摻雜碳之碳氫化合物氣體可含有從由以下氣體組成之群組中選擇之至少一者:乙炔(acetylene)、乙烯(ethylene)、甲烷(methane)、乙烷(ethane)、丙烷(propane)、以及丁烷(butane)。
目標基板不限於半導體晶圓,且其可為如LCD基板或玻璃基板之另一基板。
對於熟悉此技藝者而言,附加之優勢及變化例將易於想見。因此,在其更廣泛之態樣的發明不限於在此顯示及說明之具體細節及代表性實施例。因此,如同由附加之專利申請範圍及其相當物等所定義者,可在不悖離整體之發明性概念的精神或範疇之情形下,進行各種變更。
2...膜形成設備
4...處理容器
5...處理場
6...石英頂板
8...歧管
10...密封構件
12...晶舟
12A...支柱
14...絕熱圓筒
16...平台
18...外蓋
20...旋轉軸
22...磁性流體密封墊
24...密封構件
25...升降機構
26...臂部
28...第二處理氣體供給電路
28S...NH3 氣體源
30...第一處理氣體供給電路
30S...DCS氣體源
36...沖洗氣體供給電路
36S...N2 氣體源
38...氣體分配噴嘴
38A...氣體噴射孔
40...氣體分配噴嘴
40A...氣體噴射孔
46...氣體噴嘴
48‧‧‧氣體供給管線
48A‧‧‧開關閥
48B‧‧‧流速控制器
50‧‧‧氣體供給管線
50A‧‧‧開關閥
50B‧‧‧流速控制器
56‧‧‧氣體供給管線
56A‧‧‧開關閥
56B‧‧‧流速控制器
60‧‧‧主要控制部
62‧‧‧儲存部
66‧‧‧氣體激發部
68‧‧‧排氣通道口
70‧‧‧開口
72‧‧‧外蓋
74‧‧‧電極
76‧‧‧射頻電源
78‧‧‧饋入線
80‧‧‧絕緣保護蓋
82‧‧‧排氣通道口外蓋構件
84‧‧‧氣體出口
86‧‧‧加熱器
100A‧‧‧電漿SiN膜
100B‧‧‧熱SiN膜
GE‧‧‧真空排氣系統
PS‧‧‧電漿生成區域
W‧‧‧晶圓
所結合及構成說明書之一部份的隨附圖式顯示了本發明之實施例,且伴隨以上所提供之大致說明,及以下提供之實施例的詳細說明,而用於說明本發明之原理。
圖1為依據本發明之實施例的膜形成設備(直立式CVD設備)之剖面圖;圖2為顯示圖1中所示之設備的局部之剖面俯視圖;圖3A為顯示依據本發明之實施例之膜形成方法的氣體供給及射頻(radio frequency,RF)實施之時序圖;圖3B、3C及3D為顯示變化例之膜形成方法的氣體供給及射頻施加之時序圖;圖4A、4B、4C及4D分別顯示使用圖3A、3B、3C及3D所示之時序圖所形成之氮化矽膜的層疊狀態之剖面圖;圖5顯示氮化矽膜之膜形成速率相對於電漿及非電漿循環之混合法的關係之圖表;圖6為顯示氮化矽膜之折射率相對於電漿及非電漿循環之混合法的關係之圖表;以及
圖7為顯示氮化矽膜之應力相對於電漿及非電漿循環之混合法的關係之圖表。
2...膜形成設備
4...處理容器
5...處理場
6...石英頂板
8...歧管
10...密封構件
12...晶舟
12A...支柱
14...絕熱圓筒
16...平台
18...外蓋
20...旋轉軸
22...磁性流體密封墊
24...密封構件
25...升降機構
26...臂部
28...第二處理氣體供給電路
28S...NH3 氣體源
30...第一處理氣體供給電路
30S...DCS氣體源
36...沖洗氣體供給電路
36S...N2 氣體源
38...氣體分配噴嘴
38A...氣體噴射孔
40...氣體分配噴嘴
40A...氣體噴射孔
46...氣體噴嘴
48...氣體供給管線
48A...開關閥
48B...流速控制器
50...氣體供給管線
50A...開關閥
50B...流速控制器
56...氣體供給管線
56A...開關閥
56B...流速控制器
60...主要控制部
62...儲存部
66...氣體激發部
68...排氣通道口
70...開口
72...外蓋
74...電極
76...射頻電源
78...饋入線
80...絕緣保護蓋
82...排氣通道口外蓋構件
84...氣體出口
86...加熱器

Claims (16)

  1. 一種半導體製程用之膜形成方法,用於執行一膜形成製程,以在該處理場內之該目標基板上形成一氮化矽膜,該處理場係用以對其選擇性地供給含有一矽烷族氣體之一第一處理氣體、及含有一氮化氣體之一第二處理氣體,且與用於激發待供給之該第二處理氣體的一激發機構相通,安排該膜形成製程,以在其中設有該目標基板之該處理場內,重複一電漿循環及一非電漿循環複數次,以層疊由各次在該目標基板上所形成之薄膜,藉此形成具有一預定厚度之一氮化矽膜,其中該電漿循環及該非電漿循環中之每一者包含:一第一供給步驟,執行供給該第一處理氣體至該處理場,同時將該第二處理氣體至該處理場之供給維持於關閉狀態;以及一第二供給步驟,執行供給該第二處理氣體至該處理場,同時將該第一處理氣體至該處理場之供給維持於關閉狀態,在該電漿循環中,該第二供給步驟包含供給該第二處理氣體至該處理場、同時藉由該激發機構激發該第二處理氣體之一激發時期,且在該非電漿循環中,該第二供給步驟不包含藉由該激發機構激發該第二處理氣體之時期,且該方法包含:獲得代表該電漿循環及該非電漿循環之一循環混合法,相對於該氮化矽膜之一膜品質因素的關係之一關係式或關係表;以關於該關係式或關係表之該膜品質因素的一目標值為基礎,而決定該循環混合法之一具體方式;以及依據該具體方式安排該膜形成製程,且然後執行該膜形成製程;且該膜品質因素係選自於由一折射率、N原子相對於Si原子之一成份比率、及一應力所組成之群組;混合並包含該一電漿循環及該一非電漿循環,且使該一電漿循環及該一非電漿循環之比率可調整,藉此作為該膜品質因素控 制該折射率、該成份比率及該應力中任一者。
  2. 如申請專利範圍第1項之半導體製程用之膜形成方法,其中安排該循環混合法以交替地執行一第一循環組及一第二循環組,該第一循環組係由每一者皆為該電漿循環之一或更多循環所形成,而該第二循環組係由每一者皆為該非電漿循環之一或更多循環所形成。
  3. 如申請專利範圍第2項之半導體製程用之膜形成方法,其中將形成該第一循環組之循環數設定為大於形成該第二循環組之循環數。
  4. 如申請專利範圍第2項之半導體製程用之膜形成方法,其中該電漿循環及該非電漿循環係使用實質上相同的用於加熱該處理場之設定溫度。
  5. 如申請專利範圍第4項之半導體製程用之膜形成方法,其中安排該第一及第二供給步驟,以將該處理場設定在200至700℃之一溫度下。
  6. 如申請專利範圍第1項之一半導體製程用之膜形成方法,其中安排該第一及第二步驟,以將該處理場設定在13Pa(0.1Torr)至13300Pa(100Torr)之一壓力下。
  7. 如申請專利範圍第1項之半導體製程用之膜形成方法,其中該電漿循環及該非電漿循環中之每一者更包含第一及第二中間步驟,該第一及第二中間步驟分別在該第一與第二供給步驟之間及該第二供給步驟之後,由該處理場排放氣體,同時將該第一及第二處理氣體至該處理場之供給維持於關閉狀態。
  8. 如申請專利範圍第7項之半導體製程用之膜形成方法,其中該電漿循環及該非電漿循環中之每一者用以經過該第一供給步驟、該第一中間步驟、該第二供給步驟、及該第二中間步驟,而連續地由該處理場排放氣體。
  9. 如申請專利範圍第7項之半導體製程用之膜形成方法,其中該第一及第二中間步驟包含供給一沖洗氣體至該處理場之一時期。
  10. 如申請專利範圍第1項之半導體製程用之膜形成方法,其中該電漿循環之該第二供給步驟更包含:在該激發時期之前,供給該第二處理氣體至該處理場,同時不藉由該激發機構激發該第二處理氣體之一時期。
  11. 如申請專利範圍第1項之半導體製程用之膜形成方法,其中該矽烷族氣體含有選自於由以下氣體所組成之群組之至少一氣體:二氯矽烷、六氯二矽烷、單矽烷、二矽烷、六甲基二矽氮烷、四氯矽烷、二矽烷基胺、三矽烷基胺、雙特丁基胺基矽烷、三甲基矽烷、二甲基矽烷、甲胺、以及三二甲胺基矽烷,且該氮化氣體含有選自於由以下氣體所組成之群組之至少一氣體:氨、氮、一氧化二氮、以及一氧化氮。
  12. 如申請專利範圍第1項之半導體製程用之膜形成方法,其中將該處理場配置成容納複數個目標基板,該目標基板在垂直方向上以間隔方式被支撐於一支承構件上。
  13. 如申請專利範圍第1項之半導體製程用之膜形成方法,其中該方法更包含將該關係式或關係表儲存於一儲存部中,一控制部可由該儲存部擷取資料,且該決定該循環混合法之一具體方式之步驟係由該控制部所執行。
  14. 如申請專利範圍第13項之半導體製程用之膜形成方法,其中該依據該具體方式安排該膜形成製程之步驟係由該控制部所執行,且該執行該膜形成製程之步驟係在該控制部之控制下所執行。
  15. 一種半導體製程用之膜形成設備,包含:一處理容器,其具有被配置成容納一目標基板之一處理場;一支承構件,其被配置成支撐該處理場內側之該目標基板;一加熱器,其被配置成加熱該處理場內側之該目標基板;一排氣系統,其被配置成由該處理場排放氣體;一第一處理氣體供給電路,其被配置成供給含有一矽烷族氣體之一第一處理氣體至該處理場;一第二處理氣體供給電路,其被配置成供給含有一氮化氣體之一第二處理氣體至該處理場;一激發機構,其被配置成激發待供給之該第二處理氣體;以及一控制部,其被配置成控制該設備之一操作,其中預先設定該控制部以施行一膜形成製程,以在該處理場中之該目標基板上形成一氮化矽膜,安排該膜形成製程,以在有該目標基板置於其中之該處理場內重複一電漿循環及一非電漿循環複數次,以層疊由個別次在該目標基板上所形成之薄膜,藉此形成具有一預定厚度之一氮化矽膜,其中該電漿循環及該非電漿循環中之每一者包含:一第一供給步驟,執行供給該第一處理氣體至該處理場,同時將該第二處理氣體至該處理場之供給維持於關閉狀態;以及一第二供給步驟,執行供給該第二處理氣體至該處理場,同時將該第一處理氣體至該處理場之供給維持於關閉狀態,在該電漿循環中,該第二供給步驟包含供給該第二處理氣體至該處理場、同時藉由該激發機構激發該第二處理氣體之一激發時期,且在該非電漿循環中,該第二供給步驟不包含藉由該激發機構 激發該第二處理氣體之時期,且預先設定該控制部,以存取一儲存部,擷取儲存於其中之關於一關係式或關係表的資料,該關係式或關係表係代表該電漿循環及該非電漿循環相對於該氮化矽膜之一膜品質因素之一循環混合法的關係;以關於該關係式或關係表之該膜品質因素的一目標值為基礎,決定該循環混合法之一具體方式;以及依據該具體方式安排該膜形成製程,然後執行該膜形成製程;且該膜品質因素係選自於由一折射率、N原子相對於Si原子之一成份比率、及一應力所組成之群組;混合並包含該一電漿循環及該一非電漿循環,且使該一電漿循環及該一非電漿循環之比率可調整,藉此作為該膜品質因素控制該折射率、該成份比率及該應力中任一者。
  16. 一種電腦可讀媒體,包含用以在一處理器上執行之程式指令,該電腦可讀媒體用於一半導體製程用之一膜形成設備,該設備包含一處理容器內側之一處理場,該處理場係用以選擇性地供給含有一矽烷族氣體之一第一處理氣體、及含有一氮化氣體之一第二處理氣體,且與用於激發待供給之該第二處理氣體的一激發機構相通,其中該程式指令在由該處理器加以執行時,使該膜形成設備執行一膜形成製程,以在該處理場內之一目標基板上形成一氮化矽膜,安排該膜形成製程,以在具有該目標基板置於其中之該處理場內,重複一電漿循環及一非電漿循環複數次,以層疊由個別次在該目標基板上所形成之薄膜,從而形成具有一預定厚度之一氮化矽膜,其中該電漿循環及該非電漿循環中之每一者包含:一第一供給步驟,執行供給該第一處理氣體至該處理場,同時將該第二處理氣體至該處理場之供給維持於關閉狀態;以及一第二供給步驟,執行供給該第二處理氣體至該處理場,同時將該第一處理氣體至該處理場之供給維持於關閉狀態, 在該電漿循環中,該第二供給步驟包含供給該第二處理氣體至該處理場、同時藉由該激發機構激發該第二處理氣體之一激發時期,且在該非電漿循環中,該第二供給步驟不包含藉由該激發機構激發該第二處理氣體之時期,且該程式指令更造成該膜形成設備之一控制部:存取一儲存部以擷取儲存於其中關於一關係式或關係表的資料,該關係式或關係表係代表該電漿循環及該非電漿循環相對於該氮化矽膜之一膜品質因素之一循環混合法的關係;以關於該關係式或關係表之該膜品質因素的一目標值為基礎,而決定該循環混合法之一具體方式;以及依據該具體方式安排該膜形成製程,然後執行該膜形成製程;且該膜品質因素係選自於由一折射率、N原子相對於Si原子之一成份比率、及一應力所組成之群組;混合並包含該一電漿循環及該一非電漿循環,且使該一電漿循環及該一非電漿循環之比率可調整,藉此作為該膜品質因素控制該折射率、該成份比率及該應力中任一者。
TW098100871A 2008-01-19 2009-01-10 半導體製程用之膜形成方法與設備 TWI421941B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008009926A JP4935687B2 (ja) 2008-01-19 2008-01-19 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
TW201001548A TW201001548A (en) 2010-01-01
TWI421941B true TWI421941B (zh) 2014-01-01

Family

ID=40891267

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098100871A TWI421941B (zh) 2008-01-19 2009-01-10 半導體製程用之膜形成方法與設備

Country Status (5)

Country Link
US (1) US8080290B2 (zh)
JP (1) JP4935687B2 (zh)
KR (1) KR101105130B1 (zh)
CN (1) CN101488452B (zh)
TW (1) TWI421941B (zh)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4943047B2 (ja) 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US8592325B2 (en) * 2010-01-11 2013-11-26 International Business Machines Corporation Insulating layers on different semiconductor materials
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5723678B2 (ja) * 2011-05-31 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置及びそのガス供給方法
JP5741382B2 (ja) * 2011-09-30 2015-07-01 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5887962B2 (ja) * 2012-01-31 2016-03-16 東京エレクトロン株式会社 成膜装置
JP6047039B2 (ja) * 2012-04-20 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101924862B1 (ko) * 2012-08-31 2018-12-05 에스케이하이닉스 주식회사 반도체 소자 및 제조 방법
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150179316A1 (en) * 2013-12-23 2015-06-25 Intermolecular Inc. Methods of forming nitrides at low substrate temperatures
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
WO2015199111A1 (ja) 2014-06-25 2015-12-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6584352B2 (ja) * 2016-03-24 2019-10-02 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
KR102155281B1 (ko) 2017-07-28 2020-09-11 주성엔지니어링(주) 기판처리장치의 가스분사장치, 기판처리장치, 및 기판처리방법
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
JP6988629B2 (ja) * 2018-03-26 2022-01-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
CN110581050B (zh) * 2018-06-07 2024-06-11 东京毅力科创株式会社 处理方法和等离子体处理装置
CN111958078B (zh) * 2020-09-27 2021-08-27 淄博晨启电子有限公司 一种高可靠性高浪涌冲击能力半导体防护器件的焊接工艺
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6730614B1 (en) * 2002-11-29 2004-05-04 Electronics And Telecommunications Research Institute Method of forming a thin film in a semiconductor device
TW200525638A (en) * 2004-01-29 2005-08-01 Applied Materials Inc A stress-tuned, single-layer silicon nitride film
TW200703469A (en) * 2005-05-26 2007-01-16 Applied Materials Inc Method to increase the compressive stress of PECVD silicon nitride films
TW200710952A (en) * 2005-03-11 2007-03-16 Tokyo Electron Ltd Film formation method and apparatus for semiconductor process
TW200739691A (en) * 2006-01-16 2007-10-16 Tokyo Electron Ltd Film formation method and apparatus for semiconductor process

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP3529989B2 (ja) * 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
JP3501284B2 (ja) * 2001-03-30 2004-03-02 富士通カンタムデバイス株式会社 半導体装置の製造方法
US6638879B2 (en) * 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
JP2003347674A (ja) * 2002-05-30 2003-12-05 Mitsubishi Electric Corp 半導体レーザ装置及びその製造方法
JP4293591B2 (ja) * 2003-01-23 2009-07-08 川崎マイクロエレクトロニクス株式会社 表示装置の製造方法
US7094708B2 (en) * 2003-01-24 2006-08-22 Tokyo Electron Limited Method of CVD for forming silicon nitride film on substrate
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7519885B2 (en) * 2006-03-31 2009-04-14 Tokyo Electron Limited Monitoring a monolayer deposition (MLD) system using a built-in self test (BIST) table

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6730614B1 (en) * 2002-11-29 2004-05-04 Electronics And Telecommunications Research Institute Method of forming a thin film in a semiconductor device
TW200525638A (en) * 2004-01-29 2005-08-01 Applied Materials Inc A stress-tuned, single-layer silicon nitride film
TW200710952A (en) * 2005-03-11 2007-03-16 Tokyo Electron Ltd Film formation method and apparatus for semiconductor process
TW200703469A (en) * 2005-05-26 2007-01-16 Applied Materials Inc Method to increase the compressive stress of PECVD silicon nitride films
TW200739691A (en) * 2006-01-16 2007-10-16 Tokyo Electron Ltd Film formation method and apparatus for semiconductor process

Also Published As

Publication number Publication date
CN101488452B (zh) 2012-02-08
CN101488452A (zh) 2009-07-22
TW201001548A (en) 2010-01-01
KR101105130B1 (ko) 2012-01-16
US8080290B2 (en) 2011-12-20
KR20090080019A (ko) 2009-07-23
JP4935687B2 (ja) 2012-05-23
US20090191722A1 (en) 2009-07-30
JP2009170823A (ja) 2009-07-30

Similar Documents

Publication Publication Date Title
TWI421941B (zh) 半導體製程用之膜形成方法與設備
TWI420596B (zh) 半導體製程用之膜形成方法及設備
US8178448B2 (en) Film formation method and apparatus for semiconductor process
US8257789B2 (en) Film formation method in vertical batch CVD apparatus
US7964241B2 (en) Film formation method and apparatus for semiconductor process
US7351668B2 (en) Film formation method and apparatus for semiconductor process
US7507676B2 (en) Film formation method and apparatus for semiconductor process
US8034673B2 (en) Film formation method and apparatus for forming silicon-containing insulating film doped with metal
TWI552225B (zh) SiCN膜之形成方法及裝置
US7462571B2 (en) Film formation method and apparatus for semiconductor process for forming a silicon nitride film
TWI383448B (zh) 形成含矽絕緣膜之方法及裝置
US20060207504A1 (en) Film formation method and apparatus for semiconductor process
US10796934B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and electrode fixing part
US9741556B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20090124087A1 (en) Vertical plasma processing apparatus and method for using same
KR20210039451A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees