KR20210039451A - 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 Download PDF

Info

Publication number
KR20210039451A
KR20210039451A KR1020217006624A KR20217006624A KR20210039451A KR 20210039451 A KR20210039451 A KR 20210039451A KR 1020217006624 A KR1020217006624 A KR 1020217006624A KR 20217006624 A KR20217006624 A KR 20217006624A KR 20210039451 A KR20210039451 A KR 20210039451A
Authority
KR
South Korea
Prior art keywords
processing
gas
processing chamber
substrate
plasma
Prior art date
Application number
KR1020217006624A
Other languages
English (en)
Inventor
츠요시 다케다
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Priority to KR1020247010013A priority Critical patent/KR20240044540A/ko
Publication of KR20210039451A publication Critical patent/KR20210039451A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/026Shields
    • H01J2237/0266Shields electromagnetic

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판을 처리하는 처리실과, 처리실 내에 대하여 처리 가스를 공급하는 가스 공급계와, 처리실의 외주에 권회하도록 마련되어, 처리실 내에서 처리 가스의 플라스마를 생성하는 제1 플라스마 유닛과, 처리실의 상부이며 내부에 돌출되도록 마련되어, 처리실 내에서 처리 가스의 플라스마를 생성하는 제2 플라스마 유닛을 갖는 기술이 제공된다.

Description

기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
본 개시는, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램에 관한 것이다.
대규모 집적 회로(Large Scale Integrated Circuit: 이하 LSI), DRAM(Dynamic Random Access Memory), Flash Memory 등으로 대표되는 반도체 장치의 고집적화에 수반하여, 회로 패턴의 미세화가 진행되고 있다. 반도체 장치의 제조 공정에서는, 미세화를 실현하는 처리로서, 플라스마를 사용한 처리가 행하여지는 경우가 있다. 예를 들어, 특허문헌 1에 기재된 기술이 있다.
일본 특허 공개 제2015-092533호 공보
미세화에 수반하여, 기판면 내에서 균일하게 처리시키는 것이 요구되고 있지만, 활성화된 가스가, 기판면 내에 균일하게 공급되지 않는 경우가 있다. 이러한 경우, 기판면 내에 균일한 막을 형성하는 것이 곤란해진다.
본 개시는, 기판면 내에 균일한 막을 형성하는 것을 목적으로 한다.
일 양태에 의하면, 기판을 처리하는 처리실과, 상기 처리실 내에 대하여 처리 가스를 공급하는 가스 공급계와, 상기 처리실의 외주에 권회하도록 마련되어, 상기 처리실 내에서 상기 처리 가스의 플라스마를 생성하는 제1 플라스마 유닛과, 상기 처리실의 상부이며 내부에 돌출되도록 마련되어, 상기 처리실 내에서 상기 처리 가스의 플라스마를 생성하는 제2 플라스마 유닛을 갖는 기술이 제공된다.
본 개시에 따른 기술에 의하면, 기판면 내에 균일한 막을 형성하는 것이 가능하게 된다.
도 1은 본 개시의 제1 실시 형태에 따른 기판 처리 장치의 개략 구성도이다.
도 2는 본 개시의 제1 실시 형태에 따른 기판 처리 장치의 컨트롤러의 개략 구성도이다.
도 3은 본 개시의 제1 실시 형태에 따른 기판 처리 공정을 나타내는 흐름도이다.
도 4는 본 개시의 제1 실시 형태에 따른 기판 처리 공정의 시퀀스 예이다.
도 5는 본 개시의 제2 실시 형태에 따른 기판 처리 장치의 개략 구성도이다.
이하에 본 개시의 실시 형태에 대해서 설명한다.
<제1 실시 형태>
이하, 본 개시의 제1 실시 형태를 도면에 입각해서 설명한다.
(1) 기판 처리 장치의 구성
먼저, 본 개시의 제1 실시 형태에 따른 기판 처리 장치에 대해서 설명한다.
본 실시 형태에 따른 기판 처리 장치(100)에 대해서 설명한다. 기판 처리 장치(100)는, 예를 들어 절연막 형성 유닛이며, 도 1에 도시되어 있는 바와 같이, 매엽식 기판 처리 장치로서 구성되어 있다.
도 1에 도시하는 바와 같이, 기판 처리 장치(100)는, 처리 용기(202)를 구비하고 있다. 처리 용기(202)는, 예를 들어 수평 단면이 원형이며 편평한 밀폐 용기로서 구성되어 있다. 또한, 처리 용기(202)는, 예를 들어 알루미늄(Al)이나 스테인리스(SUS) 등의 금속 재료, 또는 석영이나 알루미나 등의 절연 재료에 의해 구성되어 있다. 처리 용기(202) 내에는, 기판으로서의 실리콘 웨이퍼 등의 웨이퍼(200)를 처리하는 처리실(201), 이동 탑재실(203)이 형성되어 있다. 처리 용기(202)는 주로, 덮개(231)와 상부 용기(202a)와 하부 용기(202b)와, 상부 용기(202a)와 하부 용기(202b)의 사이에 마련된 칸막이판(204)으로 구성되어 있다. 또한, 덮개(231)와 상부 용기(202a)와 칸막이판(204)과 후술하는 제2 가스 분산판 유닛(235b)과 후술하는 제2 플라스마 유닛(270b)에 둘러싸인 공간을 처리실(201)이라고 칭하고, 하부 용기(202b)에 둘러싸인 공간을 이동 탑재실(203)이라고 칭한다.
하부 용기(202b)의 측면에는, 게이트 밸브(1490)에 인접한 기판 반입출구(1480)가 마련되어 있고, 웨이퍼(200)는 기판 반입출구(1480)를 통해서 도시하지 않은 반송실과의 사이를 이동한다. 하부 용기(202b)의 저부에는, 리프트 핀(207)이 복수 마련되어 있다. 또한, 하부 용기(202b)는 접지되어 있다.
처리실(201)에는, 웨이퍼(200)를 지지하는 기판 지지부(210)가 마련되어 있다. 기판 지지부(210)는, 웨이퍼(200)를 적재하는 기판 적재면(211)과, 기판 적재면(211)을 표면에 갖는 기판 적재대(212), 기판 적재대(212)에 내포된 가열부로서의 히터(213), 서셉터 전극(256)을 주로 갖는다. 기판 적재대(212)에는, 리프트 핀(207)이 관통하는 관통 구멍(214)이, 리프트 핀(207)과 대응하는 위치에 각각 마련되어 있다.
서셉터 전극(256)에는, 바이어스 조정기(257)가 접속되어, 서셉터 전극(256)의 전위를 조정 가능하게 구성되어 있다. 바이어스 조정기(257)는, 컨트롤러(260)에서 서셉터 전극(256)의 전위를 조정하도록 구성된다.
기판 적재대(212)는 샤프트(217)에 의해 지지된다. 샤프트(217)는, 하부 용기(202b)의 저부를 관통하고 있고, 또한 하부 용기(202b)의 외부에서 승강 기구(218)에 접속되어 있다. 승강 기구(218)를 작동시켜서 샤프트(217) 및 기판 적재대(212)를 승강시킴으로써, 기판 적재면(211) 상에 적재되는 웨이퍼(200)를 승강시키는 것이 가능하게 되어 있다. 또한, 샤프트(217) 하단부의 주위는 벨로우즈(219)에 의해 덮여 있어, 처리실(201)은 기밀이 유지되어 있다.
기판 적재대(212)는, 웨이퍼(200)의 반송 시에는, 도 1의 파선으로 나타내는 웨이퍼 이동 탑재 위치까지 하강하고, 웨이퍼(200)의 처리 시에는 도 1의 도시한 처리 위치(웨이퍼 처리 위치)까지 상승한다.
구체적으로는, 기판 적재대(212)를 웨이퍼 이동 탑재 위치까지 하강시켰을 때는, 리프트 핀(207)의 상단부가 관통 구멍(214)을 통해서 기판 적재면(211)의 상면으로부터 돌출되어, 리프트 핀(207)이 웨이퍼(200)를 하방으로부터 지지하도록 되어 있다. 또한, 기판 적재대(212)를 웨이퍼 처리 위치까지 상승시켰을 때는, 리프트 핀(207)은, 기판 적재면(211)의 상면으로부터 매몰되어, 기판 적재면(211)이 웨이퍼(200)를 하방으로부터 지지하도록 되어 있다. 또한, 리프트 핀(207)은, 웨이퍼(200)와 직접 접촉하기 때문에, 예를 들어 석영이나 알루미나나 탄화규소 등의 재질로 형성하는 것이 바람직하다.
(배기계)
하부 용기(202b)의 측부에는, 처리실(201) 및 이동 탑재실(203)의 분위기를 배기하는 배기구(221)가 마련되어 있다. 배기구(221)에는 배기관(224)이 접속되어 있고, 배기관(224)에는, 처리실(201)을 소정의 압력으로 제어하는 APC(Auto Pressure Controller) 등의 압력 조정기(227)와 진공 펌프(223)가 순서대로 직렬로 접속되어 있다.
(가스 도입구)
칸막이판(204)의 측부에는, 처리실(201)에 각종 가스를 공급하기 위한 제1 가스 도입구(241a)가 마련되어 있다. 또한, 처리실(201)의 상부에, 처리실(201)에 각종 가스를 공급하기 위한 제2 가스 도입구(241b)가 마련되어 있다. 제1 가스 공급부인 제1 가스 도입구(241a) 및 제2 가스 공급부인 제2 가스 도입구(241b)에 접속되는 각 가스 공급 유닛의 구성에 대해서는 후술한다.
(가스 분산 유닛)
가스를 분산시키는 기구로서의 제1 가스 분산 유닛(235a)은, 제1 버퍼실(232a)과 복수의 제1 분산 구멍(234a)으로 이루어지는 링상의 형상을 갖고, 칸막이판(204)과 인접 배치되어 있다. 마찬가지로, 제2 가스 분산 유닛(235b)은, 제2 버퍼실(232b)과 복수의 제2 분산 구멍(234b)으로 이루어지는 링상의 형상을 갖고, 덮개(231)와 후술하는 제2 플라스마 유닛(270b)의 사이에 배치되어 있다. 제1 가스 도입구(241a)로부터 도입되는 제1 가스는, 제1 가스 분산 유닛(235a)의 제1 버퍼실(232a)에 공급되어, 복수의 제1 분산 구멍(234a)을 통해서 처리실(201)에 공급된다. 제2 가스 도입구(241b)로부터 도입되는 제2 가스는, 제2 가스 분산 유닛(235b)의 제2 버퍼실(232b)에 공급되어, 복수의 제2 분산 구멍(234b)을 통해서 처리실(201)에 공급된다.
(제1 플라스마 유닛)
상부 용기(202a)의 외주에 권회하도록 배치된 제1 플라스마 유닛(270a)은, 도전성의 금속 파이프로 이루어지는 1권취 내지 10권취의 스파이럴 형상의 코일 전극(코일)(253a)과, 도전성의 금속판에 의해 구성되는 원통체 형상의 전자파 실드(254a)로 구성되어 있다. 고주파 전원(252a)으로부터의 고주파 전력은, 코일 전극(253a)의 양단에 병행 접속된 정합기(251a)와, 코일 전극(253a)의 중간 부근과 전자파 실드(254a)가 접속된 접지부를 통해서 공급된다. 처리실(201)에 반응 가스를 공급하면, 코일 전극(253a)이 만드는 교류 자장에 유도되어, 유도 결합 플라스마(Inductively Coupled Plasma, 약칭: ICP)가 생성된다. 영구 자석(255)은, 플라스마 생성의 보조로서, 필요에 따라 코일 전극(253a)의 상하에 도입할 수 있다. 이 경우, 영구 자석(255)이 만드는 직류 자장(B)은, 코일 전극(253a)이 유도해서 만드는 플라스마 전류(J)와 작용해서 생기는 JxB 드리프트 모드의 플라스마나, 코일 전극(253a)으로부터 발생하는 교류 전기장(E)과 작용해서 발생하는 ExB 드리프트 모드의 플라스마가 생성된다. 이들에 의해, 플라스마 밀도가 높아져서, 반응 가스의 활성종의 생성량을 대폭 향상시킬 수 있다. 또한, 코일 전극(253a) 대신에 평판 전극을 사용한 용량 결합 플라스마(Capactively Coupled Plasma, 약칭: CCP)를 채용할 수도 있지만, 영구 자석(255)의 자장과 작용해서 생기는 플라스마는 ExB 드리프트 모드만이 된다. 제1 플라스마 유닛(270a)에, 플라스마 생성의 보조로서 영구 자석(255)이 마련됨으로써, 플라스마 전자가 영구 자석(255)의 자장에 보충(트랩)되기 때문에, 처리실(201)의 측면에서의 플라스마 전자의 실활(소멸)률이 내려간다. 그 결과, 플라스마의 생성 효율이 올라간다.
(제2 플라스마 유닛)
상부 용기(202a) 상부에 배치되고 또한 처리실(201)의 내측에 일부 돌출시킨 제2 플라스마 유닛(270b)은, 받침대(272)에 고정된 절연 부재(271)로 보호된 도전성의 금속 파이프로 이루어지는 U자 형상의 코일 전극(단순히 코일이라고도 칭함)(253b)과, 도전성의 금속판에 의해 구성되는 원통체 또는 직육면체의 형상의 전자파 실드(254b)로 구성되어 있다. 절연 부재(271)는 절연 재료로 구성되고, 처리실(201)의 상부의 내부에 토출하도록 마련되어 있다. 코일 전극(253b)은, 절연 부재(271)를 따르도록 마련되어 있다. 또한, 절연 부재(271)는, 돌출부에 둥그스름한 직육면체 형상, 원통체 형상이나 파이프 형상을 사용하고, 그 내외의 분위기는 진공 시일로 격리되어 있다. 고주파 전원(252b)으로부터의 고주파 전력은, 코일 전극(253b)의 일단과 접속된 정합기(251b)와, 코일 전극(253b)의 또 다른 일단과 전자파 실드(254b)가 접속된 접지부를 통해서 공급된다. 처리실(201)에 반응 가스를 공급하면, 코일 전극(253b)이 만드는 교류자장에 유도되어, 유도 결합 플라스마(Inductively Coupled Plasma, 약칭: ICP)가 생성된다. 또한, 제2 플라스마 유닛(270b) 대신에 리모트 플라스마 유닛을 채용해도 된다.
제2 플라스마 유닛(270b)이 처리실(201)의 내측으로 일부 돌출되어 있음으로써, 코일 전극(253b)으로부터 발하는 전자장과 결합(교차)하는 플라스마의 비율(영역)이 증가하기 때문에, 플라스마의 RF 전력의 투입 효율이 올라간다. 그 결과, 플라스마의 생성 효율이 올라간다.
제2 플라스마 유닛(270b)은, 절연 부재로 보호된 도전성의 금속 파이프에 의해 구성되는 U자 형상의 코일 전극(253b)과, 도전성의 금속판에 의해 구성되는 원통체 또는 직육면체의 형상의 전자파 실드(254b)로 구성시키고 있음으로써, 코일 전극의 절연 보호재의 면과 평행하고 있는 코일 전극의 표면적이 크면 클수록, 플라스마의 생성 효율을 더욱 높일 수 있다. 즉, 코일 전극의 절연 보호재의 형상이 곡면을 갖고 있는 경우에는, 코일 전극의 형상도 그것과 평행한 형태로 곡면·곡률을 가짐으로써, 플라스마의 생성 효율이 보다 올라간다.
코일 전극(253b)은 U자 형상에 한정되는 것은 아니고, 예를 들어 원반상이나 와권상의 코일이어도 된다. 제2 플라스마 유닛(270b)은, 예를 들어 웨이퍼(200)의 중심에 대응하는 위치에 1개 마련하는 경우 등에 한정되는 것은 아니며, 플라스마 분포에 기초하여 복수 마련해도 된다.
제1 플라스마 유닛의 코일 전극(253a)이나 제2 플라스마 유닛의 코일 전극(253b)은, 고주파 전원(252a, 252b)으로부터의 고주파 전력이 공급되면, 줄 열의 발생에 의해 그것들의 저항값이 서서히 높아져서, 임피던스 정합을 취하려고 하는 정합기(251a, 251b)는 불안정하게 되기 쉬워진다. 따라서, 코일 전극(253a, 253b)은, 그것들의 저항값이 일정해지도록 물이나 공기 등으로 냉각해서 그것들의 온도의 안정화를 도모할 필요가 있다.
(가스 공급계)
제1 가스 도입구(241a)에는, 제1 가스 공급관(150a)이 접속되어 있다. 제1 가스 공급관(150a)에는, 제1 처리 가스 공급관(113)과 퍼지 가스 공급관(133a)이 접속되어 있어, 제1 가스 도입구(241a)에는, 후술하는 제1 처리 가스와 퍼지 가스가 공급된다. 제2 가스 도입구(241b)에는, 제2 가스 공급관(150b)이 접속되어 있다. 제2 가스 공급관(150b)에는, 제2 처리 가스 공급관(123)과 퍼지 가스 공급관(133b)이 접속되어 있어, 제2 가스 도입구(241b)에는, 후술하는 제2 처리 가스와 퍼지 가스가 공급된다.
(제1 처리 가스 공급계)
제1 처리 가스 공급계에는, 제1 처리 가스 공급관(113), 매스 플로우 컨트롤러(MFC)(115), 밸브(116)가 마련되어 있다. 또한, 제1 처리 가스원을 제1 처리 가스 공급계에 포함해서 구성해도 된다. 또한, 처리 가스의 원료가 액체, 고체인 경우에는, 기화기가 마련되어 있어도 된다.
(제2 처리 가스 공급계)
제2 처리 가스 공급계에는, 제2 처리 가스 공급관(123), MFC(125), 밸브(126)가 마련되어 있다. 또한, 제2 처리 가스원을 제2 처리 가스 공급계에 포함해서 구성해도 된다.
(퍼지 가스 공급계)
퍼지 가스 공급계에는, 퍼지 가스 공급관(133a)과 MFC(135a)와 밸브(136a)로 이루어지는 계통과, 퍼지 가스 공급관(133b)과 MFC(135b)와 밸브(136b)로 이루어지는 계통의 2계통이 마련되어 있다. 또한, 퍼지 가스원을 퍼지 가스 공급계에 포함해서 구성해도 된다.
(제어부)
도 1에 도시한 바와 같이 기판 처리 장치(100)는, 기판 처리 장치(100)의 각 부의 동작을 제어하는 컨트롤러(260)를 갖고 있다.
컨트롤러(260)의 개략을 도 2에 도시한다. 제어부(제어 장치)인 컨트롤러(260)는, CPU(Central Processing Unit)(260a), RAM(Random Access Memory)(260b), 기억 장치(260c), I/O 포트(260d)를 구비한 컴퓨터로서 구성되어 있다. RAM(260b), 기억 장치(260c), I/O 포트(260d)는, 내부 버스(260e)를 통해서 CPU(260a)와 데이터 교환 가능하게 구성되어 있다. 컨트롤러(260)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(261)나, 외부 기억 장치(262), 수신부(285) 등이 접속 가능하게 구성되어 있다.
기억 장치(260c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(260c) 내에는, 기판 처리 장치(100)의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피, 웨이퍼(200)에의 처리에 사용하는 프로세스 레시피를 설정할 때까지의 과정에서 생기는 연산 데이터나 처리 데이터 등이 독출 가능하게 저장되어 있다. 또한, 프로세스 레시피는, 후술하는 기판 처리 공정에서의 각 수순을 컨트롤러(260)에 실행시켜, 소정의 결과를 얻을 수 있게 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로그램 레시피나 제어 프로그램 등을 총칭하여, 단순히 프로그램이라고도 한다. 또한, 본 명세서에서 프로그램이라는 말을 사용한 경우에는, 프로그램 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다. 또한, RAM(260b)은, CPU(260a)에 의해 독출된 프로그램, 연산 데이터, 처리 데이터 등의 데이터가 일시적으로 보유되는 메모리 영역(워크 에어리어)으로서 구성되어 있다.
I/O 포트(260d)는, 게이트 밸브(1490), 승강 기구(218), 히터(213), 압력 조정기(227), 진공 펌프(223), 정합기(251a, 251b), 고주파 전원(252a, 252b), MFC(115, 125, 135a, 135b), 밸브(116, 126, 136a, 136b), 바이어스 조정기(257) 등에 접속되어 있다.
연산부로서의 CPU(260a)는, 기억 장치(260c)로부터의 제어 프로그램을 독출해서 실행함과 함께, 입출력 장치(261)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(260c)로부터 프로세스 레시피를 독출하도록 구성되어 있다. 또한, 수신부(285)로부터 입력된 설정값과, 기억 장치(260c)에 기억된 프로세스 레시피나 제어 데이터를 비교·연산하여, 연산 데이터를 산출 가능하게 구성되어 있다. 또한, 연산 데이터로부터 대응하는 처리 데이터(프로세스 레시피)의 결정 처리 등을 실행 가능하게 구성되어 있다. 그리고, CPU(260a)는, 독출된 프로세스 레시피의 내용을 따르도록, 게이트 밸브(1490)의 개폐 동작, 승강 기구(218)의 승강 동작, 히터(213)에의 전력 공급 동작, 압력 조정기(227)의 압력 조정 동작, 진공 펌프(223)의 온 오프 동작, MFC(115, 125, 135a, 135b)의 가스 유량 제어 동작, 밸브(116, 126, 136a, 136b)로의 가스의 온 오프 동작, 정합기(251a, 251b)의 전력의 정합 제어, 고주파 전원(252a, 252b)의 전력 제어, 바이어스 조정기(257)에서의 서셉터 전극(256)에의 전위 제어를 행할 수 있도록 구성되어 있다.
또한, 컨트롤러(260)는, 전용의 컴퓨터로서 구성되어 있는 경우에 한하지 않고, 범용의 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(262)를 준비하여, 관련된 외부 기억 장치(262)를 사용해서 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해, 본 실시 형태에 따른 컨트롤러(260)를 구성할 수 있다. 또한, 컴퓨터에 프로그램을 공급하기 위한 수단은, 외부 기억 장치(262)를 통해서 공급하는 경우에 제한하지 않는다. 예를 들어, 수신부(285)나 네트워크(263)(인터넷이나 전용 회선) 등의 통신 수단을 사용하여, 외부 기억 장치(262)를 통하지 않고 프로그램을 공급하도록 해도 된다. 또한, 기억 장치(260c)나 외부 기억 장치(262)는, 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여, 단순히 기록 매체라고도 한다. 또한, 본 명세서에서, 기록 매체라는 말을 사용한 경우에는, 기억 장치(260c) 단체만을 포함하는 경우, 외부 기억 장치(262) 단체만을 포함하는 경우, 또는 그들 양쪽을 포함하는 경우가 있다.
(2) 기판 처리 공정
이어서, 상술한 기판 처리 장치(100)를 사용해서 반도체 장치(반도체 디바이스)의 제조 공정의 일 공정으로서, 기판 상에 절연막이며, 예를 들어 질화막으로서의 실리콘 질화(SiN)막을 형성하는 플로우와 시퀀스 예에 대해서 도 3과 도 4를 참조하여 설명한다. 또한, 이하의 설명에서, 기판 처리 장치(100)를 구성하는 각 부의 동작은 컨트롤러(260)에 의해 제어된다.
본 명세서에서 「웨이퍼」라는 말을 사용한 경우에는, 웨이퍼 그 자체를 의미하는 경우나, 웨이퍼와 그 표면에 형성된 처리의 층이나 막의 적층체를 의미하는 경우가 있다. 본 명세서에서 「웨이퍼의 표면」이라는 말을 사용한 경우에는, 웨이퍼 그 자체의 표면을 의미하는 경우나, 웨이퍼 상에 형성된 소정의 층 등의 표면을 의미하는 경우가 있다. 본 명세서에서 「웨이퍼 상에 소정의 층을 형성한다」라고 기재한 경우에는, 웨이퍼 그 자체의 표면 상에 소정의 층을 직접 형성하는 것을 의미하는 경우나, 웨이퍼 상에 형성되어 있는 층 등의 위에 소정의 층을 형성하는 것을 의미하는 경우가 있다. 본 명세서에서 「기판」이라는 말을 사용한 경우도, 「웨이퍼」라는 말을 사용한 경우와 동의이다.
이하에, 기판 처리 공정에 대해서 설명한다.
(기판 반입 공정 S201)
성막 처리 시에는, 우선, 웨이퍼(200)를 처리실(201)에 반입시킨다. 구체적으로는, 기판 지지부(210)를 승강 기구(218)에 의해 하강시켜, 리프트 핀(207)을 관통 구멍(214)으로부터 기판 지지부(210)의 상면측으로 돌출시킨 상태로 한다. 또한, 처리실(201)이나 이동 탑재실(203)을 소정의 압력으로 압력 조절한 후, 게이트 밸브(1490)를 개방하고, 트위저 등의 반송 기구(도시하지 않음)를 사용하여, 기판 반입출구(1480)를 통해서 웨이퍼(200)를 리프트 핀(207) 상에 적재시킨다. 웨이퍼(200)를 리프트 핀(207) 상에 적재시킨 후, 게이트 밸브(1490)를 닫고, 승강 기구(218)에 의해 기판 지지부(210)를 소정의 위치까지 상승시킴으로써, 웨이퍼(200)가 리프트 핀(207)으로부터 기판 지지부(210)에 적재되게 된다.
(제1 압력 조절·온도 조절 공정 S202)
계속해서, 처리실(201)이 소정의 압력으로 되도록, 밸브(136a, 136b)를 개방하여, MFC(135a, 135b)를 조절해서 소정의 유량으로 N2 가스를 공급하고, 배기구(221)를 통해서 처리실(201)의 분위기를 배기한다. 이때, 압력 센서(도시하지 않음)가 계측한 압력값에 기초하여, 압력 조정기(227)의 밸브 개방도를 피드백 제어한다. 또한, 온도 센서(도시하지 않음)가 검출한 온도 값에 기초하여, 처리실(201)이 소정의 온도로 되도록 히터(213)에의 전력을 피드백 제어한다. 구체적으로는, 기판 지지부(210)를 히터(213)에 의해 미리 가열해 두고, 웨이퍼(200) 또는 기판 지지부(210)의 온도가 안정되고 나서 일정 시간 방치한다. 그 동안에, 처리실(201)에 잔류하고 있는 수분 혹은 부재로부터의 탈가스 등이 있는 경우에는, N2 가스 등에 의한 퍼지가 그것들의 제거에 효과적이다. 이것으로 성막 프로세스 전의 준비가 완료되게 된다. 또한, 처리실(201)을 소정의 압력으로 설정하기 전에, 한번, 도달 가능한 진공도까지 진공 배기해도 된다.
이때의 히터(213)의 온도는, 아이들 시의 온도로부터, 100 내지 600℃, 바람직하게는 150 내지 500℃, 보다 바람직하게는 250 내지 450℃의 범위 내에서 일정 온도가 되도록 설정한다.
또한, 웨이퍼(200)의 전위가 소정의 전위로 되도록, 바이어스 조정기(257)에 의해 서셉터 전극(256)에 전압이 인가된다.
(성막 공정 S301)
계속해서, 웨이퍼(200) 상에 SiN막을 형성하는 예에 대해서 설명한다. 성막 공정 S301의 상세에 대해서, 도 3, 도 4를 사용해서 설명한다.
웨이퍼(200)가 기판 지지부(210)에 적재되고, 처리실(201)의 분위기가 안정된 후, 도 3, 도 4에 도시하는, S203 내지 S207의 공정이 행하여진다.
(제1 처리 가스 공급 공정 S203)
제1 처리 가스 공급 공정 S203에서는, 제1 처리 가스 공급계로부터 처리실(201)에 제1 처리 가스(원료 가스)로서의 디클로로실란(SiH2Cl2, dichlorosilane: DCS) 가스를 공급한다. 구체적으로는, 밸브(116)를 열어, 처리 가스 공급원으로부터 공급된 제1 처리 가스를 MFC(115)로 유량 조정한 후, 기판 처리 장치(100)에 공급한다. 유량 조정된 제1 처리 가스는, 제1 가스 분산 유닛(235a)의 제1 버퍼실(232a)을 통과하여, 복수의 제1 분산 구멍(234a)으로부터, 감압 상태의 처리실(201)에 공급된다. 또한, 배기계에 의한 처리실(201)의 배기를 계속하여, 처리실(201)의 압력을 소정의 압력 범위(제1 압력)가 되도록 압력 조정기(227)를 제어한다. 이때, 소정의 압력(제1 압력: 예를 들어 100Pa 이상 10kPa 이하)으로, 처리실(201)에 제1 처리 가스를 공급한다. 이와 같이 하여, 제1 처리 가스가 공급됨으로써, 웨이퍼(200) 상에 실리콘 함유층이 형성된다. 여기에서의 실리콘 함유층이란, 실리콘(Si), 또는 실리콘과 염소(Cl)를 포함하는 층이다.
(제1 퍼지 공정 S204)
제1 퍼지 공정 S204에서는, 웨이퍼(200) 상에 실리콘 함유층이 형성된 후, 제1 처리 가스 공급관(113)의 밸브(116)를 닫아, 제1 처리 가스의 공급을 정지한다. 진공 펌프(223)의 동작을 계속하고, 제1 처리 가스를 정지함으로써, 처리실(201)에 존재하는 제1 처리 가스나 반응 부생성 물질 등의 잔류 가스, 제1 버퍼실(232a)에 잔류하는 처리 가스가 진공 펌프(223)로부터 배기됨으로써 퍼지가 행하여진다.
여기서, 퍼지 가스 공급계의 밸브(136a)를 개방하고, MFC(135a)를 조정하여, 퍼지 가스로서의 N2 가스를 공급함으로써, 제1 버퍼실(232a)의 잔류 가스를 압출할 수 있고, 또한 웨이퍼(200) 상의 제1 처리 가스나 반응 부생성 물질 등의 잔류 가스의 제거 효율이 높아진다. 이때, 다른 퍼지 가스 공급계를 조합해도 되고, 퍼지 가스의 공급과 정지를 교대로 행하도록 구성해도 된다.
소정의 시간 경과 후, 밸브(136a)를 닫아, 퍼지 가스의 공급을 정지한다. 또한, 밸브(136a)를 개방한 채 퍼지 가스의 공급을 계속해도 된다. 제1 버퍼실(232a)에의 퍼지 가스의 공급을 계속함으로써, 다른 공정에서, 다른 공정의 처리 가스가 제1 버퍼실(232a)로 들어가는 것을 억제할 수 있다.
또한, 이때 처리실(201)이나 제1 버퍼실(232a)에 공급하는 퍼지 가스의 유량도 대유량으로 할 필요는 없으며, 예를 들어 처리실(201)의 용적과 동일 정도의 양을 공급함으로써, 다음 공정에서 악영향이 생기지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201)을 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 제조 스루풋을 향상시킬 수 있다. 또한, 퍼지 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
이때의 히터(213)의 온도는, 웨이퍼(200)에의 제1 처리 가스 공급 시와 마찬가지의 온도가 되도록 설정한다. 퍼지 가스 공급계로부터 공급하는 퍼지 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 퍼지 가스로서는, N2 가스 이외에, Ar, He, Ne, Xe 등의 희가스를 사용해도 되고, 또한, 이들을 조합해도 된다.
(제2 처리 가스 공급 공정 S205)
제2 처리 가스 공급 공정 S205에서는, 제2 처리 가스 공급계의 밸브(126)를 열어, 제2 가스 분산 유닛(235b)의 제2 버퍼실(232b)과 복수의 제2 분산 구멍(234b)을 통해서, 감압 하의 처리실(201)에 제2 처리 가스(제1 처리 가스와는 화학 구조(분자 구조)가 다른 제2 처리 가스로서의 반응 가스)로서 암모니아(NH3) 가스를 공급한다. 이때, 배기계에 의한 처리실(201)의 배기를 계속해서 제2 처리 가스가 소정 유량으로 되도록 MFC(125)를 (예를 들어, 100sccm 이상 5000sccm 이하로) 조정하고, 처리실(201)이 소정 압력으로 되도록 압력 조정기(227)를 (제2 압력: 예를 들어, 1Pa 이상 200Pa 이하로) 제어한다.
또한, 고주파 전원(252a, 252b)으로부터, 정합기(251a, 251b)를 통해서, 제1 플라스마 유닛(270a)의 코일 전극(253a) 및 제2 플라스마 유닛(270b)의 코일 전극(253b)에 고주파 전력을 공급한다. 이때의 고주파 전력은, 처리실(201)의 플라스마 분포가 웨이퍼(200) 상의 수평 방향에서 균일하게 되도록, 고주파 전원(252a)과 고주파 전원(252b)에서 최적으로 배분한다. 도 4에서는, 제2 처리 가스의 공급과 동시에 고주파 전력의 공급을 개시하고 있지만, 제2 처리 가스의 공급 개시 전부터 공급되도록 구성해도 되고, 그 후에도 계속해도 된다. 고주파 전력을 공급함으로써, 웨이퍼(200) 상에 제2 처리 가스의 플라스마를 생성할 수 있다. 이에 의해, 활성화(여기)된 제2 처리 가스의 활성종을 실리콘 함유층에 공급할 수 있어, 실리콘 함유층에 대하여 저온에서 질화 처리를 실시할 수 있다.
여기서, 고주파 전원(252a)으로부터 제1 플라스마 유닛(270a)에의 공급 전력은 1000 내지 5000W, 바람직하게는 3000 내지 5000W, 보다 바람직하게는 3500 내지 4500W로 한다. 1000W 미만이면, CCP 모드의 플라스마가 지배적이 되기 때문에, 활성종의 생성량이 매우 낮아진다. 그 때문에, 웨이퍼의 처리 속도가 매우 저하된다. 또한, 5000W를 초과하면, 플라스마가 석영 재료로 구성되는 반응실의 내벽을 강하게 스퍼터하기 시작하기 때문에, 웨이퍼(200) 상의 막(SiO막 이외의 막)에 있어서 바람직하지 않은 Si나 O 등의 재료가 공급된다.
또한, 고주파 전원(252b)으로부터 제2 플라스마 유닛(270b)에의 공급 전력은 100 내지 2000W, 바람직하게는 500 내지 1000W로 한다. 100W 미만이면, CCP 모드의 플라스마가 지배적이 되기 때문에, 활성종의 생성량이 매우 낮아진다. 그 때문에, 웨이퍼의 처리 속도가 매우 저하된다. 또한, 1000W를 초과하면, 플라스마가 석영 보호 부재의 외벽(반응실측)을 강하게 스퍼터하기 시작하기 때문에, 기판 상의 막(SiO막 이외의 막)에 있어서 바람직하지 않은 Si나 O 등의 재료가 공급된다.
또한, 플라스마 처리 시간은 60 내지 600초, 바람직하게는 120 내지 300초로 한다. 60초 미만이면, 충분한 막 두께를 달성할 수 없다. 또한, 600초를 초과하면, 웨이퍼(200)면 내나 웨이퍼(200) 상의 단차로 막의 균일성에 악영향을 주어버리고, 나아가 웨이퍼(200)에 대미지를 부여해버린다.
또한, 기판 적재대(212) 내에 마련된 서셉터 전극(256)의 전위를 바이어스 조정기(257)로 조정함으로써, 웨이퍼(200)에의 플라스마 하전 입자의 공급량을 제어할 수 있다. 예를 들어, 웨이퍼(200) 표면에 단차 가공이 되어 있는 경우, 플라스마 하전 입자의 공급량을 억제함으로써, 성막의 피복률의 향상에 유효하다.
활성화된 제2 처리 가스의 활성종이, 웨이퍼(200) 상에 형성되어 있는 실리콘 함유층에 공급되면, 분자 결합 결손의 회복이나 불순물의 탈리 등 실리콘 함유층의 개질 처리도 실시된다. 예를 들어, 처리실(201)의 압력, MFC(125)에 의한 제2 처리 가스의 유량, 히터(213)에 의한 웨이퍼(200)의 온도, 고주파 전원(252a, 252b)의 전력, 바이어스 조정기(257)에 의한 서셉터 전극(256)의 전위 등에 따라서, 소정의 분포, 소정의 깊이, 소정의 질소 조성비로, 실리콘 함유층에 대하여 질화 처리나 개질 처리가 실시된다.
소정의 시간 경과 후, 제2 처리 가스 공급계의 밸브(126)를 닫아, 제2 처리 가스의 공급을 정지한다.
이때의 히터(213)의 온도는, 웨이퍼(200)에의 제1 처리 가스 공급 시와 마찬가지의 온도가 되도록 설정된다.
(제2 퍼지 공정 S206)
제2 퍼지 공정 S206에서는, 웨이퍼(200) 상에 질소 함유층이 형성된 후, 제2 처리 가스 공급관(123)의 밸브(126)를 닫아, 제2 처리 가스의 공급을 정지한다. 진공 펌프(223)의 동작을 계속하고, 제2 처리 가스를 정지함으로써, 처리실(201)에 존재하는 제2 처리 가스나 반응 부생성 물질 등의 잔류 가스, 제2 버퍼실(232b)에 잔류하는 처리 가스가 진공 펌프(223)로부터 배기됨으로써 퍼지가 행하여진다.
여기서, 퍼지 가스 공급계의 밸브(136b)를 개방하고, MFC(135b)를 조정하여, 퍼지 가스로서의 N2 가스를 공급함으로써, 제2 버퍼실(232b)의 잔류 가스를 압출할 수 있고, 또한 웨이퍼(200) 상의 제2 처리 가스나 반응 부생성 물질 등의 잔류 가스의 제거 효율이 높아진다. 이때, 다른 퍼지 가스 공급계를 조합해도 되고, 퍼지 가스의 공급과 정지를 교대로 행하도록 구성해도 된다.
소정의 시간 경과 후, 밸브(136b)를 닫아, 퍼지 가스의 공급을 정지한다. 또한, 밸브(136b)를 개방한 채 퍼지 가스의 공급을 계속해도 된다. 제2 버퍼실(232b)에의 퍼지 가스의 공급을 계속함으로써, 다른 공정에서, 다른 공정의 처리 가스가 제2 버퍼실(232b)로 들어가는 것을 억제할 수 있다.
또한, 이때 처리실(201)이나 제2 버퍼실(232b)에 공급하는 퍼지 가스의 유량도 대유량으로 할 필요는 없으며, 예를 들어 처리실(201)의 용적과 동일 정도의 양을 공급함으로써, 다음 공정에서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201)을 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 제조 스루풋을 향상시킬 수 있다. 또한, 퍼지 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
이때의 히터(213)의 온도는, 웨이퍼(200)에의 제2 처리 가스 공급 시와 마찬가지의 온도가 되도록 설정한다. 퍼지 가스 공급계로부터 공급하는 퍼지 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 퍼지 가스로서는, N2 가스 이외에, Ar, He, Ne, Xe 등의 희가스를 사용해도 되고, 또한, 이들을 조합해도 된다.
(판정 공정 S207)
퍼지 공정 S206의 종료 후, 컨트롤러(260)는, 상기 성막 공정 S301(S203 내지 S206)이 소정의 사이클수 n이 실행되었는지 여부를 판정한다. 즉, 웨이퍼(200) 상에 원하는 두께의 막이 형성되었는지 여부를 판정한다. 상술한 성막 공정 S301(S203 내지 S206)을 1사이클로 하고, 이 사이클을 적어도 1회 이상 행함으로써, 웨이퍼(200) 상에 소정 막 두께의 SiN막을 형성할 수 있다. 또한, 상술한 사이클은, 복수회 반복하는 것이 바람직하다. 이에 의해, 웨이퍼(200) 상에 소정 막 두께의 SiN막이 형성된다.
판정 공정 S207에서, 성막 공정 S301이 소정 횟수 실시되지 않았을 때("아니오" 판정일 때)는, 성막 공정 S301의 사이클을 반복하고, 소정 횟수 실시되었을 때("예" 판정일 때)는 성막 공정 S301을 종료한다.
(제2 압력 조절·온도 조절 공정 S208)
처리실(201)이 소정의 압력으로 되도록, 밸브(136a, 136b)를 개방하고, MFC(135a, 135b)를 조절해서 소정의 유량으로 N2 가스를 공급하고, 소정의 압력 센서(도시하지 않음)가 계측한 압력값에 기초하여, 압력 조정기(227)를 제어한다. 또한, 온도 센서(도시하지 않음)가 검출한 온도 값에 기초하여, 처리실(201)이 소정의 온도로 되도록 히터(213)에의 전력을 제어한다. 예를 들어, 처리실(201)의 압력은, 제1 압력 조절·온도 조절 공정 S202의 게이트 밸브(1490)의 개방 시와 동일한 압력으로 설정하고, 히터(213)의 온도는, 아이들 시의 온도가 되도록 설정한다. 또한, 동일 온도 조건에서 다음의 웨이퍼(200)를 연속 처리하는 경우에는, 히터(213)의 온도를 유지해도 된다.
(기판 반출 공정 S209)
계속해서, 기판 지지부(210)를 승강 기구(218)에 의해 하강시켜, 리프트 핀(207)을 관통 구멍(214)으로부터 기판 지지부(210)의 상면측으로 돌출시켜, 웨이퍼(200)를 리프트 핀(207) 상에 적재시킨 상태로 한다. 게이트 밸브(1490)를 개방하고, 트위저 등의 반송 기구(도시하지 않음)를 사용하여, 기판 반입출구(1480)를 통해서 이동 탑재실(203) 밖으로 반송하고, 게이트 밸브(1490)를 닫는다.
<제2 실시 형태>
이하, 본 개시의 제2 실시 형태를 도면에 입각해서 설명한다.
본 개시의 제2 실시 형태의 기판 처리 장치(100A)는, 제1 실시 형태의 기판 처리 장치(100)와는 제1 플라스마 유닛의 구성이 다르지만 그 밖에는 마찬가지이다. 이하, 제1 플라스마 유닛을 중심으로 설명한다.
도 5에 도시한 바와 같이, 상부 용기(202a)의 외측에 배치된 제1 플라스마 유닛(270c)은, 도전성의 금속 파이프로 이루어지는 7 내지 8권취의 스파이럴 형상으로 선회한 코일 전극(253a)과, 도전성의 금속판에 의해 구성되는 원통체 형상의 전자파 실드(254a)로 구성되어 있다. 고주파 전원(252a)으로부터의 고주파 전력은, 코일 전극(253a)의 밑에서부터 1/8 내지 1/2권취째에 접속된 정합기(251a)와, 코일 전극(253a)의 양단 부근과 전자파 실드(254a)가 접속된 접지부를 통해서 공급된다. 고주파 전원(252a)이 공급하는 고주파는, 그것이 만드는 파장을 코일 전극(253a)의 전체 길이와 거의 동일해지도록 설정함으로써, 정재파 공진 모드에서 발생하는 강한 교류 전류와 약한 교류 전압의 개소를, 코일 전극(253a)의 밑에서부터 1권취째 부근, 4권취째 부근과 7권취째 부근에 동시에 만들 수 있다. 따라서, 코일 전극(253a)의 밑에서부터 2권취째 부근, 3권취째 부근, 5권취째 부근과 6권취째 부근을 상부 용기(202a)로부터 멀어지게 함으로써, 강한 교류 전압의 발생 개소를 플라스마로부터도 멀어지게 할 수 있어, 상부 용기(202a)의 내벽을 향해서 나아가는 플라스마 이온의 가속을 억제할 수 있다. 처리실(201)에 반응 가스를 공급하면, 코일 전극(253a)이 만드는 교류 자장에 유도되어, 코일 전극(253a)의 밑에서부터 1권취째 부근, 4권취째 부근과 7권취째 부근에 유도 결합 플라스마(Inductively Coupled Plasma, 약칭: ICP)가 지배적으로 생성된다. 이들에 의해, 상부 용기(202a)의 내벽의 스퍼터링 혹은 에칭을 억제하면서 플라스마 밀도를 높일 수 있어, 반응 가스의 활성종의 생성량을 대폭 향상시킬 수 있다.
이상, 본 개시의 실시 형태를 구체적으로 설명했지만, 본 개시는 상술한 실시 형태에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
상술에서는, 원료 가스를 공급한 후에 반응 가스를 공급하고, 그것들을 교대로 공급해서 성막하는 방법에 대해서 기재했지만, 예를 들어 원료 가스와 반응 가스의 공급 순서는 역이어도 되고, 또한 원료 가스와 반응 가스의 공급 타이밍이 겹치는 방법도 적용 가능하다. 이렇게 공급 방법을 바꿈으로써, 형성되는 막의 막질이나 조성비를 변화시키는 것이 가능하게 된다.
또한, 상술에서는, 원료 가스로서 실리콘 함유 가스인 DCS 가스를, 반응 가스로서 질소 함유 가스인 NH3 가스를 사용하여, 실리콘 질화막을 형성하는 예를 나타냈지만, 다른 가스를 사용해서 산소 함유나 탄소 함유의 성막에도 적용 가능하다. 구체적으로는, 웨이퍼(200) 상에 실리콘 산화막(SiO막), 실리콘 탄화막(SiC막), 실리콘 산탄화막(SiOC막), 실리콘 산탄질화막(SiOCN막), 실리콘 산질화막(SiON막) 등의 Si계 산화막이나 Si계 탄화막을 형성하는 경우에도, 적합하게 적용 가능하다.
원료 가스로서는, DCS 가스 이외에, 예를 들어 모노클로로실란(SiH3Cl, 약칭: MCS) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스, 옥타클로로트리실란(Si3Cl8, 약칭: OCTS) 가스 등의 무기계 할로실란 원료 가스나, 테트라키스디메틸아미노실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스, 트리스디메틸아미노실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스, 비스디메틸아미노실란(Si[N(CH3)2]2H2, 약칭: BDMAS) 가스, 비스디에틸아미노실란(Si[N(C2H5)2]2H2, 약칭: BDEAS) 가스, 비스tert-부틸아미노실란(SiH2[NH(C4H9)]2, 약칭: BTBAS) 가스, 디메틸아미노실란(DMAS) 가스, 디에틸아미노실란(DEAS) 가스, 디프로필아미노실란(DPAS) 가스, 디이소프로필아미노실란(DIPAS) 가스, 부틸아미노실란(BAS) 가스, 헥사메틸디실라잔(HMDS) 가스 등의 각종 아미노실란 원료 가스나, 모노메틸실란(Si(CH3)H3, 약칭: MMS) 가스, 디메틸실란(Si(CH3)2H2, 약칭: DMS) 가스, 트리메틸실란(Si(CH3)3H, 약칭: 3MS) 가스, 테트라메틸실란(Si(CH3)4, 약칭: 4MS) 가스, 1,4디실란부탄(약칭: 1,4DSB) 가스 등의 각종 유기계 실란 원료 가스나, 모노실란(SiH4, 약칭: MS) 가스, 디실란(Si2H6, 약칭: DS) 가스, 트리실란(Si3H8, 약칭: TS) 가스 등의 할로겐기 비함유의 무기계 실란 원료 가스를 적합하게 사용할 수 있다.
또한, 아미노실란 원료란, 아미노기를 갖는 실란 원료이며, 또한 메틸기나 에틸기나 부틸기 등의 알킬기를 갖는 실란 원료이기도 하고, 적어도 Si, 질소(N) 및 탄소(C)를 포함하는 원료이다. 즉, 여기에서 말하는 아미노실란 원료는, 유기계의 원료라고도 할 수 있고, 유기 아미노실란 원료라고도 할 수 있다.
반응 가스로서는, NH3 가스 이외에, 예를 들어 질소 가스, 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8 가스 등의 질소 함유 가스를 적합하게 사용할 수 있다.
또한, 그 밖의 질소 함유 가스로서는, 아민계 가스를 사용할 수도 있다. 또한, 아민계 가스란, 아민기를 포함하는 가스이며, 적어도 탄소(C), 질소(N) 및 수소(H)를 포함하는 가스이다. 아민계 가스는, 에틸아민, 메틸아민, 프로필아민, 이소프로필아민, 부틸아민, 이소부틸아민 등의 아민을 포함한다. 여기서, 아민이란, 암모니아(NH3)의 수소 원자를 알킬기 등의 탄화수소기로 치환한 형태의 화합물의 총칭이다. 즉, 아민은, 알킬기 등의 탄화수소기를 포함한다. 아민계 가스는, 실리콘(Si)을 포함하고 있지 않으므로 실리콘 비함유의 가스라고도 할 수 있고, 나아가 실리콘 및 금속을 포함하고 있지 않으므로 실리콘 및 금속 비함유의 가스라고도 할 수 있다. 아민계 가스로서는, 예를 들어 트리에틸아민((C2H5)3N, 약칭: TEA), 디에틸아민((C2H5)2NH, 약칭: DEA), 모노에틸아민(C2H5NH2, 약칭: MEA) 등의 에틸아민계 가스, 트리메틸아민((CH3)3N, 약칭: TMA), 디메틸아민((CH3)2NH, 약칭: DMA), 모노메틸아민(CH3NH2, 약칭: MMA) 등의 메틸아민계 가스, 트리프로필아민((C3H7)3N, 약칭: TPA), 디프로필아민((C3H7)2NH, 약칭: DPA), 모노프로필아민(C3H7NH2, 약칭: MPA) 등의 프로필아민계 가스, 트리이소프로필아민([(CH3)2CH]3N, 약칭: TIPA), 디이소프로필아민([(CH3)2CH]2NH, 약칭: DIPA), 모노이소프로필아민((CH3)2CHNH2, 약칭: MIPA) 등의 이소프로필아민계 가스, 트리부틸아민((C4H9)3N, 약칭: TBA), 디부틸아민((C4H9)2NH, 약칭: DBA), 모노부틸아민(C4H9NH2, 약칭: MBA) 등의 부틸아민계 가스, 또는, 트리이소부틸아민([(CH3)2CHCH2]3N, 약칭: TIBA), 디이소부틸아민([(CH3)2CHCH2]2NH, 약칭: DIBA), 모노이소부틸아민((CH3)2CHCH2NH2, 약칭: MIBA) 등의 이소부틸아민계 가스를 바람직하게 사용할 수 있다. 즉, 아민계 가스로서는, 예를 들어 (C2H5)xNH3-x, (CH3)xNH3-x, (C3H7)xNH3-x, [(CH3)2CH]xNH3-x, (C4H9)xNH3-x, [(CH3)2CHCH2]xNH3-x(식 중, x는 1 내지 3의 정수) 중 적어도 1종의 가스를 바람직하게 사용할 수 있다. 아민계 가스는, SiN막이나 SiCN막이나 SiOCN막 등을 형성할 때의 질소원(질소 소스)으로서 작용함과 함께 탄소원(카본 소스)으로서도 작용한다. 질소 함유 가스로서 아민계 가스를 사용함으로써, 막 내의 탄소 성분을 증가시키는 방향으로 제어하는 것이 가능하게 된다.
그 밖의 반응 가스로서는, 예를 들어 산화제(산화 가스), 즉, 산소 소스로서 작용하는 산소 함유 가스를 적용할 수 있다. 예를 들어, 산소(O2) 가스, 수증기(H2O 가스), 아산화질소(N2O) 가스, 일산화질소(NO) 가스, 이산화질소(NO2) 가스, 오존(O3) 가스, 과산화수소(H2O2) 가스, 수증기(H2O 가스), 일산화탄소(CO) 가스, 이산화탄소(CO2) 가스 등의 산소 함유 가스를 적합하게 사용할 수 있다.
본 개시는, 반금속 원소를 포함하는 반금속계 막이나 금속 원소를 포함하는 금속계 막을 형성하는 경우에, 적합하게 적용할 수 있다. 이들의 성막 처리의 처리 수순, 처리 조건은, 상술한 실시 형태나 변형예에 나타내는 성막 처리와 마찬가지의 처리 수순, 처리 조건으로 할 수 있다. 이들 경우에도, 상술한 실시 형태와 마찬가지의 효과가 얻어진다.
또한, 본 개시는, 웨이퍼(200) 상에 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈륨(Ta), 니오븀(Nb), 알루미늄(Al), 몰리브덴(Mo), 텅스텐(W) 등의 금속 원소를 포함하는 금속계 산화막이나 금속계 질화막을 형성하는 경우에도, 적합하게 적용 가능하다. 즉, 본 개시는, 웨이퍼(200) 상에 TiO막, TiOC막, TiOCN막, TiON막, TiN막, TiCN막, ZrO막, ZrOC막, ZrOCN막, ZrON막, ZrN막, ZrCN막, HfO막, HfOC막, HfOCN막, HfON막, HfN막, HfCN막, TaO막, TaOC막, TaOCN막, TaON막, TaN막, TaCN막, NbO막, NbOC막, NbOCN막, NbON막, NbN막, NbCN막, AlO막, AlOC막, AlOCN막, AlON막, AlN막, AlCN막, MoO막, MoOC막, MoOCN막, MoON막, MoN막, MoCN막, WO막, WOC막, WOCN막, WON막, WN막, WCN막 등을 형성하는 경우에도, 적합하게 적용하는 것이 가능하게 된다.
이들 경우, 예를 들어 원료 가스로서, 테트라키스(디메틸아미노)티타늄(Ti[N(CH3)2]4, 약칭: TDMAT) 가스, 테트라키스(에틸메틸아미노)하프늄(Hf[N(C2H5)(CH3)]4, 약칭: TEMAH) 가스, 테트라키스(에틸메틸아미노)지르코늄(Zr[N(C2H5)(CH3)]4, 약칭: TEMAZ) 가스, 트리메틸알루미늄(Al(CH3)3, 약칭: TMA) 가스, 티타늄테트라클로라이드(TiCl4) 가스, 하프늄테트라클로라이드(HfCl4) 가스 등을 사용할 수 있다.
또한, 상술에서는, 성막 처리에 대해서 기재했지만, 다른 처리에도 적용 가능하다. 예를 들어, 플라스마를 사용한 확산 처리, 산화 처리, 질화 처리, 산질화 처리, 환원 처리, 산화 환원 처리, 에칭 처리, 가열 처리 등이 있다. 또한, 반응 가스만을 사용하여, 기판 표면이나 기판에 형성된 막을 플라스마 산화 처리나, 플라스마 질화 처리나, 플라스마 개질 처리를 행할 때도 본 개시를 적용할 수 있다. 또한, 반응 가스만을 사용한 플라스마 어닐 처리에도 적용할 수 있다.
또한, 상술에서는, 반도체 장치의 제조 공정에 대해서 기재했지만, 실시 형태에 따른 개시는, 반도체 장치의 제조 공정 이외에도 적용 가능하다. 예를 들어, 액정 디바이스의 제조 공정, 태양 전지의 제조 공정, 발광 디바이스의 제조 공정, 유리 기판의 처리 공정, 세라믹 기판의 처리 공정, 도전성 기판의 처리 공정 등의 기판 처리가 있다.
또한, 상술에서는, 하나의 처리실에서 1매의 기판을 처리하는 장치 구성을 나타냈지만, 이에 한정하지 않고, 복수매의 기판을 수평 방향 또는 수직 방향으로 배열한 장치이어도 된다.
성막 처리에 사용되는 레시피는, 처리 내용에 따라 개별로 준비하여, 전기 통신 회선이나 외부 기억 장치(262)를 통해서 기억 장치(260c) 내에 저장해 두는 것이 바람직하다. 그리고, 각종 처리를 개시할 때, CPU(260a)가, 기억 장치(260c) 내에 저장된 복수의 레시피 중에서, 처리 내용에 따라 적정한 레시피를 적절히 선택하는 것이 바람직하다. 이에 의해, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 박막을 범용적이면서 또한 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 부담을 저감할 수 있어, 조작 미스를 회피하면서, 각종 처리를 신속하게 개시할 수 있게 된다.
상술한 레시피는, 새롭게 작성하는 경우에 한하지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 변경함으로써 준비해도 된다. 레시피를 변경하는 경우에는, 변경 후의 레시피를, 전기 통신 회선이나 당해 레시피를 기록한 기록 매체를 통해서, 기판 처리 장치에 인스톨해도 된다. 또한, 기존의 기판 처리 장치가 구비하는 입출력 장치(261)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 직접 변경하도록 해도 된다.
200: 웨이퍼(기판)
201: 처리실
113: 제1 처리 가스 공급관
123: 제2 처리 가스 공급관
270a: 제1 플라스마 유닛
270b: 제2 플라스마 유닛

Claims (12)

  1. 기판을 처리하는 처리실과,
    상기 처리실 내에 대하여 처리 가스를 공급하는 가스 공급계와,
    상기 처리실의 외주에 권회하도록 마련되어, 상기 처리실 내에서 상기 처리 가스의 플라스마를 생성하는 제1 플라스마 유닛과,
    상기 처리실의 상부이며 내부에 돌출되도록 마련되어, 상기 처리실 내에서 상기 처리 가스의 플라스마를 생성하는 제2 플라스마 유닛
    을 갖는 기판 처리 장치.
  2. 제1항에 있어서, 상기 제2 플라스마 유닛은, 상기 처리실의 상부의 내부에 돌출되도록 마련되는 절연 부재와, 상기 절연 부재를 따르도록 마련되는 코일을 갖는, 기판 처리 장치.
  3. 제1항에 있어서, 상기 제2 플라스마 유닛은, 도전성의 금속판에 의해 구성되는 원통체 또는 직육면체의 형상의 전자파 실드에 의해 실드되는, 기판 처리 장치.
  4. 제2항에 있어서, 상기 제2 플라스마 유닛에 마련되는 상기 코일은, 상기 절연 부재로 보호된 도전성의 U자 형상인, 기판 처리 장치.
  5. 제3항에 있어서, 고주파 전원으로부터 상기 제2 플라스마 유닛에 공급되는 고주파 전력은, 코일의 일단과 접속된 정합기와, 상기 코일의 타단과 상기 전자파 실드가 접속된 접지부를 통해서 상기 코일에 공급되는, 기판 처리 장치.
  6. 제1항에 있어서, 상기 제1 플라스마 유닛은, 도전성의 스파이럴 형상의 코일과, 도전성의 원통체 형상의 전자파 실드로 구성되는, 기판 처리 장치.
  7. 제6항에 있어서, 상기 도전성의 스파이러스 형상의 코일은, 일단부터 타단까지의 사이의 소정 위치의 상기 코일의 권취 직경이 다른 위치의 상기 코일의 권취 직경과 다르도록 형성되는 코일인, 기판 처리 장치.
  8. 제6항에 있어서, 고주파 전원으로부터 상기 제1 플라스마 유닛에 공급되는 고주파 전력은, 상기 코일의 양단에 접속되는 정합기와, 상기 코일의 중간 부근과 상기 전자파 실드가 접속되는 접지부를 통해서 상기 코일에 공급되는, 기판 처리 장치.
  9. 제1항에 있어서, 상기 제1 플라스마 유닛에 영구 자석이 마련되어 있는, 기판 처리 장치.
  10. 제9항에 있어서, 상기 영구 자석은, 상기 제1 플라스마 유닛에 마련되는 코일의 상하에 마련되는, 기판 처리 장치.
  11. 기판을 처리하는 처리실과, 상기 처리실 내에 대하여 처리 가스를 공급하는 가스 공급계와, 상기 처리실의 외주에 권회하도록 마련되어, 상기 처리실 내에서 상기 처리 가스의 플라스마를 생성하는 제1 플라스마 유닛과, 상기 처리실의 상부이며 내부에 돌출되도록 마련되어, 상기 처리실 내에서 상기 처리 가스의 플라스마를 생성하는 제2 플라스마 유닛을 갖는 기판 처리 장치의 상기 처리실 내에 상기 기판을 반입하는 공정과,
    상기 처리실 내에 상기 처리 가스를 공급하는 공정과,
    상기 처리실 내의 상기 기판 상에 상기 제1 플라스마 유닛 및 상기 제2 플라스마 유닛에 의해 상기 처리 가스의 플라스마를 생성하는 공정과,
    상기 처리실로부터 상기 기판을 반출하는 공정
    을 갖는 반도체 장치의 제조 방법.
  12. 기판을 처리하는 처리실과, 상기 처리실 내에 대하여 처리 가스를 공급하는 가스 공급계와, 상기 처리실의 외주에 권회하도록 마련되어, 상기 처리실 내에서 상기 처리 가스의 플라스마를 생성하는 제1 플라스마 유닛과, 상기 처리실의 상부이며 내부에 돌출되도록 마련되어, 상기 처리실 내에서 상기 처리 가스의 플라스마를 생성하는 제2 플라스마 유닛을 갖는 기판 처리 장치의 상기 처리실 내에 상기 기판을 반입하는 수순과,
    상기 처리실 내에 상기 처리 가스를 공급하는 수순과,
    상기 처리실 내의 상기 기판 상에 상기 제1 플라스마 유닛 및 상기 제2 플라스마 유닛에 의해 상기 처리 가스의 플라스마를 생성하는 수순과,
    상기 처리실로부터 상기 기판을 반출하는 수순
    을 컴퓨터를 사용해서 상기 기판 처리 장치에 실행시키는 프로그램.
KR1020217006624A 2018-09-20 2019-03-11 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 KR20210039451A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247010013A KR20240044540A (ko) 2018-09-20 2019-03-11 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램, 플라스마 유닛 및 기판 처리 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2018-175638 2018-09-20
JP2018175638 2018-09-20
PCT/JP2019/009658 WO2020059174A1 (ja) 2018-09-20 2019-03-11 基板処理装置、半導体装置の製造方法およびプログラム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247010013A Division KR20240044540A (ko) 2018-09-20 2019-03-11 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램, 플라스마 유닛 및 기판 처리 방법

Publications (1)

Publication Number Publication Date
KR20210039451A true KR20210039451A (ko) 2021-04-09

Family

ID=69886854

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020247010013A KR20240044540A (ko) 2018-09-20 2019-03-11 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램, 플라스마 유닛 및 기판 처리 방법
KR1020217006624A KR20210039451A (ko) 2018-09-20 2019-03-11 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020247010013A KR20240044540A (ko) 2018-09-20 2019-03-11 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램, 플라스마 유닛 및 기판 처리 방법

Country Status (5)

Country Link
US (1) US12068136B2 (ko)
JP (1) JP7030204B2 (ko)
KR (2) KR20240044540A (ko)
CN (1) CN112640063B (ko)
WO (1) WO2020059174A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220189963A1 (en) * 2019-09-24 2022-06-16 Samsung Electronics Co., Ltd. Semiconductor devices and method of manufacturing the same

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023047497A1 (ja) * 2021-09-22 2023-03-30 株式会社Kokusai Electric 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム
WO2024166297A1 (ja) * 2023-02-09 2024-08-15 日新電機株式会社 プラズマ処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015092533A (ja) 2013-09-30 2015-05-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JPH0922795A (ja) * 1995-07-04 1997-01-21 Sony Corp プラズマcvd装置およびプラズマcvd方法
JP3208079B2 (ja) * 1996-02-27 2001-09-10 松下電器産業株式会社 高周波電力印加装置及びプラズマ処理装置
JP3814813B2 (ja) * 1997-09-01 2006-08-30 株式会社エフオーアイ プラズマ発生装置
JP3726477B2 (ja) * 1998-03-16 2005-12-14 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP2002075977A (ja) 2000-08-30 2002-03-15 Applied Materials Inc 成膜方法及び成膜装置
KR100858102B1 (ko) * 2004-03-26 2008-09-10 닛신덴키 가부시키 가이샤 플라즈마발생장치
KR100845285B1 (ko) * 2006-09-08 2008-07-09 삼성전자주식회사 플라즈마 생성장치 및 생성방법
JP5065725B2 (ja) 2007-03-26 2012-11-07 株式会社アルバック プラズマ処理装置
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
WO2011013458A1 (ja) * 2009-07-28 2011-02-03 シャープ株式会社 プラズマ処理装置、その使用方法およびプラズマ処理装置のクリーニング方法
WO2012032596A1 (ja) * 2010-09-06 2012-03-15 株式会社イー・エム・ディー プラズマ処理装置
JP2012114267A (ja) 2010-11-25 2012-06-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
WO2014050979A1 (ja) * 2012-09-26 2014-04-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JP6454488B2 (ja) * 2014-07-10 2019-01-16 株式会社日立ハイテクノロジーズ プラズマ処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015092533A (ja) 2013-09-30 2015-05-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220189963A1 (en) * 2019-09-24 2022-06-16 Samsung Electronics Co., Ltd. Semiconductor devices and method of manufacturing the same
US11812607B2 (en) * 2019-09-24 2023-11-07 Samsung Electronics Co., Ltd. Semiconductor devices including a liner and method of manufacturing the same

Also Published As

Publication number Publication date
JPWO2020059174A1 (ja) 2021-09-16
JP7030204B2 (ja) 2022-03-04
CN112640063B (zh) 2024-06-18
US12068136B2 (en) 2024-08-20
CN112640063A (zh) 2021-04-09
WO2020059174A1 (ja) 2020-03-26
US20210202213A1 (en) 2021-07-01
KR20240044540A (ko) 2024-04-04

Similar Documents

Publication Publication Date Title
US9735006B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US8178448B2 (en) Film formation method and apparatus for semiconductor process
US8119544B2 (en) Film formation method and apparatus for semiconductor process
KR102242146B1 (ko) 기판 처리 장치, 기판 처리 장치의 전극 및 반도체 장치의 제조 방법
KR101964797B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US12068136B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and plasma generator
US10796934B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and electrode fixing part
KR101858345B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101998463B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기록 매체 및 프로그램
US20230197408A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and plasma generating apparatus
US20240222087A1 (en) Substrate processing apparatus, plasma generating apparatus, substrate processing method, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
WO2016147296A1 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP7457818B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム、補助プレートおよび基板保持具
CN115956284A (zh) 基板处理装置、半导体装置的制造方法以及程序

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination
A107 Divisional application of patent