CN112640063A - 基板处理装置、半导体装置的制造方法及程序 - Google Patents

基板处理装置、半导体装置的制造方法及程序 Download PDF

Info

Publication number
CN112640063A
CN112640063A CN201980057746.7A CN201980057746A CN112640063A CN 112640063 A CN112640063 A CN 112640063A CN 201980057746 A CN201980057746 A CN 201980057746A CN 112640063 A CN112640063 A CN 112640063A
Authority
CN
China
Prior art keywords
gas
substrate
plasma
chamber
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980057746.7A
Other languages
English (en)
Other versions
CN112640063B (zh
Inventor
竹田刚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN112640063A publication Critical patent/CN112640063A/zh
Application granted granted Critical
Publication of CN112640063B publication Critical patent/CN112640063B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/026Shields
    • H01J2237/0266Shields electromagnetic

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

本发明提供一种技术,具有:处理室,其对基板进行处理;气体供给系统,其对处理室内供给处理气体;第一等离子体单元,其设置为卷绕在处理室的外周,并在处理室内生成处理气体的等离子体;以及第二等离子体单元,其设置为在处理室的上部向内部突出,并在处理室内生成处理气体的等离子体。

Description

基板处理装置、半导体装置的制造方法及程序
技术领域
本公开涉及一种基板处理装置、半导体装置的制造方法及程序。
背景技术
伴随着以大规模集成电路(Large Scale Integrated Circuit:以下记为LSI)、DRAM(Dynamic Random Access Memory:动态随机访问存储器)、闪存(Flash Memory)等为代表的半导体装置的高集成化,电路图案的微细化程度得以发展。在半导体装置的制造工序中,作为实现微细化的处理,有时进行应用等离子体的处理。例如有专利文献1所述的技术。
现有技术文献
专利文献
专利文献1:日本特开2015-092533号公报
发明内容
发明所要解决的课题
伴随着微细化,要求对基板面内均匀地进行处理,但是有时无法将进行了激活的气体向基板面内均匀地供给。在这种情况下,难以在基板面内形成均匀的膜。
本公开的目的是在基板面内形成均匀的膜。
用于解决课题的方案
根据一个方案,提供一种技术,具有:处理室,其对基板进行处理;气体供给系统,其对上述处理室内供给处理气体;第一等离子体单元,其设置为卷绕在上述处理室的外周,并在上述处理室内生成上述处理气体的等离子体;以及第二等离子体单元,其设置为在上述处理室的上部向内部突出,并在上述处理室内生成上述处理气体的等离子体。
发明的效果
根据本公开的技术,能够在基板面内形成均匀的膜。
附图说明
图1是本公开第一实施方式的基板处理装置的概要结构图。
图2是本公开第一实施方式的基板处理装置的控制器的概要结构图。
图3是本公开第一实施方式的表示基板处理工序的流程图。
图4是本公开第一实施方式的基板处理工序的时序例。
图5是本公开第二实施方式的基板处理装置的概要结构图。
具体实施方式
以下对本公开的实施方式进行说明。
<第一实施方式>
以下参照附图对本公开的第一实施方式进行说明。
(1)基板处理装置的结构
首先,对本公开第一实施方式的基板处理装置进行说明。
对本实施方式的基板处理装置100进行说明。基板处理装置100例如是绝缘膜形成单元,且如图1所示那样构成为单张式基板处理装置。
如图1所示,基板处理装置100具备处理容器202。处理容器202例如构成为水平截面呈圆形的扁平密闭容器。另外,处理容器202例如由铝(Al)、不锈钢(SUS)等金属材料、或者石英、氧化铝等绝缘材料构成。在处理容器202内形成有对作为基板的硅晶圆等即晶圆200进行处理的处理室201、以及移载室203。处理容器202主要由盖231、上部容器202a、下部容器202b、以及设置在上部容器202a与下部容器202b之间的分隔板204构成。此外,将由盖231、上部容器202a、分隔板204、后述的第二气体分散板单元235b、以及后述的第二等离子体单元270b围成的空间称为处理室201,并将下部容器202b所围绕的空间称为移载室203。
在下部容器202b的侧面设置有与闸阀1490相邻的基板搬入搬出口1480,晶圆200经由基板搬入搬出口1480在下部容器202b与未图示的输送室之间移动。在下部容器202b的底部设置有多个升降销207。此外,下部容器202b进行了接地。
在处理室201中设置有对晶圆200进行支撑的基板支撑部210。基板支撑部210主要具有:对晶圆200进行载置的基板载置面211、表面具有基板载置面211的基板载置台212、内置于基板载置台212的作为加热部的加热器213、以及基座电极256。在基板载置台212的与各升降销207对应的位置设置有供升降销207贯通的贯通孔214。
在基座电极256连接有偏压调整器257且构成为能够对基座电极256的电位进行调整。偏压调整器257构成为通过控制器260来调整基座电极256的电位。
基板载置台212被主轴217支撑。主轴217贯通下部容器202b的底部,并且在下部容器202b的外部与升降机构218连接。通过使升降机构218动作而使主轴217和基板载置台212升降,从而能够使基板载置面211上载置的晶圆200升降。此外,主轴217下端部的周围被波纹管219覆盖,处理室201保持气密。
在输送晶圆200时,基板载置台212下降到图1中的虚线所示的晶圆移载位置,在处理晶圆200时,基板载置台212上升到图1所示的处理位置(晶圆处理位置)。
具体而言,在使基板载置台212下降到晶圆移载位置时,升降销207的上端部通过贯通孔214从基板载置面211的上表面突出,升降销207从下方支撑晶圆200。另外,当使基板载置台212上升到晶圆处理位置时,升降销207从基板载置面211的上表面埋没,基板载置面211从下方支撑晶圆200。此外,由于升降销207与晶圆200直接接触,因此优选升降销207例如由石英、氧化铝、碳化硅等材质形成。
(排气系统)
在下部容器202b的侧部设置有对处理室201和移载室203的环境气体进行排放的排气口221。排气口221与排气管224连接,在排气管224依次串联地连接有:将处理室201控制为预定的压力的APC(Auto Pressure Controller:自动压力控制器)等压力调整器227和真空泵223。
(气体导入口)
在分隔板204的侧部设置有用于向处理室201供给各种气体的第一气体导入口241a。另外,在处理室201的上部设置有用于向处理室201供给各种气体的第二气体导入口241b。对于与第一气体供给部即第一气体导入口241a和第二气体供给部即第二气体导入口241b连接的各气体供给单元的结构将在后面叙述。
(气体分散单元)
就作为使气体分散的机构的第一气体分散单元235a而言,具有由第一缓冲室232a和多个第一分散孔234a构成的环状的形状,且与分隔板204相邻配置。同样地,第二气体分散单元235b具有由第二缓冲室232b和多个第二分散孔234b构成的环状的形状,且配置在盖231与后述的第二等离子体单元270b之间。就从第一气体导入口241a导入的第一气体而言,向第一气体分散单元235a的第一缓冲室232a供给,并经由多个第一分散孔234a向处理室201供给。就从第二气体导入口241b导入的第二气体而言,向第二气体分散单元235b的第二缓冲室232b供给,并经由多个第二分散孔234b向处理室201供给。
(第一等离子体单元)
对于以在上部容器202a的外周卷绕的方式配置的第一等离子体单元270a而言,构成为包括:由导电性的金属管构成的一匝至十匝的螺旋形状的线圈电极(线圈)253a、和由导电性的金属板构成的圆筒体形状的电磁波屏蔽体254a。来自高频电源252a的高频电力经由如下部分进行供给,即:与线圈电极253a的两端并联连接的匹配器251a、以及与线圈电极253a的中间附近和电磁波屏蔽体254a连接的接地部。当向处理室201供给反应气体时,则在线圈电极253a所生成的交流磁场中发生感应,生成感应耦合等离子体(InductivelyCoupled Plasma、简称:ICP)。能够根据需要向线圈电极253a的上下导入永久磁铁255,作为生成等离子体的辅助。此时,永久磁铁255生成的直流磁场B可生成:作为线圈电极253a感应生成的等离子体电流J发挥作用而生成的JxB漂移模式的等离子体、作为从线圈电极253a生成的交流电场E发挥作用而生成的ExB漂移模式的等离子体。由此,能够提升等离子体密度,大幅提高反应气体的活性种的生成量。此外,虽然也能够取代线圈电极253a而采用利用平板电极的电容耦合等离子体(Capactively Coupled Plasma、简称:CCP),但是作为永久磁铁255的磁场发挥作用而生成的等离子体仅为ExB漂移模式。通过在第一等离子体单元270a中设置永久磁铁255作为生成等离子体的辅助,从而在永久磁铁255的磁场中补充(捕获)等离子体电子,因此处理室201侧面的等离子体电子的失活(消灭)率下降。其结果是,等离子体的生成效率提高。
(第二等离子体单元)
对于在上部容器202a上部配置且一部分向处理室201的内侧突出的第二等离子体单元270b而言,构成为包括:通过在台座272上固定的绝缘部件271进行保护的由导电性的金属管构成的U字形的线圈电极(也简称为线圈)253b、以及由导电性的金属板构成的圆筒体或长方体形状的电磁波屏蔽体254b。绝缘部件271由绝缘材料构成,且设置为向处理室201的上部的内部突出。线圈电极253b沿着绝缘部件271设置。此外,绝缘部件271采用在突出部具有圆弧的长方体形状、圆筒体形状或管状,其内外的环境气体通过真空密封而隔绝。来自高频电源252b的高频电力经由如下部分进行供给,即:与线圈电极253b的一端连接的匹配器251b、以及与线圈电极253b的另一端和电磁波屏蔽体254b连接的接地部。当向处理室201供给反应气体时,则在线圈电极253b所生成的交流磁场中发生感应,生成感应耦合等离子体(Inductively Coupled Plasma、简称:ICP)。此外,也可以取代第二等离子体单元270b而采用远程等离子体单元。
第二等离子体单元270b的一部分向处理室201的内侧突出,因此与从线圈电极253b生成的电磁场耦合(交叉)的等离子体的比例(区域)增大,从而提高等离子体的RF电力的输入效率。其结果是,等离子体的生成效率提高。
第二等离子体单元270b构成为包括:通过绝缘部件进行保护的由导电性的金属管构成的U字形的线圈电极253b、以及由导电性的金属板构成的圆筒体或长方体形状的电磁波屏蔽体254b,因此,与线圈电极的绝缘保护材料的表面平行的线圈电极的表面积越大,等离子体的生成效率就越高。即,在线圈电极的绝缘保护材料的形状具有曲面的情况下,线圈电极的形状也呈现与上述曲面平行的形状而具有曲面/曲率,因此等离子体的生成效率进一步提高。
线圈电极253b不限于U字形,例如也可以是圆盘状、涡卷状的线圈。第二等离子体单元270b不限于例如在与晶圆200的中心对应的位置设置一个的情况等,也可以基于等离子体分布设置多个。
就第一等离子体单元的线圈电极253a、第二等离子体单元的线圈电极253b而言,当被从高频电源252a、252b供给高频电力时,则会生成焦耳热,从而使它们的电阻值逐渐升高,容易导致进行阻抗匹配的匹配器251a、251b不稳定。因此,为了使线圈电极253a、253b的电阻值恒定,需要利用水或空气等进行冷却以使它们的温度稳定。
(气体供给系统)
第一气体导入口241a与第一气体供给管150a连接。在第一气体供给管150a连接有第一处理气体供给管113和吹扫气体供给管133a,并且向第一气体导入口241a供给后述的第一处理气体和吹扫气体。第二气体导入口241b与第二气体供给管150b连接。在第二气体供给管150b连接有第二处理气体供给管123和吹扫气体供给管133b,并且向第二气体导入口241b供给后述的第二处理气体和吹扫气体。
(第一处理气体供给系统)
在第一处理气体供给系统中设置有:第一处理气体供给管113、质量流量控制器(MFC)115、阀门116。此外,也可以构成为将第一处理气体源包含于第一处理气体供给系统。另外,在处理气体的原料为液体、固体的情况下,也可以设置气化器。
(第二处理气体供给系统)
在第二处理气体供给系统中设置有:第二处理气体供给管123、MFC125、阀门126。此外,也可以构成为将第二处理气体源包含于第二处理气体供给系统。
(吹扫气体供给系统)
在吹扫气体供给系统中设置有两个系统,即:由吹扫气体供给管133a、MFC135a、和阀门136a构成的系统;以及由吹扫气体供给管133b、MFC135b、和阀门136b构成的系统。此外,也可以构成为将吹扫气体源包含于吹扫气体供给系统。
(控制部)
如图1所示,基板处理装置100具有对基板处理装置100的各部分的动作进行控制的控制器260。
控制器260的概要如图2所示。控制部(控制装置)即控制器260由计算机构成,该计算机具备:CPU(Central Processing Unit:中央处理单元)260a、RAM(Random AccessMemory:随机访问存储器)260b、存储装置260c、I/O端口260d。RAM260b、存储装置260c、I/O端口260d构成为能够经由内部总线260e与CPU260a进行数据交换。控制器260构成为能够与例如由触控面板等构成的输入输出装置261、外部存储装置262、接收部285等连接。
存储装置260c例如由闪存、HDD(Hard Disk Drive:硬盘驱动器)等构成。在存储装置260c内以可读取的方式存储有:对基板处理装置100的动作进行控制的控制程序、记载有后述的基板处理的步骤或条件等的工艺配方、在到设定用于对晶圆200的处理的工艺配方为止的过程中生成的运算数据、处理数据等。此外,工艺配方是为了使控制器260执行后述的基板处理工序中的各步骤以获得预定的结果而进行组合并作为程序发挥功能。以下也对该程序配方、控制程序等进行统称而简称为程序。此外,在本说明书中,“程序”这一用语的含义包括:仅指程序配方一方、仅指控制程序一方、或者是指这两方。另外,RAM260b构成为能够将通过CPU260a读取的程序、运算数据、处理数据等的数据暂时地保持的存储区域(工作区)。
I/O端口260d与闸阀1490、升降机构218、加热器213、压力调整器227、真空泵223、匹配器251a、251b、高频电源252a、252b、MFC115、125、135a、135b、阀门116、126、136a、136b、偏压调整器257等连接。
作为运算部的CPU260a构成为能够从存储装置260c读取控制程序并执行,并且根据从输入输出装置261输入的操作命令等而从存储装置260c读取工艺配方。并且构成为,能够对从接收部285输入的设定值、与在存储装置260c中存储的工艺配方或控制数据进行比较/运算,从而计算出运算数据。并且构成为,能够根据运算数据来执行对应的处理数据(工艺配方)的决定处理等。并且,CPU260a构成为按照读取的工艺配方的内容对以下各项进行控制,即:闸阀1490的开闭动作、升降机构218的升降动作、对加热器213的供电动作、压力调整器227的压力调整动作、真空泵223的通断动作、MFC115、125、135a、135b的气体流量控制动作、通过阀门116、126、136a、136b对气体的通断动作、匹配器251a、251b的电力匹配控制、高频电源252a、252b的电力控制、通过偏压调整器257对基座电极256的电位控制。
此外,控制器260不限于由专用计算机构成的情况,也可以由通用计算机构成。例如,可以通过准备存储有上述程序的外部存储装置(例如,磁带、软盘或硬盘等磁盘、CD或DVD等光盘、MO等光磁盘、USB存储器或存储卡等半导体存储器)262,并利用该外部存储装置262将程序安装于通用计算机等来构成本实施方式的控制器260。此外,用于向计算机提供程序的手段不限于经由外部存储装置262提供的情况。例如,也可以使用接收部285或网络263(互联网或专用线路)等通信手段来提供程序而不使用外部存储装置262。此外,存储装置260c或外部存储装置262构成为计算机能够读取的存储介质。以下也对这些进行统称而简称为存储介质。此外,在本说明书中,“存储介质”这一用语的含义包括:仅指存储装置260c一方、仅指外部存储装置262一方、或者是指这两方。
(2)基板处理工序
接下来参照图3和图4对作为半导体装置(半导体器件)的制造工序中的一个工序而使用上述的基板处理装置100在基板上形成绝缘膜、例如是作为氮化膜的氮化硅(SiN)膜的流程和时序例进行说明。此外,在以下的说明中,构成基板处理装置100的各部分的动作通过控制器260进行控制。
在本说明书中,“晶圆”这一用语的含义包括:仅指晶圆本身、指晶圆与在其表面上形成的处理的层或膜的层叠体。在本说明书中,“晶圆的表面”这一用语的含义包括:指晶圆本身的表面、指在晶圆上形成的预定的层等的表面。在本说明书中,“在晶圆上形成预定的层”的含义包括:在晶圆本身的表面上直接形成预定的层、在晶圆上形成的层等之上形成预定的层。在本说明书中,“基板”这一用语的含义与“晶圆”这一用语的含义相同。
以下对基板处理工序进行说明。
(基板搬入工序S201)
在进行成膜处理时,首先将晶圆200搬入处理室201。具体而言,利用升降机构218使基板支撑部210下降,成为升降销207从贯通孔214向基板支撑部210的上表面侧突出的状态。另外,将处理室201、移载室203调整为预定的压力,之后使闸阀1490开放,使用夹钳等输送机构(未图示),通过基板搬入搬出口1480将晶圆200载置于升降销207上。在将晶圆200载置于升降销207上之后关闭闸阀1490,利用升降机构218使基板支撑部210上升到预定的位置,从而使晶圆200从升降销207载置于基板支撑部210。
(第一调压/调温工序S202)
接下来,为了使处理室201达到预定的压力,使阀门136a、136b打开,对MFC135a、135b进行调节,从而以预定的流量供给N2气,并经由排气口221对处理室201的环境气体进行排放。此时,基于压力传感器(未图示)测量的压力值,对压力调整器227的阀开度进行反馈控制。另外,基于温度传感器(未图示)检测的温度值,对向加热器213的供电进行反馈控制,以使得处理室201达到预定的温度。具体而言,利用加热器213预先对基板支撑部210进行加热,并在晶圆200或者基板支撑部210的温度达到稳定之后放置恒定时间。当在此期间有处理室201中残留的水分或者来自部件的脱离气体等时,利用N2气等进行吹扫可有效地将它们除去。到此为止完成了成膜处理前的准备。此外,也可以在将处理室201设定为预定的压力之前,进行真空排气直到成为可达到的真空度为止。
此时的加热器213的温度从待机时的温度起,在100~600℃、优选为150~500℃、更优选为250~450℃的范围内,设定为恒定的温度。
另外,利用偏压调整器257向基座电极256施加电压,以使得晶圆200的电位达到预定的电位。
(成膜工序S301)
接下来,对在晶圆200上形成SiN膜的例子进行说明。参照图3、图4对成膜工序S301进行详细说明。
将晶圆200载置于基板支撑部210,在处理室201的环境气体稳定之后,执行图3、图4所示的S203~S207的工序。
(第一处理气体供给工序S203)
在第一处理气体供给工序S203中,从第一处理气体供给系统向处理室201供给作为第一处理气体(原料气体)的二氯硅烷(SiH2Cl2,dichlorosilane:DCS)气体。具体而言,打开阀门116,利用MFC115对从处理气体供给源供给的第一处理气体进行流量调整,之后向基板处理装置100供给。进行了流量调整的第一处理气体通过第一气体分散单元235a的第一缓冲室232a,从多个第一分散孔234a向负压状态的处理室201供给。另外,通过排气系统持续进行处理室201的排气,并对压力调整器227进行控制,以使得处理室201的压力达到预定的压力范围(第一压力)。此时,以预定的压力(第一压力:例如100Pa以上10kPa以下)向处理室201供给第一处理气体。这样,通过供给第一处理气体,从而在晶圆200上形成含硅层。这里所说的含硅层是指含硅(Si)或者包含硅和氯(Cl)的层。
(第一吹扫工序S204)
在第一吹扫工序S204中,当在晶圆200上形成含硅层之后,关闭第一处理气体供给管113的阀门116,停止第一处理气体的供给。通过使真空泵223的动作持续并停止第一处理气体,从而使处理室201中存在的第一处理气体、反应副产物等残留气体、第一缓冲室232a中残留的处理气体从真空泵223排放来进行吹扫。
在此,打开吹扫气体供给系统的阀门136a,对MFC135a进行调整,供给作为吹扫气体的N2气,从而能够将第一缓冲室232a的残留气体挤出,另外,晶圆200上的第一处理气体、反应副产物等残留气体的除去效率提高。此时,也可以组合其它的吹扫气体供给系统,也可以构成为交替地供给、停止吹扫气体。
经过预定的时间之后,关闭阀门136a,停止吹扫气体的供给。此外,也可以保持阀门136a打开的状态,持续吹扫气体的供给。通过持续向第一缓冲室232a供给吹扫气体,从而能够抑制在其它工序中其它工序的处理气体进入第一缓冲室232a。
另外,此时向处理室201、第一缓冲室232a供给的吹扫气体的流量也不需要大流量,例如可以供给与处理室201的容积相同程度的量,从而能够进行避免在后续工序中产生不良影响的程度的吹扫。这样,不是对处理室201完全地进行吹扫,从而能够缩短吹扫时间,提高制造生产率。另外,也能够将吹扫气体的消耗抑制为最小必要限度。
此时的加热器213的温度设定为与向晶圆200供给第一处理气体时同样的温度。从吹扫气体供给系统供给的吹扫气体的供给流量例如为100~10000sccm的范围内的流量。作为吹扫气体,除了N2气之外,也可以使用Ar、He、Ne、Xe等稀有气体,另外,也可以对它们进行组合。
(第二处理气体供给工序S205)
在第二处理气体供给工序S205中,打开第二处理气体供给系统的阀门126,经由第二气体分散单元235b的第二缓冲室232b和多个第二分散孔234b,向负压状态下的处理室201供给氨气(NH3),作为第二处理气体(化学结构(分子结构)与第一处理气体不同的作为第二处理气体的反应气体)。此时,通过排气系统持续进行处理室201的排气,以使得第二处理气体成为预定流量的方式对MFC125进行调整(例如100sccm以上5000sccm以下),以使得处理室201成为预定压力的方式对压力调整器227进行控制(第二压力:例如1Pa以上200Pa以下)。
此外,从高频电源252a、252b经由匹配器251a、251b向第一等离子体单元270a的线圈电极253a和第二等离子体单元270b的线圈电极253b供给高频电力。此时的高频电力以使得处理室201的等离子体分布在晶圆200上的水平方向上均匀的方式,通过高频电源252a和高频电源252b适当地进行分配。虽然如图4所示那样,在供给第二处理气体的同时开始供给高频电力,但是也可以构成为从开始供给第二处理气体之前起供给高频电力,并在其后也持续供给高频电力。通过供给高频电力,从而能够在晶圆200上生成第二处理气体的等离子体。由此,能够将进行了激活(激励)的第二处理气体的活性种向含硅层供给,能够在低温下对含硅层实施氮化处理。
在此,从高频电源252a向第一等离子体单元270a供给的电力为1000~5000W、并优选为3000~5000W、更优选为3500~4500W。若小于1000W,则CCP模式的等离子体为支配性,因此活性种的生成量非常低。因此,晶圆的处理速度非常低。另外,若超过5000W,则等离子体在由石英材料构成的反应室的内壁强烈地开始溅射,因此会供给对于晶圆200上的膜(SiO膜以外的膜)而言不希望的Si、O等的材料。
另外,从高频电源252b向第二等离子体单元270b供给的电力为100~2000W、并优选为500~1000W。若小于100W,则CCP模式的等离子体为支配性,因此活性种的生成量非常低。因此,晶圆的处理速度非常低。另外,若超过1000W,则等离子体在石英保护部件的外壁(反应室侧)强烈地开始溅射,因此会供给对于基板上的膜(SiO膜以外的膜)而言不希望的Si、O等的材料。
另外,等离子体处理时间为60~600秒、并优选为120~300秒。若不足60秒,则无法达到足够的膜厚。另外,若超过600秒,则会由于晶圆200面内或晶圆200上的台阶而对膜的均匀性产生不良影响,并且导致晶圆200受损。
此外,通过利用偏压调整器257对在基板载置台212内设置的基座电极256的电位进行调整,从而能够对等离子体带电粒子向晶圆200的供给量进行控制。例如,在对晶圆200表面进行了台阶加工的情况下,能够抑制等离子体带电粒子的供给量,从而有效地提高成膜的覆盖率。
若将进行了激活的第二处理气体的活性种向在晶圆200上形成的含硅层供给,则也可实施分子键缺损的恢复、杂质的脱离等含硅层改性处理。例如,可根据处理室201的压力、基于MFC125的第二处理气体的流量、基于加热器213的晶圆200的温度、高频电源252a、252b的电力、基于偏压调整器257的基座电极256的电位等,以预定的分布、预定的深度、预定的氮组成比对含硅层实施氮化处理、改性处理。
经过预定的时间之后,关闭第二处理气体供给系统的阀门126,停止第二处理气体的供给。
此时的加热器213的温度设定为与向晶圆200供给第一处理气体时同样的温度。
(第二吹扫工序S206)
在第二吹扫工序S206中,当在晶圆200上形成含氮层之后,关闭第二处理气体供给管123的阀门126,停止第二处理气体的供给。通过使真空泵223的动作持续并停止第二处理气体,从而使处理室201中存在的第二处理气体、反应副产物等残留气体、第二缓冲室232b中残留的处理气体从真空泵223排放来进行吹扫。
在此,打开吹扫气体供给系统的阀门136b,对MFC135b进行调整,供给作为吹扫气体的N2气,从而能够将第二缓冲室232b的残留气体挤出,另外,晶圆200上的第二处理气体、反应副产物等残留气体的除去效率提高。此时,也可以组合其它的吹扫气体供给系统,也可以构成为交替地供给、停止吹扫气体。
经过预定的时间之后,关闭阀门136b,停止吹扫气体的供给。此外,也可以保持阀门136b打开的状态,持续吹扫气体的供给。通过持续向第二缓冲室232b供给吹扫气体,从而能够抑制在其它工序中其它工序的处理气体进入第二缓冲室232b。
另外,此时向处理室201、第二缓冲室232b供给的吹扫气体的流量也不需要大流量,例如可以供给与处理室201的容积相同程度的量,从而能够进行避免在后续工序中产生不良影响的程度的吹扫。这样,不是对处理室201完全地进行吹扫,从而能够缩短吹扫时间,提高制造生产率。另外,也能够将吹扫气体的消耗抑制为最小必要限度。
此时的加热器213的温度设定为与向晶圆200供给第二处理气体时同样的温度。从吹扫气体供给系统供给的吹扫气体的供给流量例如为100~10000sccm的范围内的流量。作为吹扫气体,除了N2气之外,也可以使用Ar、He、Ne、Xe等稀有气体,另外,也可以对它们进行组合。
(判定工序S207)
在吹扫工序S206结束后,控制器260判定上述的成膜工序S301(S203~S206)是否执行了预定的循环数n。即,判定是否在晶圆200上形成了所需厚度的膜。将上述的成膜工序S301(S203~S206)作为一个循环,并使该循环进行至少一次以上,从而能够在晶圆200上形成预定膜厚的SiN膜。此外,上述的循环优选重复进行多次。由此,在晶圆200上形成预定膜厚的SiN膜。
在判定工序S207中,当判定为成膜工序S301未实施预定次数时(判定为否(No)时),则重复进行成膜工序S301的循环,当判定为实施了预定次数时(判定为是(Yes)时),则结束成膜工序S301。
(第二调压/调温工序S208)
为了使处理室201达到预定的压力,使阀门136a、136b打开,对MFC135a、135b进行调节,从而以预定的流量供给N2气,基于预定的压力传感器(未图示)测量的压力值来控制压力调整器227。另外,基于温度传感器(未图示)检测的温度值,以使得处理室201达到预定的温度的方式,来控制对加热器213的供电。例如,处理室201的压力设定为与第一调压/调温工序S202的闸阀1490开放时相同的压力,加热器213的温度设定为待机时的温度。此外,在以相同的温度条件对后续的晶圆200进行连续处理的情况下,也可以维持加热器213的温度。
(基板搬出工序S209)
接下来,利用升降机构218使基板支撑部210下降,使升降销207从贯通孔214向基板支撑部210的上表面侧突出,使晶圆200成为载置于升降销207上的状态。使闸阀1490开放,使用夹钳等输送机构(未图示),通过基板搬入搬出口1480将晶圆200向移载室203外输送,并关闭闸阀1490。
<第二实施方式>
以下参照附图对本公开的第二实施方式进行说明。
本公开第二实施方式的基板处理装置100A与第一实施方式的基板处理装置100的区别在于第一等离子体单元的结构,其它方面相同。下面以第一等离子体单元为中心进行说明。
如图5所示,对于在上部容器202a的外侧配置的第一等离子体单元270c而言,构成为包括:由导电性的金属管构成的呈七至八匝的螺旋形状卷绕的线圈电极253a、和由导电性的金属板构成的圆筒体形状的电磁波屏蔽体254a。来自高频电源252a的高频电力经由如下部分进行供给,即:从线圈电极253a的下方起连接于第1/8至1/2匝的匹配器251a、以及与线圈电极253a的两端附近和电磁波屏蔽体254a连接的接地部。高频电源252a供给的高频设定为使其生成的波长与线圈电极253a的全长大致相同,从而能够使在驻波共振模式下生成的强交流电流和弱交流电压的部位同时在从线圈电极253a的下方起第一匝附近、第四匝附近和第七匝附近生成。因此,通过使从线圈电极253a的下方起第二匝附近、第三匝附近、第五匝附近和第六匝附近远离上部容器202a,从而也能够使强交流电压的发生部位远离等离子体,并能够抑制朝向上部容器202a的内壁前进的等离子体的离子加速。当向处理室201供给反应气体时,则在线圈电极253a所生成的交流磁场中发生感应,在从线圈电极253a的下方起第一匝附近、第四匝附近和第七匝附近支配性地生成感应耦合等离子体(InductivelyCoupled Plasma、简称:ICP)。由此,能够抑制上部容器202a的内壁的溅射或者蚀刻并提高等离子体密度,能够大幅提高反应气体的活性种的生成量。
以上对本公开实施方式具体地进行了说明,但是本公开不限于上述实施方式,能够在不脱离其要旨的范围内进行各种变更。
以上对于在供给原料气体之后供给反应气体并交替地供给原料气体、反应气体来成膜的方法进行了说明,但是例如也可以使原料气体、反应气体的供给顺序相反,另外,也可以采用使原料气体、反应气体的供给时刻重叠的方法。通过这样改变供给方法,从而能够使所形成的膜的膜质、组成比发生变化。
另外,以上示出了作为原料气体采用含硅气体即DCS气体、作为反应气体采用含氮气体即NH3气来形成氮化硅膜的例子,但是也适用于采用其它气体进行含氧、含碳的成膜。具体而言,也能够很好地适用于在晶圆200上形成氧化硅膜(SiO膜)、碳化硅膜(SiC膜)、碳氧化硅膜(SiOC膜)、氮碳氧化硅膜(SiOCN膜)、氮氧化硅膜(SiON膜)等Si类氧化膜或Si类碳化膜的情况。
作为原料气体,除了DCS气体之外,例如可以采用:单氯硅烷(SiH3Cl、简称:MCS)气体、三氯硅烷(SiHCl3、简称:TCS)气体、四氯硅烷即四氯化硅(SiCl4、简称:STC)气体、六氯二硅烷(Si2Cl6、简称:HCDS)气体、八氯三硅烷(Si3Cl8、简称:OCTS)气体等无机类卤代硅烷原料气体;四(二甲基氨基)硅烷(Si[N(CH3)2]4、简称:4DMAS)气体、三(二甲基氨基)硅烷(Si[N(CH3)2]3H、简称:3DMAS)气体、双(二甲氨基)硅烷(Si[N(CH3)2]2H2、简称:BDMAS)气体、双(二乙基氨基)硅烷(Si[N(C2H5)2]2H2、简称:BDEAS)气体、双(叔丁基氨基)硅烷(SiH2[NH(C4H9)]2、简称:BTBAS)气体、二甲基氨基硅烷(DMAS)气体、二乙基氨基硅烷(DEAS)气体、二丙基氨基硅烷(DPAS)气体、二异丙基氨基硅烷(DIPAS)气体、丁基氨基硅烷(BAS)气体、六甲基二硅氮烷(HMDS)气体等各种氨基硅烷原料气体;单甲基硅烷(Si(CH3)H3、简称:MMS)气体、双甲基硅烷(Si(CH3)2H2、简称:DMS)气体、三甲基硅烷(Si(CH3)3H、简称:3MS)气体、四甲基硅烷(Si(CH3)4、简称:4MS)气体、1,4二硅丁烷(简称:1,4DSB)气体等各种有机类硅烷原料气体;甲硅烷(SiH4、简称:MS)气体、乙硅烷(Si2H6、简称:DS)气体、丙硅烷(Si3H8、简称:TS)气体等不含卤素基团的无机类硅烷原料气体。
此外,氨基硅烷原料是具有氨基的硅烷原料,并且也是具有甲基、乙基、丁基等烷基的硅烷原料,即至少包含Si、氮(N)和碳(C)的原料。即,这里所说的氨基硅烷原料,可以说是有机类的原料,也可以说是有机氨基硅烷原料。
作为反应气体,除了NH3气之外,例如也可以适用:氮气、肼(N2H2)气体、联氨(N2H4)气体、N3H8气体等含氮气体。
另外,作为其它的含氮气体,也可以适用胺类气体。此外,胺类气体是含有氨基的气体,且为至少包含碳(C)、氮(N)和氢(H)的气体。胺类气体包括乙胺、甲胺、丙胺、异丙胺、丁胺、异丁胺等的氨基。在此,胺是氨(NH3)的氢原子被烷基等烃基置换而成的化合物的统称。即,胺包含烷基等烃基。胺类气体由于不含硅(Si)而也可以称为不含硅的气体,并且由于不含硅和金属而也可以称为不含硅和金属的气体。作为胺类气体,例如优选使用:三乙胺((C2H5)3N、简称:TEA)、二乙胺((C2H5)2NH、简称:DEA)、一乙胺(C2H5NH2、简称:MEA)等乙胺类气体;三甲胺((CH3)3N、简称:TMA)、二甲胺((CH3)2NH、简称:DMA)、一甲胺(CH3NH2、简称:MMA)等甲胺类气体;三丙胺((C3H7)3N、简称:TPA)、二丙胺((C3H7)2NH、简称:DPA)、一丙胺(C3H7NH2、简称:MPA)等丙胺类气体;三异丙胺([(CH3)2CH]3N、简称:TIPA)、二异丙胺([(CH3)2CH]2NH、简称:DIPA)、一异丙胺((CH3)2CHNH2、简称:MIPA)等异丙胺类气体;三丁胺((C4H9)3N、简称:TBA)、二丁胺((C4H9)2NH、简称:DBA)、一丁胺(C4H9NH2、简称:MBA)等丁胺类气体;或者,三异丁胺([(CH3)2CHCH2]3N、简称:TIBA)、二异丁胺([(CH3)2CHCH2]2NH、简称:DIBA)、一异丁胺((CH3)2CHCH2NH2、简称:MIBA)等异丁胺类气体。即,作为胺类气体,例如优选使用:(C2H5)xNH3-x、(CH3)xNH3-x、(C3H7)xNH3-x、[(CH3)2CH]xNH3-x、(C4H9)xNH3-x、[(CH3)2CHCH2]xNH3-x(式中的x是1~3的整数)的其中至少一种气体。胺类气体作为形成SiN膜、SiCN膜、SiOCN膜等时的氮源(nitrogen source)发挥作用,并且也作为碳源(carbon source)发挥作用。作为含氮气体使用胺类气体,从而能够向使膜中的碳成分增加的方向进行控制。
作为其它的反应气体,例如可以适用氧化剂(氧化气体)、即作为氧源发挥作用的含氧气体。例如,氧气(O2)、水蒸气(H2O气体)、一氧化二氮(N2O)气体、一氧化氮(NO)气体、二氧化氮(NO2)气体、臭氧(O3)气体、过氧化氢(H2O2)气体、水蒸气(H2O气体)、一氧化碳(CO)气体、二氧化碳(CO2)气体等含氧气体。
本公开能够很好地适用于形成含有半金属元素的半金属类膜、含有金属元素的金属类膜的情况。这些成膜处理的处理步骤、处理条件可以是与上述的实施方式、变形例所示的成膜处理同样的处理步骤、处理条件。在这些情况下,也能够获得与上述的实施方式同样的效果。
另外,本公开也能够很好地适用于在晶圆200上形成含有钛(Ti)、锆(Zr)、铪(Hf)、钽(Ta)、铌(Nb)、铝(Al)、钼(Mo)、钨(W)等金属元素的金属类氧化膜、金属类氮化膜的情况。即,本公开也能够很好地适用于在晶圆200上形成TiO膜、TiOC膜、TiOCN膜、TiON膜、TiN膜、TiCN膜、ZrO膜、ZrOC膜、ZrOCN膜、ZrON膜、ZrN膜、ZrCN膜、HfO膜、HfOC膜、HfOCN膜、HfON膜、HfN膜、HfCN膜、TaO膜、TaOC膜、TaOCN膜、TaON膜、TaN膜、TaCN膜、NbO膜、NbOC膜、NbOCN膜、NbON膜、NbN膜、NbCN膜、AlO膜、AlOC膜、AlOCN膜、AlON膜、AlN膜、AlCN膜、MoO膜、MoOC膜、MoOCN膜、MoON膜、MoN膜、MoCN膜、WO膜、WOC膜、WOCN膜、WON膜、WN膜、WCN膜等的情况。
在这些情况下,例如作为原料气体可以采用:四(二甲基氨基)钛(Ti[N(CH3)2]4、简称:TDMAT)气体、四(乙基甲基氨基)铪(Hf[N(C2H5)(CH3)]4、简称:TEMAH)气体、四(乙基甲基氨基)锆(Zr[N(C2H5)(CH3)]4、简称:TEMAZ)气体、三甲基铝(Al(CH3)3、简称:TMA)气体、四氯化钛(TiCl4)气体、四氯化铪(HfCl4)气体等。
另外,虽然以上对于成膜处理进行了说明,但是也适用于其它的处理。例如有使用等离子体的扩散处理、氧化处理、氮化处理、氧氮化处理、还原处理、氧化还原处理、蚀刻处理、加热处理等。此外,在仅使用反应气体对在基板表面或基板上形成的膜进行等离子体氧化处理、等离子体氮化处理、等离子体改性处理时也能够应用本公开。另外,也能够适用于仅使用反应气体的等离子体退火处理。
另外,虽然以上对于半导体装置的制造工序进行了说明,但是实施方式的公开也适用于半导体装置的制造工序以外的其它情况。例如有液晶器件的制造工序、太阳能电池的制造工序、发光器件的制造工序、玻璃基板的处理工序、陶瓷基板的处理工序、导电性基板的处理工序等基板处理。
另外,虽然以上示出了在一个处理室中对一张基板进行处理的装置结构,但是不限于此,也可以是将多张基板在水平方向或者垂直方向上排列的装置。
在成膜处理中使用的配方优选按照处理内容分别准备,并经由电子通信线路、外部存储装置262预先存储于存储装置260c内。并且优选,在开始各种处理时,CPU260a从存储装置260c内存储的多个配方中,适宜地按照处理内容选择适当的配方。由此,能够利用一台基板处理装置通用地且再现性良好地形成各种膜类、组成比、膜质、膜厚的薄膜。另外,能够减轻操作者的负担,防止操作失误,并迅速地开始各种处理。
上述的配方不限于新生成的情况,例如也可以对基板处理装置中已经安装的既有配方进行变更来准备。在对配方进行变更时,可以将变更后的配方经由电子通信线路或者记录有该配方的存储介质安装于基板处理装置。另外,也可以对既存的基板处理装置所具备的输入输出装置261进行操作,直接对基板处理装置中已经安装的既有配方进行变更。
符号说明
200—晶圆(基板);201—处理室;113—第一处理气体供给管;123—第二处理气体供给管;270a—第一等离子体单元;270b—第二等离子体单元。

Claims (12)

1.一种基板处理装置,其特征在于,具有:
处理室,其对基板进行处理;
气体供给系统,其对上述处理室内供给处理气体;
第一等离子体单元,其设置为卷绕在上述处理室的外周,并在上述处理室内生成上述处理气体的等离子体;以及
第二等离子体单元,其设置为在上述处理室的上部向内部突出,并在上述处理室内生成上述处理气体的等离子体。
2.根据权利要求1所述的基板处理装置,其特征在于,
上述第二等离子体单元具有:绝缘部件,其设置为向上述处理室的上部的内部突出;以及线圈,其以沿着上述绝缘部件的方式设置。
3.根据权利要求1所述的基板处理装置,其特征在于,
利用由导电性的金属板构成的圆筒体或长方体形状的电磁波屏蔽体来屏蔽上述第二等离子体单元。
4.根据权利要求2所述的基板处理装置,其特征在于,
设置于上述第二等离子体单元的上述线圈呈被上述绝缘部件保护的导电性的U字形。
5.根据权利要求3所述的基板处理装置,其特征在于,
从高频电源向上述第二等离子体单元供给的高频电力经由与线圈的一端连接的匹配器、以及与上述线圈的另一端和上述电磁波屏蔽体连接的接地部而向上述线圈供给。
6.根据权利要求1所述的基板处理装置,其特征在于,
上述第一等离子体单元由导电性的螺旋形状的线圈、和导电性的圆筒体形状的电磁波屏蔽体构成。
7.根据权利要求6所述的基板处理装置,其特征在于,
上述导电性的螺旋形状的线圈是以一端到另一端之间的预定位置的上述线圈的卷绕直径与其它位置的上述线圈的卷绕直径不同的方式形成的线圈。
8.根据权利要求6所述的基板处理装置,其特征在于,
从高频电源向上述第一等离子体单元供给的高频电力经由与上述线圈的两端连接的匹配器、以及与上述线圈的中间附近和上述电磁波屏蔽体连接的接地部而向上述线圈供给。
9.根据权利要求1所述的基板处理装置,其特征在于,
在上述第一等离子体单元设置有永久磁铁。
10.根据权利要求9所述的基板处理装置,其特征在于,
在设置于上述第一等离子体单元的线圈的上下设置上述永久磁铁。
11.一种半导体装置的制造方法,其特征在于,具有:
向基板处理装置的上述处理室内搬入上述基板的工序,其中,该基板处理装置具有:处理室,其对基板进行处理;气体供给系统,其对上述处理室内供给处理气体;第一等离子体单元,其设置为卷绕在上述处理室的外周,并在上述处理室内生成上述处理气体的等离子体;以及第二等离子体单元,其设置为在上述处理室的上部向内部突出,并在上述处理室内生成上述处理气体的等离子体;
向上述处理室内供给上述处理气体的工序;
利用上述第一等离子体单元和上述第二等离子体单元在上述处理室内的上述基板上生成上述处理气体的等离子体的工序;以及
从上述处理室搬出上述基板的工序。
12.一种程序,其特征在于,利用计算机使上述基板处理装置执行以下步骤,即:
向基板处理装置的上述处理室内搬入上述基板的步骤,其中,该基板处理装置具有:处理室,其对基板进行处理;气体供给系统,其对上述处理室内供给处理气体;第一等离子体单元,其设置为卷绕在上述处理室的外周,并在上述处理室内生成上述处理气体的等离子体;以及第二等离子体单元,其设置为在上述处理室的上部向内部突出,并在上述处理室内生成上述处理气体的等离子体;
向上述处理室内供给上述处理气体的步骤;
利用上述第一等离子体单元和上述第二等离子体单元在上述处理室内的上述基板上生成上述处理气体的等离子体的步骤;以及
从上述处理室搬出上述基板的步骤。
CN201980057746.7A 2018-09-20 2019-03-11 基板处理装置、半导体装置的制造方法、存储介质及等离子体单元 Active CN112640063B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018-175638 2018-09-20
JP2018175638 2018-09-20
PCT/JP2019/009658 WO2020059174A1 (ja) 2018-09-20 2019-03-11 基板処理装置、半導体装置の製造方法およびプログラム

Publications (2)

Publication Number Publication Date
CN112640063A true CN112640063A (zh) 2021-04-09
CN112640063B CN112640063B (zh) 2024-06-18

Family

ID=69886854

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980057746.7A Active CN112640063B (zh) 2018-09-20 2019-03-11 基板处理装置、半导体装置的制造方法、存储介质及等离子体单元

Country Status (5)

Country Link
US (1) US20210202213A1 (zh)
JP (1) JP7030204B2 (zh)
KR (2) KR20210039451A (zh)
CN (1) CN112640063B (zh)
WO (1) WO2020059174A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210035449A (ko) * 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
WO2023047497A1 (ja) * 2021-09-22 2023-03-30 株式会社Kokusai Electric 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
JPH09293600A (ja) * 1996-02-27 1997-11-11 Matsushita Electric Ind Co Ltd 高周波電力印加装置、プラズマ発生装置、プラズマ処理装置、高周波電力印加方法、プラズマ発生方法及びプラズマ処理方法
CN103155718A (zh) * 2010-09-06 2013-06-12 Emd株式会社 等离子处理装置
WO2014050979A1 (ja) * 2012-09-26 2014-04-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JP2016018727A (ja) * 2014-07-10 2016-02-01 株式会社日立ハイテクノロジーズ プラズマ処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JPH0922795A (ja) * 1995-07-04 1997-01-21 Sony Corp プラズマcvd装置およびプラズマcvd方法
JP3814813B2 (ja) * 1997-09-01 2006-08-30 株式会社エフオーアイ プラズマ発生装置
JP3726477B2 (ja) * 1998-03-16 2005-12-14 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP4671361B2 (ja) 2004-03-26 2011-04-13 日新電機株式会社 プラズマ発生装置
KR100845285B1 (ko) * 2006-09-08 2008-07-09 삼성전자주식회사 플라즈마 생성장치 및 생성방법
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
WO2011013458A1 (ja) 2009-07-28 2011-02-03 シャープ株式会社 プラズマ処理装置、その使用方法およびプラズマ処理装置のクリーニング方法
JP5807084B2 (ja) 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
JPH08213196A (ja) * 1994-07-18 1996-08-20 Applied Materials Inc マルチセクションrfコイルおよび隔離された導電性蓋を有するプラズマ・リアクター
JPH09293600A (ja) * 1996-02-27 1997-11-11 Matsushita Electric Ind Co Ltd 高周波電力印加装置、プラズマ発生装置、プラズマ処理装置、高周波電力印加方法、プラズマ発生方法及びプラズマ処理方法
CN103155718A (zh) * 2010-09-06 2013-06-12 Emd株式会社 等离子处理装置
WO2014050979A1 (ja) * 2012-09-26 2014-04-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US20150200081A1 (en) * 2012-09-26 2015-07-16 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
JP2016018727A (ja) * 2014-07-10 2016-02-01 株式会社日立ハイテクノロジーズ プラズマ処理装置

Also Published As

Publication number Publication date
KR20210039451A (ko) 2021-04-09
WO2020059174A1 (ja) 2020-03-26
KR20240044540A (ko) 2024-04-04
CN112640063B (zh) 2024-06-18
US20210202213A1 (en) 2021-07-01
JPWO2020059174A1 (ja) 2021-09-16
JP7030204B2 (ja) 2022-03-04

Similar Documents

Publication Publication Date Title
US9673043B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and recording medium
KR101555604B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체
KR101806224B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 컴퓨터 프로그램
US9735006B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20160284542A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101964797B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6086942B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US20100304574A1 (en) Film formation method and apparatus for semiconductor process
CN110890265B (zh) 基板处理装置、基板处理装置的电极以及半导体装置的制造方法
CN110265298B (zh) 半导体器件的制造方法、衬底处理装置
US20210202213A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and plasma generator
US20230197408A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and plasma generating apparatus
JP6453727B2 (ja) 基板処理装置およびそれを用いた半導体装置の製造方法
US20240222087A1 (en) Substrate processing apparatus, plasma generating apparatus, substrate processing method, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
WO2023047497A1 (ja) 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム
WO2016147296A1 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP7457818B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム、補助プレートおよび基板保持具
CN115956284A (zh) 基板处理装置、半导体装置的制造方法以及程序
TW202214044A (zh) 基板處理裝置、電漿發光裝置、半導體裝置之製造方法及程式

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant