WO2020068139A1 - Asymmetric wafer bow compensation - Google Patents

Asymmetric wafer bow compensation Download PDF

Info

Publication number
WO2020068139A1
WO2020068139A1 PCT/US2018/061684 US2018061684W WO2020068139A1 WO 2020068139 A1 WO2020068139 A1 WO 2020068139A1 US 2018061684 W US2018061684 W US 2018061684W WO 2020068139 A1 WO2020068139 A1 WO 2020068139A1
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor substrate
compensation layer
bowed
wafer
bow compensation
Prior art date
Application number
PCT/US2018/061684
Other languages
French (fr)
Inventor
Chanyuan Liu
Fayaz A. SHAIKH
Niraj Rana
Jr. Nick Ray Linebarger
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/147,090 external-priority patent/US10896821B2/en
Priority claimed from US16/147,061 external-priority patent/US10903070B2/en
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to KR1020217012543A priority Critical patent/KR102464720B1/en
Priority to KR1020237035349A priority patent/KR20230150404A/en
Priority to KR1020227023809A priority patent/KR102491768B1/en
Priority to KR1020227038549A priority patent/KR102591651B1/en
Priority to KR1020237039480A priority patent/KR20230160963A/en
Publication of WO2020068139A1 publication Critical patent/WO2020068139A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3464Sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Abstract

Methods for reducing warpage of bowed semiconductor substrates, particularly saddle-shaped bowed semiconductor substrates, are provided herein. Methods involve depositing a bow compensation layer by plasma enhanced chemical vapor deposition on the backside of the bowed semiconductor substrate by region, such as by quadrants, to form a compressive film on a tensile substrate and a tensile film on a compressive substrate. Methods involve flowing different gases from different nozzles on a surface of a showerhead to deliver various gases by region in a one-step operation or flowing gases in a multi-step process by shielding regions of the showerhead during delivery of gases to deliver specific gases from non-shielded regions onto regions of the bowed semiconductor substrate by alternating between rotating the semiconductor substrate and flowing gases to the backside of the bowed semiconductor substrate. Alternative methods involve depositing a bow compensation layer by physical vapor deposition on the backside of the bowed semiconductor substrate in regions to form a compressive film on a tensile substrate and a tensile film on a compressive substrate. Methods involve sputtering material onto a backside of a substrate using a shadow mask or by using more than one target and rotating the semiconductor substrate being sputtering operations.

Description

ASYMMETRIC WAFER BOW COMPENSATION
CROSS REFERENCE TO RELATED APPLICATIONS
[0001] This application claims benefit and priority to ET.S. Patent Application No. 16/147,061, entitled: ASYMMETRIC WAFER BOW COMPENSATION BY
CHEMICAL VAPOR DEPOSITION, filed September 28, 2018, and U S. Patent Application No: 16/147,090, entitled ASYMMETRIC WAFER BOW COMPENSATION BY PHYSICAL VAPOR DEPOSITION, filed on September 28, 2018, which are herein incorporated by reference in their entirety for all purposes.
BACKGROUND
[0002] Semiconductor manufacturing processes involve many deposition and etching operations, which can change wafer bow drastically. For example, in 3D- NAND fabrication, which is gradually replacing 2D-NAND chips due to lower cost and higher reliability in various applications, multi-stacked films with thick, high stress carbon-based hard masks can cause significant wafer warpage, leading to front side lithographic overlay mismatch, or even wafer bow beyond chucking limit of an electrostatic chuck.
[0003] The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
SUMMARY
[0004] Methods of processing semiconductor substrates are provided. One aspect involves a method including: providing a bowed semiconductor substrate having a first tensile region and a first compressive region; and mitigating bowing of the bowed semiconductor substrate by depositing a bow compensation layer on the backside of the bowed semiconductor substrate, the bow compensation layer including a second tensile region and a second compressive region. [0005] In various embodiments, the method also includes, prior to mitigating the bowing, aligning the bowed semiconductor substrate to a backside showerhead such that the first tensile region and first compressive region are aligned to nozzles of the backside showerhead for delivering gases for forming the second tensile region and the second compressive region on the backside of the bowed semiconductor substrate.
[0006] In various embodiments, the bowed semiconductor substrate is asymmetrically bowed. In various embodiments, the bow compensation layer is deposited by plasma enhanced chemical vapor deposition.
[0007] In some embodiments, the bowed semiconductor substrate after mitigating bowing has a warpage between -500 pm and +500 pm.
[0008] In some embodiments, the bowed semiconductor substrate is bowed up to about 100 pm as measured from the lowest point of the bowed semiconductor substrate to the highest point of the bowed semiconductor substrate prior to depositing the bow compensation layer.
[0009] In some embodiments, the bowed semiconductor substrate is saddle-shaped prior to depositing the bow compensation layer.
[0010] The bowed semiconductor substrate may have an x-axis bowing of greater than 200 pm and y-axis bowing greater than 200 pm prior to depositing the bow compensation layer, and whereby either x-axis bowing or y-axis bowing is negative and the other is positive.
[0011] In some embodiments, the second tensile region includes silicon nitride deposited by exposing the first tensile region to a silicon-containing precursor and ammonia and igniting a single frequency radio frequency plasma.
[0012] In various embodiments, second tensile region and second compressive region of the bow compensation layer are on alternating quadrants of the bow compensation layer.
[0013] In some embodiments, the bow compensation layer is deposited in two or more separate operations. For example, the two or more separate operations may include an operation for deposition of the second tensile region of the bow compensation layer and an operation for deposition of the second compressive region of the bow compensation layer. [0014] In some embodiments, the second compressive region includes silicon oxide deposited by exposing the first compressive region to a silicon-containing precursor and nitrous oxide and igniting a single frequency radio frequency plasma.
[0015] In various embodiments, the second compressive region includes carbon.
[0016] In various embodiments, the second compressive region includes silicon.
[0017] In some embodiments, the second compressive region is deposited on the first compressive region of the bowed semiconductor substrate by igniting a dual frequency radio frequency plasma or a low frequency radio frequency plasma.
[0018] In some embodiments, deposition of the bow compensation layer includes flowing nitrogen to a first set of two opposite quadrants while flowing a silicon- containing precursor and ammonia to the backside of the bowed semiconductor wafer to a second set of two opposite quadrants and igniting a radio frequency plasma, rotating the bowed semiconductor wafer by 90 degrees, and flowing a silicon- containing precursor and nitrous oxide to the first set of opposite quadrants and igniting a dual frequency radio frequency plasma.
[0019] In various embodiments, the bow compensation layer includes material from the group consisting of silicon oxide, silicon nitride, carbon, silicon, and combinations thereof.
[0020] In some embodiments, the bow compensation layer includes two or more compositions.
[0021] In some embodiments, depositing the bow compensation layer further includes flowing a mixture of gases to intermediate regions between two or more adjacent quadrants.
[0022] In various embodiments, the flow of a silicon-containing gas to the backside of the bowed semiconductor substrate modulates stress of the bow compensation layer.
[0023] In other embodiments, additional methods of processing semiconductor substrates are provided. One aspect involves a method including: providing a bowed semiconductor substrate having a first tensile region and a first compressive region; and mitigating bowing of the bowed semiconductor substrate by sputtering a bow compensation layer on the backside of the bowed semiconductor substrate by physical vapor deposition, the bow compensation layer comprising a second tensile region and a second compressive region.
[0024] The method may also include prior to mitigating the bowing, aligning the bowed semiconductor substrate such that the first tensile region and the first compressive region is aligned with a target for sputtering the second compressive region and the second tensile region respectively to a backside of the bowed semiconductor substrate.
[0025] In various embodiments, the bowed semiconductor substrate after mitigating bowing has a warpage between -500 pm and 500 pm.
[0026] In some embodiments, the bowed semiconductor substrate is bowed up to about 500 pm as measured from the lowest point of the bowed semiconductor substrate to the highest point of the bowed semiconductor substrate prior to depositing the bow compensation layer. In some embodiments, the bowed semiconductor substrate is bowed up to about 300 pm as measured from the lowest point of the bowed semiconductor substrate to the highest point of the bowed semiconductor substrate prior to depositing the bow compensation layer.
[0027] In various embodiments, the bowed semiconductor substrate is saddle- shaped prior to depositing the bow compensation layer.
[0028] In some embodiments, the bowed semiconductor substrate has an x-axis bowing of greater than 200 pm and y-axis bowing greater than 200 pm prior to depositing the bow compensation layer.
[0029] In various embodiments, the second tensile region is deposited on the first tensile region of the backside of the bowed semiconductor substrate. The second tensile region may include aluminum nitride deposited using an aluminum-containing target.
[0030] In some embodiments, the second compressive region is deposited on the first compressive region of the backside of the bowed semiconductor substrate. The second compressive region may include titanium nitride deposited using a titanium- containing target. [0031] In some embodiments, the second tensile region and second compressive region of the bow compensation layer are on alternating quadrants of the bow compensation layer.
[0032] In various embodiments, the bow compensation layer is deposited in two or more separate operations. The two or more separate operations may include an operation for deposition of the second tensile region of the bow compensation layer and an operation for deposition of the second compressive region of the bow compensation layer.
[0033] The bow compensation layer may be deposited in two or more separate operations.
[0034] In various embodiments, the second compressive region includes carbon. In various embodiments, the second compressive region includes silicon.
[0035] In various embodiments, the bow compensation layer includes two or more compositions.
[0036] The method may also include flowing a process gas during the mitigating of the bowing of the bowed semiconductor substrate.
[0037] In various embodiments, the bow compensation layer comprises aluminum nitride, titanium nitride, or both.
[0038] In some embodiments, the bow compensation layer regions deposited in opposite quadrants have different compositions.
[0039] These and other aspects are described further below with reference to the drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
[0040] Figure 1 is a process flow diagram showing operations of a method performed in accordance with certain disclosed embodiments.
[0041] Figures 2 and 3 are views of schematic drawings of showerhead delivery by regions to deposit different stress materials onto different regions of a semiconductor wafer in accordance with certain disclosed embodiments.
[0042] Figure 4 is a schematic diagram of an example process station for performing disclosed CYD embodiments. [0043] Figure 5 is a schematic diagram of an example process tool for performing disclosed embodiments.
[0044] Figure 6 is a process flow diagram showing operations of a method performed in accordance with certain disclosed embodiments.
[0045] Figure 7A is a schematic diagram of an example process station for performing disclosed PVD embodiments.
[0046] Figure 7B is a top view of the wafer and shadow mask in Figure 7A.
[0047] Figure 8A is a schematic diagram of an example process station for performing disclosed PVD embodiments.
[0048] Figure 8B is a top view of the wafer and sputtering regions in Figure 8A.
[0049] Figure 9 is a schematic diagram of an example process tool for performing disclosed embodiments.
DETAILED DESCRIPTION
[0050] In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
[0051] In this application, the terms “wafer” and “substrate” are used interchangeably. One of ordinary skill in the art would understand in many embodiments the methods and apparatus described herein can be used prior to or during processing of a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, 300 mm, or 450 mm. Other types of reactors that may benefit from the disclosed embodiments include those used to fabricate various articles such as printed circuit boards, displays, and the like. In addition to semiconductor wafers, the methods and apparatus described herein may be used with deposition chambers configured for other types of substrates including glass and plastic panels. Accordingly, where the term“wafer” is used in the description below, it will be understood that the description also applies to a panel or other substrate.
[0052] Semiconductor fabrication processes involve formation of various structures, many of which may be two-dimensional. As semiconductor device dimensions shrink and devices are scaled to be smaller, the density of features across a semiconductor substrate increases, resulting in a layers of material etched and deposited in various ways, including in three dimensions. For example, 3D-NAND is one technology that is becoming increasingly popular due to lower cost and increased memory density compared to other techniques, such as 2D-NAND, and higher reliability in various applications. During the fabrication of a 3D-NAND structure, wafer bow can change drastically. For example, deposition of thick hard mask materials and etching of trenches along a wafer surface in fabricating a 3D-NAND structure can cause wafer bowing.
As layers of films are stacked on top of each other during fabrication, more stress is introduced to the semiconductor wafer which can cause bowing. Bowing can be measured using an optical technique. Wafer bowing can be measured or evaluated by obtaining a wafer map. Bowing can be quantified using a bow value or warpage value as described herein, which is measured as the vertical distance between the lowest point of the semiconductor wafer to the highest point on the wafer. The warpage value can be along an axes - for example, an asymmetrically warped wafer may have an x-axis warpage and a y-axis warpage.
[0053] In a bow-shaped wafer, the lowest point is the center of the wafer and the highest point is the edge of the wafer. In a dome-shaped wafer, the lowest point is the edge of the wafer and the highest point is the center of the wafer. Bow-shaped and dome-shaped wafers have symmetrical bowing. Wafers can also have asymmetric bowing. In asymmetric bowing, warpage is measured along an x-axis and a y-axis. An asymmetrically bowed wafer has different values for the x-axis warpage and y- axis warpage. In some cases, an asymmetrically bowed wafer has a negative x-axis warpage and a positive y-axis warpage. In some cases, an asymmetrically bowed wafer has a positive x-axis warpage and a negative y-axis warpage. In some cases, an asymmetrically bowed wafer has both a positive x-axis warpage and a positive y-axis warpage, but the warpage values are different. In some cases, an asymmetrically bowed wafer has both a negative x-axis warpage and a negative y-axis warpage, but the warpage values are different. One example of an asymmetrically bowed wafer is a saddle-shaped wafer. For a saddle-shaped wafer, in one example, the warpage on the x-axis may be 200pm and the warpage on the y-axis may be -200pm. Saddle- shaped wafers have two opposing edges of the wafer that are curved upward while another two opposing edges of the wafer are curved downward.
[0054] Bowing can cause problems with subsequent processing, such as during lithography, as etching can be uneven if the semiconductor substrate is warped. High bowing can be caused by deposition of thick, high stress carbon hard mask layer. Additionally, due to multi-stacked films and the presence of thick, high stress carbon- based hard masks used in such fabrication processes, etching can cause some asymmetric warpage and deposition processes can introduce significant wafer warpage of up to a variation of between +500pm to -l300pm bow. For example, an ashable hard mask may have a stress of up to -1000 MPa and have introduce a bow value of up to -1000 pm. Addressing such wafer warpage can be a challenge as subsequent processing may be affected by a wafer warpage exceeding +500 pm, and can be a particular challenge, especially when wafers are used in subsequent processing involve chucking of the wafer to an electrostatic chuck, as many electrostatic chucks have a“chucking limit,” which is defined as the maximum warpage tolerated before the wafer cannot be effectively chucked. Many electrostatic chucks have a chucking limit of about +300pm. As a result, highly warped semiconductor substrates may not be processed in some tools. Additionally, processing of highly warped semiconductor substrates may cause further warping. For example, etching of a trench in one direction can cause warping in asymmetric bowing due to asymmetric stress on the semiconductor substrate.
[0055] Some techniques exist for addressing symmetric bowing of semiconductor wafers, and in some cases, techniques can be used to reduce warpage by changing the process for fabricating the desired layers in the substrate. However, few techniques exist for compensating for asymmetric wafer warpage such as saddle-shaped bowing.
CVD EMBODIMENTS
[0056] Provided herein are methods of processing bowed semiconductor substrates to mitigate bowing by depositing a bow compensation layer on the backside of the bowed semiconductor substrate by plasma enhanced chemical vapor deposition (PECVD) to compensate for the bowing on the front side of the semiconductor substrate. For example, a compressive bow compensation layer is deposited on the backside of a bowed semiconductor substrate on regions having a compressive film on the front side of the semiconductor substrate and a tensile bow compensation layer is deposited on the backside of a bowed semiconductor substrate on regions having a tensile film on the front side of the semiconductor substrate.
[0057] Backside deposition may be performed by inserting the semiconductor wafer into a process chamber having both a top showerhead and a bottom showerhead (the bottom showerhead of which may be referred to as a showerhead to the pedestal, or a “shoped”), with wafer holders to hold the wafer between the two showerheads. Processing may be performed by positioning the wafer close to the top showerhead and delivering process gases to the backside of the wafer via the bottom showerhead. In some embodiments, the wafer may be placed upside down to use the top showerhead to deliver gases to the backside of the wafer, but in many embodiments, the wafer is placed upright with the patterned regions facing up and process gases are delivered to the backside of the wafer from a bottom showerhead. In various embodiments, the backside of the wafer is flat and is not patterned. Showerheads subsequently described herein refer to bottom showerheads used for delivering gases to a backside of a semiconductor wafer.
[0058] In various embodiments, a showerhead includes multiple holes or nozzles for flowing process gases to the backside of the semiconductor wafer. In some embodiments, a showerhead includes thousands of small holes for flowing process gases. Holes may have a diameter between about 0.5 mm and about 1 mm. Holes on the surface of a showerhead can be divided into regions. In some embodiments, each region of holes can be programmed to deliver a specific process gas. Each region can deliver a different gas. In some embodiments, several holes can be programmed to deliver a specific process gas. Holes in the showerhead can be programmed to deliver different gases. In some embodiments, each hole can be programmed to either flow a specific process gas or not flow any gas.
[0059] In some embodiments, process gases are flowed to all of the holes evenly. In some embodiments, all gases flowed to the showerhead to be delivered to the gases are delivered via every hole in the showerhead. In some embodiments, holes in the showerhead all deliver the same gas at the same time. In various embodiments described herein, showerheads where process gases are flowed to all of the holes evenly may be used in conjunction with a shield to block holes in certain regions on the surface of the showerhead.
[0060] Regions of holes of the showerhead as well as exposed regions that are not shielded may be of any shape. In some embodiments, regions are radially divided shapes, such as a quadrant. In some embodiments, the shield includes two opposite quadrants to prevent gases from being delivered to two quadrants of the semiconductor wafer surface. While a quadrant may refer to one of four equally sized regions divided radially on the surface of a showerhead, quadrants described herein may also refer to regions smaller than four equally sized radially divided regions on a surface of the showerhead.
[0061] In various embodiments, the process chamber includes wafer aligning technology to align regions of the wafer with corresponding regions of the showerhead. For example, a detector and motor can be used to align the wafer such that regions having tensile films on the front side are aligned with regions of the showerhead having nozzles programmed to deliver gases for forming a tensile film on the backside, and regions having compressive films on the front side are aligned with regions of the showerhead having nozzles programmed to deliver gases for forming a compressive film on the backside. In some embodiments, the wafer is aligned to the shield such that wafer regions having tensile films on the front side are aligned with exposed, non-shielded nozzles programmed to deliver gases for forming a tensile film on the backside, and regions having compressive films on the front side are aligned with exposed, non-shielded nozzles programmed to deliver gases for forming a compressive film on the backside. It is understood that using a showerhead in conjunction with a shield may involve flowing gases and rotating the wafer (and/or rotating the shield) for a multi-step deposition process for forming a wafer bow compensation layer in accordance with certain disclosed embodiments. The shield may have a thickness of about 1 mm. In some embodiments, the shield is made of a metal material, such as aluminum, or ceramic material, such as aluminum oxide
(Al203).
[0062] Figure 1 is a process flow diagram showing operations performed in a method in accordance with certain disclosed embodiments. In operation 102, a bowed semiconductor substrate is provided to a process chamber. The substrate may be a silicon wafer, e.g., a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, including wafers having one or more layers of material, such as dielectric, conducting, or semi conducting material deposited thereon. Some of the one or more layers may be patterned. Non-limiting examples of layers include dielectric layers and conducting layers, e.g., silicon oxides, silicon nitrides, silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers. In various embodiments, the substrate is patterned.
[0063] In some embodiments, the semiconductor substrate includes a patterned 3D- NAND structure and one or more etched trenches in the substrate.
[0064] The bowed semiconductor substrate may have a warpage of about + 1000 pm. In some embodiments, the bowed semiconductor substrate has a warpage greater than + 300 pm and less than about + 1000 pm. In some embodiments, the bowed semiconductor substrate has symmetric bowing. In some embodiments, the bowed semiconductor substrate has asymmetrical bowing. In some embodiments, the bowed semiconductor substrate is saddle-shaped.
[0065] The substrate is provided to a process chamber having a wafer holder and a bottom showerhead for delivering gases to the backside of the semiconductor substrate. In some embodiments, the process chamber includes a shield between the bottom showerhead and the backside of the wafer. The shield may be set at any suitable distance from the backside of the semiconductor wafer, such as between about 1 mm and about 1 cm in some embodiments. As the shield is placed closer to the backside of the wafer, the film edge between the compressive and tensile regions will be sharper. A larger gap between the shield and the wafer will lead to a sloped film edge. The distance of the gap between wafer and shield can be changed to allow a smooth transition from the compressive regions to the tensile regions. The position of the shield may depend on the hardware used. In some embodiments, the shield may be set at a distance between about 1 mm and about 1 cm from a surface of a semiconductor wafer as measured from the surface of the shield to the closest point of the bowed semiconductor wafer. The shield may have two quadrants for blocking opposite quadrants of the showerhead from delivering gases. In some embodiments, a shield is not used and gas delivery is controlled by designating different gases to be delivered out of different regions of the showerhead. [0066] In operation 104, the bowed semiconductor substrate is aligned with the showerhead. In various embodiments, for an asymmetrically bowed semiconductor substrate, aligning is performed by determining which regions of the bowed semiconductor substrate have pivot points between curving up and curving down on the substrate such that the pivot points align with the divisions of regions of the showerhead for delivering gases. Alignment can be performed by using a wafer aligned based on laser scan of a notch position and can be aligned to + 1 degree accuracy. Divisions of the regions of the showerhead for delivering gases may be made from radial regions of the showerhead surface, such as splitting a showerhead’ s nozzles into quadrants, with the convergence point between the four regions being in the center of the showerhead surface. Other divisions may be used instead of quadrants; additionally, uneven warpage of the wafer can be addressed by using intermediate regions that overlap in quadrants as further described below, or may be addressed by flowing certain gases via a first set of holes in a showerhead while flowing another set of gases via a second set of holes in the same showerhead simultaneously. In some embodiments, rather than quadrants, gases may be delivered from other radial regions, each region being any of 1% to 100% of the entirety of the showerhead. Regions in some embodiments may not be radial; any shape of a region of holes on a showerhead may be selected to flow certain gases.
[0067] In operation 106, a bow compensation layer is deposited on the backside of the bowed semiconductor substrate to mitigate bowing and flatten the semiconductor substrate. In one embodiment, the bow compensation layer is deposited in a one-step process of flowing various gases, which may be the same or different, across different regions of holes of a showerhead surface to deposit the desired material having the desired stress on the backside of the bowed semiconductor substrate.
[0068] In some embodiments, operation 106 may involve more than one operation of deposition and may include, in some embodiments, rotating the semiconductor substrate between depositions in a multi-step process. An example is provided further below with respect to Figure 2.
[0069] The composition of the bow compensation layer depends on the wafer it is being deposited on. For example, the bow compensation layer may include a compressive film when deposited on a compressive region of a wafer, or include a tensile film when deposited on a tensile region of a wafer, or both. Example materials for a compressive film to be deposited on the backside of the wafer include silicon oxide, silicon nitride, silicon, and carbon. Silanes may be used to deposit amorphous silicon as a compressive or tensile film. Acetylene, methane, ethylene, and other carbon-containing deposition precursors such as hydrocarbons may be used to deposit compressive carbon materials, or in some embodiments, neutral stress materials. The selection of deposition precursors and process conditions can be used to tune the stress of the bow compensation layer.
[0070] In various embodiments, a compressive film may be a compressive silicon oxide film or a compressive silicon nitride film. In various embodiments, a tensile film may be a tensile silicon nitride film or a tensile silicon oxide film.
[0071] “ Silicon oxide” is referred to herein as including chemical compounds including silicon and oxygen atoms, including any and all stoichiometric possibilities for SixOy, including integer values of x and y and non-integer values of x and y. For example,“silicon oxide” includes compounds having the formula SiOn, where 1 < n < 2, where n can be an integer or non-integer values. “Silicon oxide” can include sub- stoichiometric compounds such as SiOi.8. “Silicon oxide” also includes silicon dioxide (Si02) and silicon monoxide (SiO). “Silicon oxide” also includes both natural and synthetic variations and also includes any and all crystalline and molecular structures, including tetrahedral coordination of oxygen atoms surrounding a central silicon atom. “Silicon oxide” also includes amorphous silicon oxide and silicates. Silicon oxide may also include trace amounts or interstitial amounts of hydrogen (SiOH). Silicon oxide may also include trace amounts of nitrogen, particularly if nitrogen gas is used as a carrier gas (SiON).
[0072] “ Silicon nitride” is referred to herein as including any and all stoichiometric possibilities for SixNy, including integer values of x and y and non-integer values of x and y; for example, a ratio X:Y may be 3:4. For example,“silicon nitride” includes compounds having the formula SiNn, where 1 < n < 2, where n can be an integer or non-integer values. “Silicon nitride” can include sub-stoichiometric compounds such as SiNi.s- “Silicon nitride” also includes Si3N4 and silicon nitride with trace and/or interstitial hydrogen (SiNH) and silicon nitride with trace amounts of or interstitial oxygen (SiON) or both (SiONH). “Silicon nitride” also includes both natural and synthetic variations and also includes any and all lattice, crystalline, and molecular structures, including trigonal alpha-silicon nitride, hexagonal beta-silicon nitride, and cubic gamma-silicon nitride. “Silicon nitride” also includes amorphous silicon nitride and can include silicon nitride having trace amounts of impurities.
[0073] Figure 2 provides an example of a divided showerhead surface having quadrants, each quadrant capable of delivering different or same gases for forming different or same materials. In this example, region 201 and 203 are“opposite regions” and regions 202 and 204 and“opposite regions.” Regions 201 and 202 are “adjacent regions.” Other adjacent regions include 202 and 203; 203 and 204; and 204 and 201.
[0074] For deposition of a bow compensation layer on an asymmetrically bowed semiconductor substrate, gases delivered to one set of opposite regions may be the same to both of those regions (such as gases delivered to 201 and 203), while gases delivered to a second set of opposite regions may be the same for both of those regions (such as gases delivered to 202 and 204), but gases delivered between sets may be different (gases delivered to 201 and 203 are different from gases delivered to 202 and 204). In some embodiments, gases delivered to each set may involve flowing two or more gases, some of which may be the same across all regions, and some which may be different.
[0075] In one example, silane is flowed to all four regions 201, 202, 203, and 204 with simultaneous flow of nitrous oxide to regions 201 and 203 and nitrogen to 202 and 204. Thus, silane and nitrous oxide is flowed to 201, silane and nitrogen is flowed to 202, silane and oxygen is flowed to 203, and silane and nitrogen is flowed to 204. Alternatively, silicon oxide may be deposited by introducing tetraethyl orthosilicate (TEOS) and oxygen gas. This can be used to deposit a silicon oxide film on semiconductor substrate regions that are aligned with regions 201 and 203, and a silicon nitride film on semiconductor substrate regions that are aligned with regions 202 and 204.
[0076] Example process conditions for depositing compressive nitride and tensile nitride are provided in Table 1. These process conditions are suitable for a four- station tool. Table 1. Process Conditions for Compressive Nitride and Tensile Nitride.
Figure imgf000016_0001
[0077] Example process conditions for depositing compressive oxide are provided in Table 2. These process conditions are suitable for a four-station tool.
Table 2. Process Conditions for Compressive Oxide
Figure imgf000016_0002
[0078] In certain disclosed embodiments, deposition of the backside compensation layer is performed at a substrate temperature greater than 300°C, or between about 300°C and about 550°C, or about 300°C. Higher temperatures may be used in some embodiments to achieve higher stress, or may be used to increase stability of the film deposited. In some embodiments, the showerhead temperature is set to a temperature greater than 300°C, or between about 300°C and about 550°C, or about 330°C.
[0079] In one example, ammonia and silane may be introduced to two opposite quadrants while igniting a single frequency plasma to achieve a tensile silicon nitride film while silane and nitrous oxide may be introduced to the other two opposite quadrants to achieve a compressive silicon oxide film. For a showerhead capable of delivering different gases simultaneously out of different holes on the showerhead, operation 106 of Figure 1 may be performed by delivering silane out of all holes of the showerhead while delivering ammonia via holes of the showerhead nozzles in regions 201 and 203 in Figure 2 and delivering nitrous oxide via holes of the showerhead in regions 202 and 204 to deposit a bow compensation layer having two opposite quadrants of tensile silicon nitride material and two opposite quadrants of compressive silicon oxide material. For a showerhead capable of delivering all the same gas simultaneously out of all holes for use in conjunction with a shield, a multi- step process may be performed such that in a first operation, the shield shields regions 202 and 204 while silane and nitrous oxide are delivered via exposed holes in regions
201 and 203 to deposit compressive oxide; in a second operation, the wafer is rotated 90 degrees; and in a third operation, the shield shields regions 201 and 203 while silane and ammonia are delivered to exposed holes in regions 202 and 204 to yield a bow compensation layer having tensile silicon nitride on surfaces exposed to regions
202 and 204 and compressive silicon oxide on surfaces exposed to regions 201 and 203. This can be used to compensate an asymmetrically shaped wafer. In some embodiments, before rotating the shield, a purge gas, such as an inert gas, may be delivered to the showerhead to flush the environment. Example purge gases include nitrogen, argon, and helium.
[0080] Note that while silane is described herein as an example process gas, other silicon-containing gases may be used for depositing silicon-containing films, such as tetraethyl orthosilicate (TEOS). The selection of a silicon-containing precursor and reactants used as well as the plasma type (dual or single frequency) and process conditions may affect the stress of the film being deposited. For example, a mixture of silane and ammonia ignited using a single frequency radio frequency plasma such as a high frequency plasma may form a tensile silicon nitride film, while a mixture of silane and ammonia ignited using a dual frequency radio frequency plasma source may result in a compressive silicon nitride film. Additionally, a mixture of silane and nitrous oxide ignited using a single frequency plasma may result in a compressive silicon oxide film. Tensile silicon oxides may also be formed, such as by using TEOS and oxygen in some embodiments.
[0081] Silicon-containing precursors suitable for use in accordance with certain disclosed embodiments include polysilanes (H3Si-(SiH2)n-SiH3), where n > 0. Examples of silanes are silane (SiH4), disilane (SEEE), and organosilanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethyl silane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t- butyldisilane, di-t-butyldisilane, and the like.
[0082] A halosilane includes at least one halogen group and may or may not include hydrogens and/or carbon groups. Examples of halosilanes are iodosilanes, bromosilanes, chlorosilanes, and fluorosilanes. Specific chlorosilanes are tetrachlorosilane, trichlorosilane, dichlorosilane, monochlorosilane, chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, t- butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane, chloro-sec- butylsilane, t-butyldimethylchlorosilane, thexyldimethylchlorosilane, and the like.
[0083] An aminosilane includes at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogens, oxygens, halogens, and carbons. Examples of aminosilanes are mono-, di-, tri- and tetra-aminosilane (El3Si(NH2), H2Si(NH2)2, HSi(NH2)3 and Si(NH2)4, respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert- butylsilanamine, bi(tertiarybutylamino)silane (SiH2(NHC(CH3)3)2 (BTBAS), tert- butyl silylcarbamate, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 and the like. A further example of an aminosilane is trisilylamine (N(SiH3)).
[0084] The bow compensation layer may be deposited for a duration of up to 1000 seconds. In some embodiments, the flow rate of the silicon-containing precursor relative to other gases flowed during deposition of the bow compensation layer may modulate the stress. For example, in deposition of compressive silicon nitride, increase in silane flow may decrease stress, making what would be a compressive silicon nitride film less compressive. That is, in some embodiments, increase in silane flow causes the deposited film to be less compressive.
[0085] In some embodiments, for deposition of a tensile silicon nitride film, a ratio of flow rate of silicon-containing gas to nitrogen-containing gas may be between about 1 :30 and about 1 :40, or about 1 :36. An example range of high frequency plasma power may be between about 840W and 2400W, or about 1200W for a four- station tool. The low frequency plasma power may not be used in various embodiments, such as if depositing a tensile nitride film.
[0086] In some embodiments, for deposition of a compressive silicon nitride film, a ratio of flow rate of silicon-containing gas to flow rate of gas mixture containing nitrogen-containing gas may be between about 1 :4 and about 1 :8, or about 1 :6. An example range of high frequency plasma power may be between about 330W and 960W, or about 480W for a four-station tool. An example range of low frequency plasma power may be between about 700W and about 2000W, or about 1000W for a four-station tool.
[0087] In some embodiments, for deposition of a compressive silicon oxide film, a ratio of flow rate of silicon-containing gas to flow rate of a gas mixture containing oxygen-containing gas may be between about 1 :4 and about 1 :8 or about 1 :6. An example range of high frequency plasma power may be between about 1680W and about 4800W, or about 2400W for a four-station tool. An example range of low frequency plasma power may be between about 420W and about 1200W or about 600W for a four-station tool.
[0088] The number of layers and/or the thickness of the films deposited in a bow compensation layer can also affect the wafer bow of the film. For example, to achieve a bow change of between about -200pm and about -300pm (such as to change a wafer having a warpage of +l000pm to +800pm (a change of -200 pm)), a film can be deposited to a thickness between lOOOOA and 15000 A to achieve the desired bow change. Likewise, to achieve a bow change of between about +200pm and about +300 pm (such as to change a wafer having a warpage of -400pm to -200pm (a change of -200 pm)), a film can be deposited to a thickness between 6000 A and about 10000 A to achieve the desired bow change.
[0089] Compensation for different wafer bowing may be used at different steps of a particular process flow. In some embodiments, different bow compensation layers having different stress and materials may be used to compensate for symmetrically bowed or saddle shaped wafers.
[0090] In some embodiments, the bow compensation layer may be removable. For example, after the bow compensation layer is deposited, the wafer may be transferred to another process chamber for additional processing such as lithography, deposition, etching, or other operations. Following these operations, the wafer may be warped and the bow compensation layer on the backside may be removed such that another bow compensation layer may be deposited to reduce warping of the wafer. In some embodiments, additional bow compensation layer may be deposited over an existing bow compensation layer to reduce warping such that bow compensation layers are ultimately removed in further downstream processing operations. In some embodiments, bow compensation layers are very thin and may not be removed at all.
[0091] Figure 3 provides an example of a divided showerhead surface having quadrants such as shown in Figure 2 but with intermediate regions between quadrants to modulate the gas flow and deposition between quadrants to accommodate a variety of bowed semiconductor substrate bowing shapes.
[0092] Like Figure 2, Figure 3 includes quadrants 301 and 303 which may flow similar gas chemistries to deposit the same material, or different chemistries to deposit the same material, or different chemistries to deposit material having the same stress. Figure 3 also includes quadrants 302 and 304, each of which may flow gas chemistries similar to each other but different from gases flowed to quadrants 301 and 303. Intermediate region 305 is between quadrant 301 and quadrant 302, intermediate region 306 is between quadrant 302 and 303, intermediate region 307 is between quadrants 303 and 304, and intermediate region 308 is between quadrants 304 and 301. Each intermediate region may involve flowing a combination of gases, such as a mixture of gases flowed to the adjacent quadrants. Intermediate mixing regions can be used to achieve a smooth film edge transition between quadrants. Intermediate mixing of various gases using different flow ratios can achieve intermediate stress films, particularly for areas of the bowed semiconductor substrate that may not be as warped as other regions. In some embodiments, the same material can be deposited to areas of different sizes to address the particular warpage of a wafer; for example, tensile silicon nitride may be deposited on a third of the wafer while compressive silicon nitride may be deposited on the remaining fourth of the wafer. Many variations may be used for addressing asymmetric warpage of various types on a bowed semiconductor wafer.
CVD APPARATUS
[0093] Disclosed embodiments may be performed in any suitable apparatus or tool. An apparatus or tool may include one or more process stations. Described below are an example process station and tool that may be used in some embodiments.
[0094] Figure 4 depicts a schematic illustration of an embodiment of plasma- enhanced chemical vapor deposition (PECVD) process station 400 having a process chamber body 402 capable of maintaining a low pressure environment. A plurality of PECVD process stations 400 may be included in a common low pressure process tool environment. For example, Figure 5 depicts an embodiment of a multi-station processing tool 500. In some embodiments, one or more hardware parameters of PECVD process station 400, including those discussed in detail below, may be adjusted programmatically by one or more computer controllers 450.
[0095] PECVD process station 400 fluidly communicates with reactant delivery system 40 la for delivering process gases to a distribution showerhead 406. Reactant delivery system 40 la includes a mixing vessel 404 for blending and/or conditioning process gases for delivery to showerhead 406. Process gases such as those used to deposit a bow compensation layer on a substrate may be delivered to the process chamber body 402 via showerhead 406 using the reactant delivery system 40 la. In some embodiments, reactive species may be delivered using the reactant delivery system 40 la. One or more mixing vessel inlet valves 420 may control introduction of process gases to mixing vessel 404. These valves may be controlled depending on whether a gas may be turned on during various operations.
[0096] Note that in some embodiments, a liquid reactant may not be used. However in some embodiments, a liquid reactant may be used to form a tensile or compressive film as described herein. As an example, the embodiment of Figure 4 includes a vaporization point 403 for vaporizing liquid reactant to be supplied to the mixing vessel 404. In some embodiments, vaporization point 403 may be a heated vaporizer. The saturated reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc. Some approaches to addressing these issues involve purging and/or evacuating the delivery piping to remove residual reactant before or after vaporizing a reactant. However, purging the delivery piping may increase process station cycle time, degrading process station throughput. Thus, in some embodiments, delivery piping downstream of vaporization point 403 may be heat traced. In some examples, mixing vessel 404 may also be heat traced. In one non-limiting example, piping downstream of vaporization point 403 has an increasing temperature profile extending from approximately l00°C to approximately l50°C at mixing vessel 404.
[0097] In some embodiments, liquid precursor or liquid reactant, such as a silicon- containing precursor, may be vaporized at a liquid injector. For example, a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel. In one embodiment, a liquid injector may vaporize the reactant by flashing the liquid from a higher pressure to a lower pressure. In another example, a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. Smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 403. In one scenario, a liquid injector may be mounted directly to mixing vessel 404. In another scenario, a liquid injector may be mounted directly to showerhead 406.
[0098] In some embodiments, a liquid flow controller (LFC) (not shown) upstream of vaporization point 403 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 400. For example, the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to stabilize liquid flow using feedback control. This may extend a time for flowing a liquid reactant. Thus, in some embodiments, the LFC may be dynamically switched between a feedback control mode and a direct control mode. In some embodiments, this may be performed by disabling a sense tube of the LFC and the PID controller.
[0099] Showerhead 406 distributes gases toward substrate 412. For example, showerhead 406 may distribute process gases for depositing a bow compensation layer to the backside of the substrate 412 in various operations, such as silicon- containing gases and/or oxygen-containing or nitrogen-containing gases. In the embodiment shown in Figure 4, the substrate 412 is located beneath showerhead 406 and is shown resting on a pedestal 408. The pedestal 408 may include wafer holders to hold a wafer by the edges and a bottom showerhead (not shown) for delivering gases to the backside of a wafer. Showerhead 406 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing process gases to substrate 412. A shield (not shown) may also be present in the chamber body.
[0100] In another scenario, adjusting a height of pedestal 408 may allow a plasma density to be varied during disclosed processes such that the plasma density between the wafer and the bottom showerhead is varied. For example, the plasma may be activated when process gases are flowed to the chamber body 402. At the conclusion of the process, pedestal 408 may be lowered during another substrate transfer phase to allow removal of substrate 412 from pedestal 408.
[0101] In some embodiments, showerhead 406 and pedestal 408 electrically communicate with a radio frequency (RF) power supply 414 and matching network 416 for powering a plasma. In some embodiments, the plasma energy may be controlled by controlling one or more of a process station pressure, gas concentrations and partial pressures of gases or gas flow rates, an RF source power, and an RF source frequency. For example, RF power supply 414 and matching network 416 may be operated at any suitable power to form a plasma having a desired composition of radical species. Likewise, RF power supply 414 may provide RF power of any suitable frequency. In some embodiments, RF power supply 414 may be configured to control high- and low-frequency RF power sources independently of one another. Example low-frequency RF frequencies may include, but are not limited to, frequencies between 0 kHz and 500 kHz. Example high-frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz, or greater than about 13.56 MHz, or greater than 27 MHz, or greater than 40 MHz, or greater than 60 MHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for a reaction for depositing a bow compensation layer.
[0102] In some embodiments, the plasma may be monitored in-situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.
[0103] In some embodiments, instructions for a controller 450 may be provided via input/output control (IOC) sequencing instructions. In one example, the instructions for setting conditions for a process phase may be included in a corresponding recipe phase of a process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more reactor parameters may be included in a recipe phase. For example, a first recipe phase may include instructions for setting a flow rate of one or more gases (e.g., a silicon- containing gas and a nitrogen-containing gas), and time delay instructions for the first recipe phase. A second, subsequent recipe phase may include instructions for setting a flow rate of a purge gas and time delay instructions for the second recipe phase. Alternatively, a third recipe phase may include instructions for setting a flow rate of one or more gases (e.g., a silicon-containing gas and an oxygen-containing gas), and time delay instructions for the third recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure. Controller 450 may also include any of the features described below with respect to controller 550 in Figure 5. [0104] In some embodiments, pedestal 408 may be temperature controlled via heater 410. Heater 410 may be used to anneal the substrate. For example, in some embodiments, during annealing, the heater 410 may be set to a temperature of at least about 450°C. Further, in some embodiments, pressure control for process station 400 may be provided by butterfly valve 418. As shown in the embodiment of Figure 4, butterfly valve 418 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 400 may also be adjusted by varying a flow rate of one or more gases introduced to the process station 400.
[0105] As described above, one or more process stations may be included in a multi-station processing tool. Figure 5 shows a schematic view of an embodiment of a multi-station processing tool 500 with an inbound load lock 502 and an outbound load lock 504, either or both of which may include a remote plasma source. A robot 506, at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 508 into inbound load lock 502 via an atmospheric port (not shown). A wafer or substrate is placed by the robot 506 on a pedestal 512 in the inbound load lock 502, the atmospheric port is closed, and the load lock is pumped down. Where the inbound load lock 502 includes a remote plasma source, the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into one of the processing chambers such as processing chamber 5l4a. Further, the wafer also may be heated in the inbound load lock 502 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 516 to processing chamber 5l4a is opened, and another robot 526 places the wafer into the reactor on a pedestal 518 of a first station (labeled 1) of processing chamber 5l4a shown in the reactor for processing. While the embodiment depicted in Figure 5 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
[0106] Each of the depicted processing chambers, such as processing chamber 5l4a, includes four process stations. Each station has a heated pedestal, and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, a process station may be used to deposit a tensile or compressive material as a part of a bow compensation layer by PECYD. While the depicted processing chamber 5l4a includes four stations, it will be understood that a processing chamber according to certain disclosed embodiments may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations. Additionally, while the depicted processing tool 500 has three processing chambers 5l4a, 5l4b, and 5l4c, it will be understood that a processing tool according to certain disclosed embodiments may have any suitable number of processing chambers.
[0107] Figure 5 depicts an embodiment of a wafer handling system 590 for transferring wafers within processing chamber 5l4a. In some embodiments, wafer handling system 590 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. Figure 5 also depicts an embodiment of a system controller 550 employed to control process conditions and hardware states of process tool 500. System controller 550 may include one or more memory devices 556, one or more mass storage devices 554, and one or more processors 552. Processor 552 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
[0108] In some embodiments, system controller 550 controls all of the activities of process tool 500. System controller 550 executes system control software 558 stored in mass storage device 554, loaded into memory device 556, and executed on processor 552. Alternatively, the control logic may be hard coded in the controller 550. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever“software” or“code” is used, functionally comparable hard coded logic may be used in its place. System control software 358 may include instructions for controlling the transfer of wafers into and out of a process chamber, rotating wafers within a process chamber, aligning wafers with the showerhead in a process chamber, transfer of wafers into and out of a process chamber, timing of gases out of particular regions of a showerhead, mixture of gases, amount of gas flow out of particular regions of a showerhead, chamber and/or station pressure, backside gas flow pressure out of particular regions of a showerhead, chamber and/or reactor temperature, wafer temperature, bias power, target power levels, RF power levels and type (such as single frequency or dual frequency or high frequency or low frequency), pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 500. System control software 558 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software 558 may be coded in any suitable computer readable programming language.
[0109] In some embodiments, system control software 558 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 554 and/or memory device 556 associated with system controller 550 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, electrostatic chuck power control program, and a plasma control program.
[0110] A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 518 and to control the spacing between the substrate and other parts of process tool 500. A process gas control program may include code for controlling gas composition (e.g., conditioning process gases, deposition gases, helium gas or other gas for backside flow, carrier gases, etc., as described herein) and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, pressure of gas introduced to backside of a wafer during conditioning operations, etc.
[0111] A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate for annealing operations described herein. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate. A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein. A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.
[0112] In some embodiments, there may be a user interface associated with system controller 550. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
[0113] In some embodiments, parameters adjusted by system controller 550 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
[0114] Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 550 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 500. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
[0115] System controller 550 may provide program instructions for implementing the above described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate in-situ deposition of films according to various embodiments described herein.
[0116] The system controller 550 will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with disclosed embodiments. Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller 550.
[0117] In some implementations, the system controller 550 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems. The system controller 550, depending on the processing conditions and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases and/or inhibitor gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
[0118] Broadly speaking, the system controller 550 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the system controller 550 in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
[0119] The system controller 550, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the system controller 550 may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 550 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the system controller 550 is configured to interface with or control. Thus as described above, the system controller 550 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
[0120] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a CVD or PECVD chamber or module, an ALD or PEALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
[0121] As noted above, depending on the process step or steps to be performed by the tool, the system controller 550 might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory. PVD EMBODIMENTS
[0122] Provided herein are methods of processing bowed semiconductor substrates to mitigate bowing by depositing a bow compensation layer on the backside of the bowed semiconductor substrate by physical vapor deposition (PVD) to compensate for the bowing on the front side of the semiconductor substrate. For example, a compressive bow compensation layer is deposited on the backside of a bowed semiconductor substrate on regions having a compressive film on the front side of the semiconductor substrate and a tensile bow compensation layer is deposited on the backside of a bowed semiconductor substrate on regions having a tensile film on the front side of the semiconductor substrate. In various embodiments, films are deposited using a plasma formed in a process chamber sustained using a planar magnetron.
[0123] Backside deposition may be performed by inserting the semiconductor wafer into a PVD process chamber having a target and wafer holders to hold the wafer at a location spaced apart from the target such that the surface of the wafer to be deposited on faces the surface of the target. Processing may be performed by positioning the wafer such that the target can deposit material on particular regions of backside of the bowed semiconductor wafer. In some embodiments, the wafer is positioned such that the wafer is placed upright with the patterned regions facing up and the backside is on the bottom or facing downwards while the target is located below the wafer. In various embodiments, the backside of the wafer is flat and is not patterned. One or more targets may be used in certain disclosed embodiments.
[0124] In various embodiments, the target is an aluminum nitride target or a titanium nitride target. Certain disclosed embodiments are capable of depositing bow compensation layers to reduce the warpage of a semiconductor wafer from + 1 OOprn to +500pm.
[0125] In various embodiments described herein, process chambers for performing certain disclosed embodiments include a shadow mask to block certain regions of the wafer during deposition. The shadow mask may be positioned between the backside surface of the semiconductor substrate and the target. The shadow mask may have a thickness of about 1 mm. The shadow mask may be of any shape. In some embodiments, the shadow mask is made of a metal material, such as aluminum, or ceramic material, such as aluminum oxide (AI2O3). The shadow mask may be used to block some regions while leaving certain regions of the backside of the semiconductor substrate exposed. Exposed regions may be of any shape or size, up to the size of the wafer itself. In some embodiments, the shadow mask is cut from a flat circular shaped structure such that the regions the shadow mask can expose can be any shape or size. In some embodiments, the shadow mask is cut such that radially divided regions are cut radially from a region in the center of the circular shaped structure. The shadow mask may have radial segments anywhere between 1% and 100% the circular shaped structure; likewise, the shadow mask may be cut such that the cut out regions leave between 1% and 100% of the circular shaped structure. It will be understood that other shapes may be used as well, such as a flat disk with other shaped regions cut out from within the disk to expose regions of various shapes.
[0126] In some embodiments, these regions are quadrants such that two opposing quadrants are exposed and two opposing quadrants are made of the flat structure to block regions of the substrate. While a radially cut structure may be cut from the center of the circular shaped structure, in various embodiments the shadow mask is one continuous sheet such that the quadrants are cut from near but not exactly at the center of the circular shaped structure.
[0127] In some embodiments, the shadow mask includes two opposite quadrants which are used to prevent material from sputtering onto certain regions of the bowed semiconductor substrate. While quadrants may refer to one of four equally sized regions, quadrants described herein may also refer to regions smaller than four equally sized radially divided regions.
[0128] In various embodiments, the process chamber includes wafer aligning technology to align regions of the wafer with regions of the shadow mask. For example, a detector and motor can be used to align the wafer such that regions having tensile films are aligned with unblocked regions of the shadow mask so that the target can sputter a tensile film onto the backside of the substrate in regions where the front side of the substrate is tensile, and the target can sputter a compressive film on the backside of the substrate where the front side of the substrate is compressive. In some embodiments, the shadow mask is rotated to shift between depositing a compressive film and depositing a tensile film. [0129] In some embodiments, a combinatorial PVD process is used in lieu of a shadow mask such that two targets are operated to deliver different materials onto different regions of the wafer. In such an embodiment, the wafer may be aligned depending on the locations of the targets in the chamber. Example chambers are further described below with respect to Figures 7A-B and 8A-B.
[0130] Figure 6 is a process flow diagram showing operations performed in a method in accordance with certain disclosed embodiments. In operation 602, a bowed semiconductor substrate is provided to a PVD process chamber equipped with a metal target, such as an aluminum target. The substrate may be a silicon wafer, e.g., a 200- mm wafer, a 300-mm wafer, or a 450-mm wafer, including wafers having one or more layers of material, such as dielectric, conducting, or semi-conducting material deposited thereon. Some of the one or more layers may be patterned. Non-limiting examples of layers include dielectric layers and conducting layers, e.g., silicon oxides, silicon nitrides, silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers. In various embodiments, the substrate is patterned.
[0131] In some embodiments, the semiconductor substrate includes a patterned 3D- NAND structure and one or more etched trenches in the substrate.
[0132] The bowed semiconductor substrate prior to deposition of a bow compensation layer may have a warpage of about +1000 pm. In some embodiments, the bowed semiconductor substrate has a warpage greater than +300 pm and less than about +1000 pm. The warpage is defined as the distance from the lowest point of the wafer to the highest point of the wafer. In some embodiments, the bowed semiconductor substrate has symmetric bowing. In some embodiments, the bowed semiconductor substrate has asymmetrical bowing as described above. In some embodiments, the bowed semiconductor substrate is saddle-shaped. In various embodiments, the bowed semiconductor substrate includes at least one tensile region and one compressive region.
[0133] As discussed above, an asymmetrically warped or bowed wafer can have two different x-axis and y-axis warpages. For example, in one example wafer, the x- axis warpage may be -50 pm and the y-axis warpage may be -300 pm. Compensating this type of asymmetric wafer bowing can be performed by depositing films of different stress for the high bow and low bow regions. For example, in some embodiments, a region with -50pm warpage can be compensated with a -50 MPa stress silicon oxide film, while a region with -300 pm warpage can be compensated with a -300 MPa stress silicon oxide film.
[0134] The substrate is provided to a process chamber having a wafer holder and one or more targets for delivering material to the underside of the semiconductor substrate. In some embodiments, the process chamber includes a shadow mask between the target and the backside of the wafer holder. The shadow mask may be set at any suitable distance from the backside of the semiconductor wafer. The position of the shadow mask may depend on the hardware used. In some embodiments, the shadow mask may be set at a distance between about 1 mm and about 1 cm from a surface of a semiconductor wafer as measured from the surface of the shadow mask to the closest point of the bowed semiconductor wafer. The shadow mask may be shaped with cutouts such that cutout regions expose regions of the backside of the semiconductor substrate and regions blocked by the shadow mask block deposition onto other regions of the backside of the semiconductor substrate.
[0135] In operation 604, the bowed semiconductor substrate is aligned. In various embodiments, for an asymmetrically bowed semiconductor substrate, aligning is performed by determining which regions of the bowed semiconductor substrate have the pivot points between curving up and curving down on the substrate such that the pivot points align with certain targets in a combinatorial PVD process. Alignment can be performed by using a wafer aligned based on laser scan of a notch position and can be aligned to + 1 degree accuracy.
[0136] In operation 606, a bow compensation layer is deposited on the backside of the bowed semiconductor substrate to mitigate bowing of the semiconductor substrate. In various embodiments, operation 606 involves forming a tensile film on the backside of the substrate where the front side is tensile. In some embodiments, operation 606 involves forming compressive films on the backside of the substrate where the front side is compressive.
[0137] In one embodiment, the bow compensation layer is deposited in a one-step process of using a combinatorial PVD process. In some embodiments, operation 606 may involve more than one operation of deposition and may include, in some embodiments, rotating the semiconductor substrate, rotating a shadow mask, or both between depositions in a multi-step process.
[0138] The bow compensation layer composition depends on the wafer it is being deposited on and can include multiple compositions. For example, the bow compensation layer includes a compressive film when deposited on a region of the wafer where the front side is compressive, or includes a tensile film when deposited on a region of a wafer where the front side is tensile, or both. The bow compensation layer may include multiple tensile regions of the bow compensation layer deposited on multiple tensile regions of a wafer as well as multiple compressive regions of the bow compensation layer deposited on multiple compressive regions of the wafer.
[0139] Example materials for a compressive film to be deposited on the backside of the wafer include silicon oxide, silicon nitride, silicon, and carbon. The selection of targets and process conditions can be used to tune the stress of the bow compensation layer.
[0140] In various embodiments, a compressive film may be a compressive silicon oxide film or a compressive silicon nitride film. In various embodiments, a compressive film may be a compressive silicon oxide film. In various embodiments, a tensile film may be a tensile silicon nitride film or a tensile silicon oxide film.
[0141] “ Silicon oxide” is referred to herein as including chemical compounds including silicon and oxygen atoms, including any and all stoichiometric possibilities for SixOy-, including integer values of x and y and non-integer values of x and y. For example,“silicon oxide” includes compounds having the formula SiOn, where 1 < n < 2, where n can be an integer or non-integer values. “Silicon oxide” can include sub-stoichiometric compounds such as SiOl.8. “Silicon oxide” also includes silicon dioxide (Si02) and silicon monoxide (SiO). “Silicon oxide” also includes both natural and synthetic variations and also includes any and all crystalline and molecular structures, including tetrahedral coordination of oxygen atoms surrounding a central silicon atom. “Silicon oxide” also includes amorphous silicon oxide and silicates.
[0142] “ Silicon nitride” is referred to herein as including any and all stoichiometric possibilities for SixNy, including integer values of x and y and non-integer values of x and y, such as x=3 and y=4. For example,“silicon nitride” includes compounds having the formula SiNn, where 1 < n < 2, where n can be an integer or non-integer values. “Silicon nitride” can include sub-stoichiometric compounds such as SiNl.8. “Silicon nitride” also includes S13N4 and silicon nitride with trace and/or interstitial hydrogen (SiNH) and silicon nitride with trace amounts of and/or interstitial oxygen (SiON). “Silicon nitride” also includes both natural and synthetic variations and also includes any and all lattice, crystalline, and molecular structures, including trigonal alpha-silicon nitride, hexagonal beta-silicon nitride, and cubic gamma-silicon nitride. “Silicon nitride” also includes amorphous silicon nitride and can include silicon nitride having trace amounts of impurities.
[0143] In some embodiments, a silicon oxide film may be deposited using a chamber pressure of 2.2e-04 Torr at 200°C for deposition at a deposition rate of 0.5 nm/second to form a neutral stress silicon oxide film, whereas using a chamber pressure one tenth of the pressure recited above can result in a silicon oxide film having a compressive stress of -400 MPa.
[0144] For deposition of nitride films, in some embodiments a gas mixture of about 10% nitrogen gas and 90% argon gas may be used during deposition. An example set of process conditions for sputtering aluminum nitride may include a target current between 70 mA and 130 mA, and a target voltage between 700 V DC and 1300 V DC with a coil current between 1A and 5 A and substrate bias voltage between 0V and 250V. In some embodiments, the sputtering pressure is between 0.1 Pa and 0.5 Pa. In some embodiments, varying the bias voltage during sputtering may be used to modulate stress. For example, compressive stress of an aluminum nitride film may increase between increasing a bias voltage between 0V and 50V, and compressive stress of an aluminum nitride film may decrease as substrate bias voltage is increased from 50V to 200V. Such an example is described in Bassam Abdallah et al., Deposition of A1N films by reactive sputtering: Effective of radio frequency substrate bias, 515 THIN SOLID FILMS 7104, 7106 (2007).
[0145] In some embodiments, for depositing silicon oxide, the background pressure may be about l0e-05 Torr. In some embodiments, silicon oxide deposited by PVD with this pressure and particular temperature and deposition rate is compressive.
[0146] Process conditions including pressure and bias voltage, both of which are provided here as examples, can be used to modulate the stress of sputtered films. Such process conditions include temperature, pressure, presence of gases in the chamber, sputter substrate bias voltage, plasma conditions, target composition, and other suitable process conditions. It will be understood that the process conditions disclosed herein are provided only as examples and other suitable process conditions may be used to deposit compressive and tensile films as desired to compensate for wafer bowing.
[0147] An example for sputtering material in quadrants using a shadow mask is further described below with respect to Figure 7B. An example for sputtering material using combinatorial PVD is further described below with respect to Figure 8B.
[0148] In some embodiments, materials may be deposited using two or more operations of PVD, which involves rotating the shadow mask between depositions to deposit material in the desired regions. Materials deposited in each set of opposite regions may be the same or different between sets.
[0149] In one example, aluminum nitride is deposited to regions 701 and 703 while regions 702 and 704 are shielded; the shadow mask is rotated; and titanium nitride is deposited to regions 702 and 704 while regions 701 and 703 are shielded.
[0150] In various embodiments, a purge gas may be optionally introduced to flush the environment but may not be used in some embodiments as deposition by sputtering has little to no chance of being deposited on the front side of the wafer.
[0151] The number of layers and/or the thickness of the films deposited in a bow compensation layer can also affect the warpage of the film. Bow of different asymmetrical features of the wafer may be compensated using different layers and bow compensation layers may be deposited at various stages of semiconductor fabrication. For example, to achieve a bow change of between about -200 p and about -300pm (such as to change a wafer having a warpage of +l000pm to +800pm (a change of -200 pm)), a film can be deposited to a thickness between 10000 A and 15000 A to achieve the desired bow change. Likewise, to achieve a bow change of between about +200pm and about +300pm (such as to change a wafer having a warpage of -400pm to -200pm (a change of -200 pm)), a film can be deposited to a thickness between 6000 A and about 10000 A to achieve the desired bow change. [0152] Compensation for different wafer bowing may be used at different steps of a particular process flow. In some embodiments, different bow compensation layers having different stress and materials may be used to compensate for symmetrically bowed or saddle shaped wafers.
[0153] In some embodiments, the bow compensation layer may be removable. For example, after the bow compensation layer is deposited, the wafer may be transferred to another process chamber for additional processing such as lithography, deposition, etching, or other operations. Following these operations, the wafer may be warped and the bow compensation layer on the backside may be removed such that another bow compensation layer may be deposited to reduce warping of the wafer. In some embodiments, additional bow compensation layer may be deposited over an existing bow compensation layer to reduce warping such that bow compensation layers are ultimately removed in further downstream processing operations. In some embodiments, bow compensation layers are very thin and may not be removed at all.
[0154] In some embodiments, gases may be used in a PVD chamber to interact with material from the target. For example, a silicon target may be used with oxygen gas to form a silicon oxide film. In some embodiments, a silicon target may be used with nitrogen gas to form a silicon nitride film. The amount of gas and the amount of sputtering can be used to modulate the stress of the film and be changed to deposit either a compressive or tensile film as desired.
[0155] The spatial growth of different materials on the backside of the semiconductor wafer is defined by either the shadow mask or by different targets being used, or both.
[0156] If the wafer is warped in such a way that the focal point of warpage is not at the center of the wafer, various different shadow masks can be used. Different shadow masks can be loaded to accommodate for different wafer bow compensation without breaking vacuum.
[0157] Intermediate mixing regions can be achieved by sputtering two different materials onto the substrate where the field of sputtering species overlaps on a surface of the backside of a substrate. Various numbers of targets may be used for sputtering on a single substrate. For example, between and including 1 and 5 targets may be used in some embodiments. PVD APPARATUS
[0158] Disclosed embodiments may be performed in any suitable apparatus or tool. An apparatus or tool may include one or more process stations. Described below are an example process station and tool that may be used in some embodiments.
[0159] Figure 7A depicts a schematic illustration of an embodiment of physical vapor deposition (PVD) process station 700 having a process chamber body 702. A plurality of PVD process stations 700 may be included in a multi-station processing tool, such as shown in Figure 9. In some embodiments, one or more hardware parameters of PVD process station 700, including those discussed in detail below, may be adjusted programmatically by one or more computer controllers 750. Target 707, a circular, planar block of material, is spaced from the wafer 703, which is held by wafer holders 708 in chamber 700. A DC power supply (not shown) is used to apply a DC field to target 707, establishing a plasma in the chamber between target 707 and wafer 703. A circular magnet 710 mounted above the target is rotated by motor (not shown) setting up a magnetic field extending through target 707 into the region between the target 707 and wafer 703. A shadow mask 705 is positioned between wafer 703 and target 707 to shadow mask regions of wafer 703 during sputtering from target 707. A top view of the chamber is shown in Figure 7B, which shows wafer holders 701, wafer 703, and, for purposes of illustration, the wafer 703 is depicted as being transparent to show the shadow mask 705 underlying the wafer 703 such that only top right and bottom left quadrants are exposed to the sputtering species.
[0160] Returning to Figure 7A, cryopump (not shown) connected to chamber 700 via valve (not shown) is used to evacuate the chamber 700. Process gas injector (not shown) is connected to process gas supply via mass flow controller (not shown). A sputtering gas is introduced into chamber 700 via injectors.
[0161] The methods of present invention may be practiced in other types of planar magnetrons, such as ones having ICP sources. It is noted that the methods of present invention do not require the presence of an RF -biased coil within the apparatus, although they can be practiced in an apparatus equipped with such coil. In other embodiments an electromagnetic coil or coils rather than a rotating magnet can be used to maintain the plasma. The apparatus will also typically include a controller 750 electrically connected to the apparatus and containing a set of program instructions or built-in logic for executing any of the described deposition processes.
[0162] In certain embodiments, the system controller is employed to control process conditions during deposition, insert and remove wafers, etc. The controller will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
[0163] Figure 8A shows another depicts a schematic illustration of an embodiment of physical vapor deposition (PVD) process station 800 having a process chamber 802. A plurality of PVD process stations 800 may be included in a multi-station processing tool, such as shown in Figure 4. In some embodiments, one or more hardware parameters of PVD process station 800, including those discussed in detail below, may be adjusted programmatically by one or more computer controllers 850. Targets 807a and 807b, which are circular, planar blocks of material, are spaced from the wafer 803, which is held by wafer holders 801 in chamber 800. As shown in this example, the use of two targets 807a and 807b results in sputtering areas 817a and 817b that can ultimately overlap on the surface of wafer 803. In some cases, the target diameters are small such that the wafer 803 can be exposed to a first sputtering using the two targets 807a and 807b, rotated by 180° using the wafer holders 801, and exposed to a second sputtering using the same two targets 807a and 807b, resulting in overlapping combinatorial PVD such as shown in Figure 8B, which depicts regions 817b deposited using target 817b, and regions 817a deposited using target 817a as a top view of the wafer 803 whereby the wafer 803 is shown as being transparent to show the different deposited regions.
[0164] A DC power supply (not shown) is used to apply a DC field to targets 807a and 807b, establishing a plasma in the chamber between targets 807a and 807b and wafer 803. A circular magnet 810 mounted above the targets 807a and 807b is rotated by motor (not shown) setting up a magnetic field extending through targets 807a and 807b into the region between the targets 807a and 807b and wafer 803. An optional shadow mask (not shown) could be positioned between wafer 803 and targets 807a and 807b to shadow mask regions of wafer 803 during sputtering from targets 807a and 807b. A top view of the chamber is shown in Figure 8B, which shows wafer holders 801, wafer 803, and, for purposes of illustration, the wafer 803 is depicted as being transparent to show the regions 817a and 817b underlying the wafer 803 for a combinatorial PVD embodiment.
[0165] Returning to Figure 8A, cryopump (not shown) connected to chamber 800 via valve (not shown) is used to evacuate the chamber 800. Process gas injector (not shown) is connected to process gas supply via mass flow controller (not shown). A sputtering gas is introduced into chamber 800 via injectors.
[0166] The methods of present invention may be practiced in other types of planar magnetrons, such as ones having ICP sources. It is noted that the methods of present invention do not require the presence of an RF -biased coil within the apparatus, although they can be practiced in an apparatus equipped with such coil. In other embodiments an electromagnetic coil or coils rather than a rotating magnet can be used to maintain the plasma. The apparatus will also typically include a controller 850 electrically connected to the apparatus and containing a set of program instructions or built-in logic for executing any of the described deposition processes.
[0167] In certain embodiments, the system controller is employed to control process conditions during deposition, insert and remove wafers, etc. The controller will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
[0168] As described above, one or more process stations may be included in a multi-station processing tool. Figure 9 shows a schematic view of an embodiment of a multi-station processing tool 900 with an inbound load lock 921 which may include a remote plasma source. A robot 903, at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 901 into inbound load lock 921 via wafer transfer system 919. A wafer or substrate is placed by the robot 903 on a pedestal in one of the stations 907, the atmospheric port (not shown) is closed, and the load lock is pumped down. At least one of the stations 907 is used to deposit material onto a backside of a wafer by PVD. Where the inbound load lock 901 includes a remote plasma source, the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 907. The tool may also include additional modules 930 and 920 for other processing operations. Further, the wafer also may be heated in the inbound load lock 921 or in pods 901 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port (not shown) to processing chamber 907 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of another station in the reactor for processing. While the embodiment depicted in Figure 9 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
[0169] The depicted processing tool 900 includes three process stations, as shown in the embodiment shown in Figure 9. Each of the stations 907 has a heated pedestal, and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. While the depicted processing chamber tool includes three stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.
[0170] Figure 9 depicts an embodiment of a wafer handling system 903 for transferring wafers within processing tool 900. In some embodiments, wafer handling system 990 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots.
[0171] Figure 9 also depicts an embodiment of a system controller 950 employed to control process conditions and hardware states of process tool 900. System controller 950 may include one or more memory devices 956, one or more mass storage devices 954, and one or more processors 952. Processor 952 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
[0172] In some embodiments, system controller 950 controls all of the activities of process tool 900. System controller 950 executes system control software 958 stored in mass storage device 954, loaded into memory device 956, and executed on processor 952. Alternatively, the control logic may be hard coded in the controller 950. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever“software” or“code” is used, functionally comparable hard coded logic may be used in its place. System control software 358 may include instructions for controlling the transfer of wafers into and out of a process chamber, rotating wafers within a process chamber, aligning wafers in a process chamber, transfer of wafers into and out of a process chamber, timing of generation of sputtering species and magnetic fields for sputtering material, amount of gas flow, chamber and/or station pressure, chamber and/or reactor temperature, wafer temperature, target power levels, RF power levels and type (such as single frequency or dual frequency or high frequency or low frequency), pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 900. System control software 958 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software 958 may be coded in any suitable computer readable programming language.
[0173] In some embodiments, system control software 958 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 954 and/or memory device 956 associated with system controller 950 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, electrostatic chuck power control program, and a plasma control program.
[0174] A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 918 and to control the spacing between the substrate and other parts of process tool 900. A bias and plasma control program may include code for controlling plasma and bias power for sputtering material from a target onto the backside of a semiconductor wafer in the process station. A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, pressure of gas introduced to backside of a wafer during conditioning operations, etc.
[0175] A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate. A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein. A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.
[0176] In some embodiments, there may be a user interface associated with system controller 950. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
[0177] In some embodiments, parameters adjusted by system controller 950 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as DC power levels), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
[0178] Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 950 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 900. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
[0179] System controller 950 may provide program instructions for implementing the above described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate in-situ deposition of films according to various embodiments described herein.
[0180] The system controller 950 will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with disclosed embodiments. Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller 950.
[0181] In some implementations, the system controller 950 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems. The system controller 950, depending on the processing conditions and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases and/or inhibitor gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
[0182] Broadly speaking, the system controller 950 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the system controller 950 in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer. [0183] The system controller 950, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the system controller 950 may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 950 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the system controller 950 is configured to interface with or control. Thus as described above, the system controller 950 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
[0184] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a CVD or PECVD chamber or module, an ALD or PEALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers. [0185] As noted above, depending on the process step or steps to be performed by the tool, the system controller 950 might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
[0186] An appropriate apparatus for performing methods disclosed herein is further discussed and described in U.S. Patent Application Nos. 13/084,399 (now U.S. Patent No. 8,728,956), filed April 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”; and 13/084,305, filed April 11, 2011, and titled“SILICON NITRIDE FILMS AND METHODS,” each of which is incorporated herein in its entireties.
[0187] The apparatus/process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma- assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
CONCLUSION
[0188] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims

CLAIMS What is claimed is:
1. A method comprising:
providing a bowed semiconductor substrate having a first tensile region and a first compressive region; and
mitigating bowing of the bowed semiconductor substrate by depositing a bow compensation layer on the backside of the bowed semiconductor substrate, the bow compensation layer comprising a second tensile region and a second compressive region.
2. The method of claim 1, further comprising prior to mitigating the bowing, aligning the bowed semiconductor substrate to a backside showerhead such that the first tensile region and first compressive region are aligned to nozzles of the backside showerhead for delivering gases for forming the second tensile region and the second compressive region on the backside of the bowed semi conductor sub strate .
3. The method of claim 1, wherein the bow compensation layer is deposited by plasma enhanced chemical vapor deposition.
4. The method of claim 1, wherein the bowed semiconductor substrate after mitigating bowing has a warpage between -500 pm and +500 pm.
5. The method of claim 1, wherein the bowed semiconductor substrate is bowed up to about 100 pm as measured from the lowest point of the bowed semiconductor substrate to the highest point of the bowed semiconductor substrate prior to depositing the bow compensation layer.
6. The method of claim 1, wherein the bowed semiconductor substrate is saddle- shaped prior to depositing the bow compensation layer.
7. The method of claim 1, wherein the bowed semiconductor substrate has an x- axis bowing of greater than 200 pm and y-axis bowing greater than 200 pm prior to depositing the bow compensation layer, and wherein either x-axis bowing or y-axis bowing is negative and the other is positive.
8. The method of claim 1, wherein the second tensile region comprises silicon nitride deposited by exposing the first tensile region to a silicon-containing precursor and ammonia and igniting a single frequency radio frequency plasma.
9. The method of claim 1, wherein the second tensile region and second
compressive region of the bow compensation layer are on alternating quadrants of the bow compensation layer.
10. The method of claim 1, wherein the bow compensation layer is deposited in two or more separate operations.
11. The method of claim 10, wherein the two or more separate operations
comprise an operation for deposition of the second tensile region of the bow compensation layer and an operation for deposition of the second compressive region of the bow compensation layer.
12. The method of claim 1, wherein the second compressive region comprises silicon oxide deposited by exposing the first compressive region to a silicon- containing precursor and nitrous oxide and igniting a single frequency radio frequency plasma.
13. The method of claim 1, wherein the second compressive region comprises carbon.
14. The method of claim 1, wherein the second compressive region comprises silicon.
15. The method of claim 1, wherein the second compressive region is deposited on the first compressive region of the bowed semiconductor substrate by igniting a dual frequency radio frequency plasma or a low frequency radio frequency plasma.
16. The method of claim 1, wherein deposition of the bow compensation layer comprises flowing nitrogen to a first set of two opposite quadrants while flowing a silicon-containing precursor and ammonia to the backside of the bowed semiconductor wafer to a second set of two opposite quadrants and igniting a radio frequency plasma, rotating the bowed semiconductor wafer by 90 degrees, and flowing a silicon-containing precursor and nitrous oxide to the first set of two opposite quadrants and igniting a dual frequency radio frequency plasma.
17. The method of claim 1, wherein the bow compensation layer comprises
material from the group consisting of silicon oxide, silicon nitride, carbon, silicon, and combinations thereof.
18. The method of claim 1, wherein the bow compensation layer comprises two or more compositions.
19. The method of claim 1, wherein depositing the bow compensation layer
further comprises flowing a mixture of gases to intermediate regions between two or more adjacent quadrants.
20. The method of claim 1, wherein flow of a silicon-containing gas to the
backside of the bowed semiconductor substrate modulates stress of the bow compensation layer.
21. A method comprising:
providing a bowed semiconductor substrate having a first tensile region and a first compressive region; and
mitigating bowing of the bowed semiconductor substrate by sputtering a bow compensation layer on the backside of the bowed semiconductor substrate by physical vapor deposition, the bow compensation layer comprising a second tensile region and a second compressive region.
22. The method of claim 21, further comprising prior to mitigating the bowing, aligning the bowed semiconductor substrate such that the first tensile region and the first compressive region is aligned with a target for sputtering the second tensile region and the second compressive region respectively to a backside of the bowed semiconductor substrate.
23. The method of claim 21, wherein the bowed semiconductor substrate after mitigating bowing has a warpage between -500 pm and +500 pm.
24. The method of claim 21, wherein the bowed semiconductor substrate is bowed up to about 500 pm as measured from the lowest point of the bowed semiconductor substrate to the highest point of the bowed semiconductor substrate prior to depositing the bow compensation layer.
25. The method of claim 21, wherein the bowed semiconductor substrate is
saddle-shaped prior to depositing the bow compensation layer.
26. The method of claim 21, wherein the bowed semiconductor substrate has an x- axis bowing of greater than 200 pm and y-axis bowing greater than 200 pm prior to depositing the bow compensation layer, and wherein either x-axis bowing or y-axis bowing is negative and the other is positive.
27. The method of claim 21, wherein the second tensile region is deposited on the first tensile region of the backside of the bowed semiconductor substrate.
28. The method of claim 27, wherein the second tensile region comprises
aluminum nitride deposited using an aluminum-containing target.
29. The method of claim 21, wherein the second compressive region is deposited on the first compressive region of the backside of the bowed semiconductor substrate.
30. The method of claim 28, wherein the second compressive region comprises titanium nitride deposited using a titanium-containing target.
31. The method of claim 21, wherein the second tensile region and second
compressive region of the bow compensation layer are on alternating quadrants of the bow compensation layer.
32. The method of claim 21, wherein the bow compensation layer is deposited in two or more separate operations.
33. The method of claim 32, wherein the two or more separate operations
comprise an operation for deposition of the second tensile region of the bow compensation layer and an operation for deposition of the second compressive region of the bow compensation layer.
34. The method of claim 21, wherein the bow compensation layer is deposited in two or more separate operations.
35. The method of claim 21, wherein the second compressive region comprises carbon.
36. The method of claim 21, wherein the second compressive region comprises silicon.
37. The method of claim 21, wherein the bow compensation layer comprises two or more compositions.
38. The method of claim 21, further comprising flowing a process gas during the mitigating of the bowing of the bowed semiconductor substrate.
39. The method of claim 21, wherein the bow compensation layer comprises aluminum nitride, titanium nitride, or both.
40. The method of claim 21, wherein the bow compensation layer regions
deposited in opposite quadrants have different compositions.
PCT/US2018/061684 2018-09-28 2018-11-16 Asymmetric wafer bow compensation WO2020068139A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020217012543A KR102464720B1 (en) 2018-09-28 2018-11-16 Asymmetric Wafer Bow Compensation
KR1020237035349A KR20230150404A (en) 2018-09-28 2018-11-16 Asymmetric wafer bow compensation
KR1020227023809A KR102491768B1 (en) 2018-09-28 2018-11-16 Asymmetric wafer bow compensation
KR1020227038549A KR102591651B1 (en) 2018-09-28 2018-11-16 Asymmetric wafer bow compensation
KR1020237039480A KR20230160963A (en) 2018-09-28 2018-11-16 Asymmetric wafer bow compensation

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16/147,090 US10896821B2 (en) 2018-09-28 2018-09-28 Asymmetric wafer bow compensation by physical vapor deposition
US16/147,061 US10903070B2 (en) 2018-09-28 2018-09-28 Asymmetric wafer bow compensation by chemical vapor deposition
US16/147,090 2018-09-28
US16/147,061 2018-09-28

Publications (1)

Publication Number Publication Date
WO2020068139A1 true WO2020068139A1 (en) 2020-04-02

Family

ID=69949443

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2018/061684 WO2020068139A1 (en) 2018-09-28 2018-11-16 Asymmetric wafer bow compensation

Country Status (2)

Country Link
KR (5) KR102591651B1 (en)
WO (1) WO2020068139A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022119732A1 (en) * 2020-12-03 2022-06-09 Lam Research Corporation Backside deposition and local stress modulation for wafer bow compensation
WO2022235499A1 (en) * 2021-05-03 2022-11-10 Lam Research Corporation Wafer state detection
TWI785592B (en) * 2021-05-04 2022-12-01 環球晶圓股份有限公司 Material analysis method
WO2023102376A1 (en) * 2021-12-01 2023-06-08 Lam Research Corporation Deposition of high compressive stress thermally stable nitride film
WO2024030382A1 (en) * 2022-08-05 2024-02-08 Lam Research Corporation Reducing thermal bow shift

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1050601A1 (en) * 1999-05-04 2000-11-08 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20100261353A1 (en) * 2009-04-09 2010-10-14 Texas Instruments Incorporated Wafer planarity control between pattern levels
US20170162522A1 (en) * 2015-07-01 2017-06-08 Ii-Vi Optoelectronic Devices, Inc. Stress relief in semiconductor wafers
US20170243836A1 (en) * 2013-06-25 2017-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside structures to reduce substrate warp

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101564962B1 (en) * 2014-01-29 2015-11-03 주식회사 루미스탈 Substrate treating apparatus for etching the back-side surface of substrate and method of treating substrate with using this
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9978582B2 (en) * 2015-12-16 2018-05-22 Ostendo Technologies, Inc. Methods for improving wafer planarity and bonded wafer assemblies made from the methods
JP7164289B2 (en) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 Position-Specific Tuning of Bow-Controlling Stress to Control Overlay During Semiconductor Processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1050601A1 (en) * 1999-05-04 2000-11-08 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20100261353A1 (en) * 2009-04-09 2010-10-14 Texas Instruments Incorporated Wafer planarity control between pattern levels
US20170243836A1 (en) * 2013-06-25 2017-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside structures to reduce substrate warp
US20170162522A1 (en) * 2015-07-01 2017-06-08 Ii-Vi Optoelectronic Devices, Inc. Stress relief in semiconductor wafers

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022119732A1 (en) * 2020-12-03 2022-06-09 Lam Research Corporation Backside deposition and local stress modulation for wafer bow compensation
WO2022235499A1 (en) * 2021-05-03 2022-11-10 Lam Research Corporation Wafer state detection
TWI785592B (en) * 2021-05-04 2022-12-01 環球晶圓股份有限公司 Material analysis method
US11859965B2 (en) 2021-05-04 2024-01-02 Globalwafers Co., Ltd. Material analysis method
WO2023102376A1 (en) * 2021-12-01 2023-06-08 Lam Research Corporation Deposition of high compressive stress thermally stable nitride film
WO2024030382A1 (en) * 2022-08-05 2024-02-08 Lam Research Corporation Reducing thermal bow shift

Also Published As

Publication number Publication date
KR20230160963A (en) 2023-11-24
KR20210053350A (en) 2021-05-11
KR20230150404A (en) 2023-10-30
KR102491768B1 (en) 2023-01-26
KR20220103206A (en) 2022-07-21
KR102464720B1 (en) 2022-11-07
KR102591651B1 (en) 2023-10-19
KR20220153679A (en) 2022-11-18

Similar Documents

Publication Publication Date Title
KR102510157B1 (en) Doped ald films for semiconductor patterning applications
CN109937467B (en) For high modulus ALD SiO 2 Method of spacers
CN107799390B (en) High dry etch rate materials for semiconductor patterning applications
US10832908B2 (en) Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9865455B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10903070B2 (en) Asymmetric wafer bow compensation by chemical vapor deposition
KR102591651B1 (en) Asymmetric wafer bow compensation
US10896821B2 (en) Asymmetric wafer bow compensation by physical vapor deposition
US10643846B2 (en) Selective growth of metal-containing hardmask thin films
US9786496B2 (en) Method of densifying films in semiconductor device
US20230032481A1 (en) Station-to-station control of backside bow compensation deposition
TWI834679B (en) Selective growth of metal-containing hardmask thin films

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18935173

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217012543

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 18935173

Country of ref document: EP

Kind code of ref document: A1