TWI617689B - 製造3d裝置的方法及前驅物 - Google Patents

製造3d裝置的方法及前驅物 Download PDF

Info

Publication number
TWI617689B
TWI617689B TW105102222A TW105102222A TWI617689B TW I617689 B TWI617689 B TW I617689B TW 105102222 A TW105102222 A TW 105102222A TW 105102222 A TW105102222 A TW 105102222A TW I617689 B TWI617689 B TW I617689B
Authority
TW
Taiwan
Prior art keywords
silicon
plasma
silicon oxide
branched
silicon nitride
Prior art date
Application number
TW105102222A
Other languages
English (en)
Other versions
TW201627519A (zh
Inventor
李建恒
羅伯特 戈登 瑞吉威
新建 雷
雷蒙 尼克勞斯 孟提
韓冰
馬乎卡 巴斯卡拉 雷
Original Assignee
慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 慧盛材料美國責任有限公司 filed Critical 慧盛材料美國責任有限公司
Publication of TW201627519A publication Critical patent/TW201627519A/zh
Application granted granted Critical
Publication of TWI617689B publication Critical patent/TWI617689B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1443Non-volatile random-access memory [NVRAM]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文所述的是一種包含多數含矽層的裝置,其中該等含矽層係選自氧化矽及氮化矽層或膜。本文也述及用於形成當,舉例來說,3D垂直反及閘快閃記憶體堆疊體(3D vertical NAND flash memory stack)用的裝置的方法。在該裝置之一特定態樣中,該氧化矽層包含輕微壓縮應力及良好熱安定性。在該裝置之各個不同態樣中,該氮化矽層經過達於約800℃的熱處理之後包含輕微拉伸應力及小於300MPa的應力變化。在該裝置之各個不同態樣中,該氮化矽層於熱H3PO4中蝕刻的比該氧化矽層更快許多,顯示有良好的蝕刻選擇性。

Description

製造3D裝置的方法及前驅物 相關申請案之交互參照
本案請求2015年,1月29日申請的美國臨時申請案第62/109,381號及2015年6月24日申請的申請案第62/183,985號之優先權。在此以引用的方式將該二申請案的全文併入。
本發明關於一種包含多數含矽層的裝置,其中該等含矽層係選自氧化矽及氮化矽層或膜。本發明也關於用於形成該裝置的方法。
全世界的數據傳輸不斷以爆炸性速率成長。三維(3D)快閃記憶體裝置,其包含多數層或多重層堆疊體,例如但不限於24、32、48、96、128或更多層,能以較小的佔用空間(footprint)達成更多數據傳輸。較新的3D記憶體裝置例如垂直NAND(VNAND)記憶體裝置比起習用NAND記憶體裝置具有至少兩倍寫入速度、大於10倍耐久性及大約一半的電力消耗,包含多數層例如,但不限於24、32、48或96層或更多層。為了沉積這些多重層堆疊體,最終使用者通常運用氧化矽及氮化矽膜交錯沉積。就某些應用而言,該等氮化矽膜係犧牲層,其中該等層係於一或更多後繼加工步驟時被去除。
一般而言,氧化矽膜具有介於約-300至約-100百萬帕斯卡(MPa)的壓縮應力。為了平衡掉該氧化矽層的壓縮應力並且避免沉積多重層例如24、32、48、96或128層之後發生結構龜裂或崩塌,該等氮化矽膜應具有介於約+50至約+300MPa的拉伸應力。就大部分含矽前驅物而言,提升該膜的應力通常會危害該膜的品質。在某些應用中,例如用於製造NAND及3D VNAND裝置的應用,其對結構施以於高於700℃的溫度下進行的磊晶矽沉積步驟,該氮化矽膜也應該具有極小的收縮率及小於300MPa的應力變化。
美國公開案第2014/0284808號描述利用化學氣相沉積(CVD)方法於650至750℃或更高下以前驅物四乙氧基矽烷(TEOS)供氧化矽沉積及二氯矽烷供氮化物沉積之用途。
美國公開案第US 2008/0260969號及美國專利第8,357,430號二者皆描述利用前驅物三矽烷基胺(TSA)進行氮化矽的高品質電漿強化化學氣相沉積(PECVD)之方法。
美國公開案第2014/0213065號或美國專利第9,018,093號描述一種沉積包含第一層和第二層的堆疊體層之方法,其中各堆疊體層具有相同厚度。
美國公開案第2014/0213067號描述一種用於SiOCN膜沉積之方法或藉由原子層沉積所得的SiCN/SiCO堆疊體。
關於SiH4基礎氮化矽膜的應力控制也已經有深入的研究。以下是這些研究著手的題目當中的一些:影響結果產生的拉伸應力的較高NH3:SiH4比率、較低電漿功率、較高壓力及較高溫度。
因此,此技藝中需要一種能提供下列優點中的一或多者之包含至少一氧化矽層及至少一氮化矽層的3D記憶 體裝置或裝置及其製造方法:使用單一含矽前驅物沉積二類型層;該至少一氮化矽膜具有介於約50至約+300(MPa)的拉伸應力以避免總體多重層堆疊體的應力累積;良好電氣性質,意指其經過加工之後仍能保持其絕緣特徵;優良的熱安定性,意指擁有極小的膜收縮率及應力變化;在相同條件之下比其他前驅物例如甲矽烷更高的沉積速率以改善處理量及膜性質;濕式蝕刻選擇性,使該犧牲的氮化物層能輕易地被去除;改良電氣性能;長時期穩定性;及其組合。為了簡化製程並且改善處理量,使用供兩種層用的單一含矽前驅物沉積高品質氧化矽及氮化矽膜往往會有助益。
本文所述的是一種包含至少一氧化矽層及至少一氮化矽層的裝置,其中該至少一氧化矽層及該至少一氮化矽層具有某些特徵。本文也述及用於形成該裝置、多重層堆疊體或多數含矽層的方法,該等含矽層包含被拿來當,舉例來說,記憶體裝置(例如,但不限於,3D VNAND裝置)內的層使用之至少一氧化矽層及至少一氮化矽層。
如先前所述的,該裝置包含多重層堆疊體,該多重層堆疊體包含至少一氧化矽層或膜及至少一氮化矽層或膜。在某些具體實施例中,層數能介於2至1,000個包含氧化矽及氮化矽的層。在一特定具體實施例中,該多重層堆疊體或裝置包含24、48、64、96或128個氧化矽及氮化矽膜交錯的堆疊體,其中各堆疊體由一層氧化矽和一層氮化矽組成。在各個不同具體實施例中,該裝置包含至少50或更多個氧化矽及氮化矽膜交錯的堆疊體。在各個不同具體實施例中,本文所述的至少一氧化矽膜具有下列性質中的至少一或多者:約1.9克/立方釐米(g/cm3或g/cc)或更高的密度;4 x1022個原 子/cm3或更小的氫含量;-100MPa或更小的應力;4.5或更低的介電常數;於8MV/cm或更高的膜擊穿電場之10-9A/cm2或更低的洩漏電流;及其組合。在各個不同具體實施例中,本文所述的至少一氮化矽層具有下列性質中的至少一或多者:約2.2g/cm3更高的密度;4 x1022個原子/cm3或更小的氫含量;+50MPa或更大的應力;經過至少一介於約700至約1,000℃的熱處理之後300MPa或更小的應力變化;於熱H3PO4中10nm/min或更高的濕式蝕刻速率;及其組合。
於一態樣中,提供一種用於沉積多數含矽膜之組合物,該等含矽膜包含選自具有式I至III的化合物的含矽前驅物:一種組合物,其包含:藉由分析技術例如傅利葉轉換紅外線光譜術(FT-IR)、拉塞福背向散射光譜術(RBS)或氫前向散射(HFS)或其他方法測量時包含至少一氧化矽層及至少一氮化矽層的基材,其中該氮化矽層包含2.3g/cm3或更高的密度及約4x1022個原子/cm3或更小的氫含量。在一具體實施例中,該至少一氧化矽層及該至少一氮化矽層係利用與具有式I至III的化合物相同前驅物例如本文所述的至少一矽前驅物。於一可供選擇的具體實施例中,該至少一氧化矽層係利用與該至少一氮化矽層不同的矽前驅物來沉積。於後面的具體實施例中,該至少一氮化矽層係利用本文所述的至少一矽前驅物當具有式I至III的化合物來沉積。
於另一態樣中,提供一種裝置,其包含:含包氧化矽層的基材;沉積於該金屬氧化物至少一部分上的氮化矽層,其中該氮化矽層包含約2.3g/cm3或更高的密度、4x1022個原子/cm3或更小的氫含量;及沉積於該金屬氧化物層與該氮化矽層之間的氧化矽層,其中該氧化矽層包含約2.2g/cm3或更高的密度。
於又另一態樣中,提供一種用於將多數含矽膜沉積於基材至少一表面上的方法,該等含矽層係選自至少一氧化矽層或至少一氮化矽層,該方法包含:將該基材的至少一表面提供於反應艙中;將選自具有式I至III的化合物中的至少一矽前驅物引進該反應艙
其中R係各自獨立地選自氫、線性或分支C2至C10烷基;線性或分支C2至C12烯基;線性或分支C2至C12炔基;C4至C10環狀烷基;及C6至C10芳基;而且R1係各自獨立地選自線性或分支C1至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;將選自含氧來源及含氮來源的來源引進該反應艙;而且經由氣相沉積製程將該含矽層沉積於該基材的至少一表面上,其中該氣相沉積製程係選自由化學氣相沉積(CVD)、電漿強化化學氣相沉積(PECVD)、循環式化學氣相沉積(CCVD)、電漿強化循環式化學氣相沉積(PECCVD)、原子層沉積(ALD)及電漿強化原子層沉積(PEALD)所組成的群組;較佳為電漿強化化學氣相沉積(PECVD)。在一特定具體實施例中,該沉積步驟係於介於約25℃至約1000℃、或約400℃至約1000℃、或約400℃至約600℃、或約450℃至約550℃一或 更多溫度下進行。
於又另一態樣中,提供一種將氮化矽膜沉積於基材的至少一表面上之方法,該方法包含:將該基材的至少一表面提供於反應艙中;將選自具有式I至III的化合物中的至少一矽前驅物引進該反應艙 其中R係各自獨立地選自氫、線性或分支C2至C10烷基;線性或分支C2至C12烯基;線性或分支C2至C12炔基;C4至C10環狀烷基;及C6至C10芳基;而且R1係各自獨立地選自線性或分支C1至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;將含氮來源引進該反應艙;而且經由氣相沉積製程將該氮化矽層沉積於該基材的至少一表面上,其中該氣相沉積製程係選自由化學氣相沉積(CVD)、電漿強化化學氣相沉積(PECVD)、循環式化學氣相沉積(CCVD)、電漿強化循環式化學氣相沉積(PECCVD)、原子層沉積(ALD)及電漿強化原子層沉積(PEALD)所組成的群組;較佳為電漿強化化學氣相沉積(PECVD)。在一特定具體實施例中,該沉積步驟係於介於周遭溫度(例如,約23℃)至1000℃、或約400℃至約1000℃、或約400℃至約600℃、或約450℃至約550℃的一或更多溫度下進行。
001‧‧‧半導體基材
002‧‧‧氧化矽層
003‧‧‧氮化矽層
圖1顯示包含交錯存於半導體基材(001)上的氧化矽層(002)及氮化矽層(003)之裝置或多重層結構的具體實施例。
圖2顯示等到溝槽蝕刻及多晶矽成長之後藉由熱H3PO4蝕刻去除該犧牲性氮化矽層。
圖3顯示含實施例1中的膜之二示範TSA沉積的氧化矽之洩漏電流及擊穿電壓。該等膜顯示低洩漏電流及高擊穿電場;圖中顯示的任何雜訊是設備不穩定的結果。
圖4提供實施例4中的128個氧化矽及氮化矽層交錯的堆疊體之掃描式電子顯微鏡(SEM)影像,其顯示介於該氧化物層與氮化物層之間的界面清晰又分明。
圖5a及5b提供等到該堆疊體浸於熱(160至165℃)H3PO4浴中90秒以去除該等氮化矽層之後實施例4中的128個氧化矽及氮化矽層交錯的堆疊體之不同視面的SEM影像,其證實該等氮化矽層能選擇性地被去除。
圖6顯示於實施例9的矽基材上之三個氧化物/氮化物雙層結構中的氮濃度之動態SIMS斷面圖。
包含多數氧化矽膜及氮化矽層或膜例如,舉例來說,3D V快閃記憶體堆疊體裝置,的裝置或多重層結構現被用於電子業的許多不同應用。在一特定具體實施例中,這些多重層結構包含:(i)顯現至少一或更多下列特性的矽氮層或膜:高密度(例如,藉由X-射線反射率測量時高於2.3g/cm3)、藉由應力設備測量時50MPa至300MPa的拉伸應力、於約700℃或更高的一或更多溫度下進行熱處理之後小於3%的膜收縮率及/或小於300MPa的應力偏移、約50nm/min或更高 的沉積速率及其組合;及(ii)顯現至少一或更多下列特性的氧化矽層或膜:約1.9克/立方釐米(g/cm3或g/cc)或更高的密度、4 x1022個原子/cm3或更小的氫含量、-100MPa或更低的應力、4.5或更低的介電常數、於8MV/cm或更高的膜擊穿電場之10-9A/cm2或更低的洩漏電流及其組合。在各個不同具體實施例中,等到於一或更多下列溫度,介於約700℃至約1,200℃或約700℃至約1000℃,下進行高溫熱處理或退火之後本文所述裝置中的氮化矽膜顯現一或更多下列性質的實質上零或3%或更小、2%或更小、或1%或更小的變化。在一特定具體實施例中,該氧化矽膜及該氮化矽膜係由單一前驅物形成。在各個不同具體實施例中,該氮化矽膜顯現比該氧化矽膜於熱H3PO4中更高的濕式蝕刻選擇性,亦即,該氮化矽膜蝕刻的比該含矽和氧的膜於介於約120至約200℃、或約140至約170℃、或約160至約165℃溫度的熱H3PO4中更快許多。
除了使用習用前驅物例如矽烷(SiH4)的方法以外,此技藝未曾描述過使用單一前驅物沉積包含氧化矽層及氮化矽層交錯的雙層結構的多重層膜之方法。在採取使用單一前驅物沉積具有該氧化矽層及該氮化矽層的結構之方法時的挑戰之一是高品質含矽和氧的膜易於具有輕微壓縮應力(例如,介於約-300至約-100百萬帕斯卡(MPa))。為了防止該多重層結構的應力累積及堆疊體龜裂,該氮化矽膜應該具有互補的拉伸應力(例如介於約50至300MPa)以平衡掉該氧化矽層的壓縮應力。然而,就大部分有機矽前驅物及甲矽烷基礎氮化矽膜而言,結果產生的膜品質受到危害而且可能顯現一或更多下列問題:低密度(例如,2.2g/cm3或更小)、經過熱退火之後極大的收縮率(例如,高於5%,或甚至是高於10%)、 700MPa至1GPa的應力增量及其組合。有關拿包含至少一氧化矽層及至少一氮化矽層的多重層結構用於3D VNAND裝置的具體實施例,該製程通常含有於約700℃或更高的溫度下進行的一或更多磊晶加工步驟。關於這些具體實施例,該至少一含矽和氮化物的膜竟然耐得住於介於約700℃至約1,000℃的一或更多溫度下的熱處理。此溫度範圍徹底限制了適合的前驅物數目。
在此將用以形成該一或更多含矽膜或層的方法稱作沉積製程。用於本文所揭露的方法之適當沉積製程實例包括,但不限於,化學氣相沉積(CVD)、循環式CVD(CCVD)、MOCVD(金屬有機CVD)、熱化學氣相沉積、電漿強化化學氣相沉積(“PECVD”)、高密度PECVD、光子輔助CVD、電漿-光子輔助(“PPECVD”)、低溫化學氣相沉積、化學輔助氣相沉積、熱絲化學氣相沉積、液體聚合物前驅物的CVD、由超臨界流體來沉積及低能CVD(LECVD)。在某些具體實施例中,該等膜係藉由原子層沉積(ALD)、電漿強化ALD(PEALD)或電漿強化循環式CVD(PECCVD)製程來沉積。如本文所用的,該措辭“化學氣相沉積製程”表示使基材暴露於一或更多揮發性前驅物,該等前驅物於該基材表面上反應及/或分解以產生預期沉積的任何製程。如本文所用的,該措辭“原子層沉積製程”表示把材料的膜沉積於變化組成的基材上之自限性(例如,各反應周期所沉積膜材料量恆定)連續表面化學。儘管本文所用的前驅物、試劑及來源有時候可能被描述成“氣態”,但是咸了解該等前驅物也可能是液態或固態,該等前驅物係經由直接汽化、起泡或昇華利用或沒用惰性氣體輸送至該反應器中。在一些案例中,該等經汽化的前驅物能通過電漿產生器。在一具體實施例中,該一或更多膜係利用ALD製程來 沉積。在另一具體實施例中,該一或更多膜係利用CCVD製程來沉積。在另一具體實施例中,該一或更多膜係利用熱CVD製程來沉積。本文所用的措辭“反應器”包括但不限於,反應艙或沉積艙。
該含有含矽層例如氧化矽及氮化矽層的結構係利用選自具有式I至III的化合物中的至少一矽前驅物來沉積: 其中R係各自獨立地選自氫、線性或分支C2至C10烷基;線性或分支C2至C12烯基;線性或分支C2至C12炔基;C4至C10環狀烷基;及C6至C10芳基;而且R1係各自獨立地選自線性或分支C1至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基。具有式I至III的示範含矽前驅物包括,但不限於,下列化合物:
在某些具體實施例中,本文所揭露的方法藉由運用ALD或CCVD方法在引進該反應器以前及/或期間分開該等前驅物而避免該等前驅物的預反應。關此,利用沉積技術例如ALD或CCVD方法來沉積該膜。在一具體實施例中,該膜係經由ALD製程藉由使該基材表面輪流暴露於該含矽前驅物、氧來源、含氮來源或其他前驅物或試劑中的其一或更多者而沉積。膜成長藉由表面反應的自限性控制、各前驅物或試劑的脈衝時間長度及沉積溫度來進行。然而,一旦該基材的表面達到飽和,該膜生長便停止。
一種用於將包含含矽層的多重層堆疊體形成於基材至少一表面上之方法,其中該含矽層係選自氧化矽膜及氮化矽膜,該方法包含:提供該基材的至少一表面;引進選自具有式I至III的化合物中的至少一含矽前驅物: 其中R係各自獨立地選自氫、線性或分支C2至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;而且R1係各自獨立地選自線性或分支C1至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;將選自含氧來源及含氮來源的來源引進該反應艙;而且經由氣相沉積製程將該含矽層沉積於該基材的至少一表面上。
在一特定具體實施例中,該沉積步驟係於介於周遭至1000℃、或約400℃至約1000℃、或約400℃至約600℃、450℃至約600℃、或約450℃至約550℃的一或更多溫度下進行。在各個不同具體實施例中,該基材包含導體體基材。該含氧來源可選自由水(H2O)、氧(O2)、氧電漿、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O電漿、一氧化碳(CO)電漿、二氧化碳(CO2)電漿及其組合所組成的群組。該含氮來源可選自由氨、肼、單烷基肼、二烷基肼、氮、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3、NF3電漿及其混合物所組成的群組。
在另一具體實施例中,提供一種用於將包含至少一氮化矽膜沉積於基材的至少一表面上之方法,該方法包含:提供該基材的至少一表面;引進選自具有式I至III的化合物中的至少一含矽前驅物 其中R係各自獨立地選自氫、線性或分支C2至C10烷基;線性或分支C2至C12烯基;線性或分支C2至C12炔基;C4至C10環狀烷基;及C6至C10芳基;而且R1係各自獨立地選自線性或分支C1至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;將含氮來源或其組合引進該反應艙;及經由氣相沉積製程將該氮化矽膜沉積於該基材的至少一表面。在一特定具體實施例中,該沉積步驟係於介於周遭至1000℃、或約400℃至約1000℃、或約400℃至約600℃、450℃至約600℃、或約450℃至約550℃的一或更多溫度下進行。
一種用於將包含多數含矽層的裝置形成於半導體基材至少一表面上之方法,其中該等含矽層係選自氧化矽層及氮化矽層,該方法包含:提供該半導體基材的至少一表面;引進選自具有式I至III的化合物中的至少一含矽前驅物: 其中R係各自獨立地選自氫、線性或分支C2至C10烷基;線 性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;而且R1係各自獨立地選自線性或分支C1至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;將含氮來源或其組合引進該反應艙;經由氣相沉積製程沉積該氮化矽層;引進選自由以下所組成的群組中的至少一含矽前驅物:甲矽烷、二矽烷、四乙氧基矽烷(TEOS)、三乙氧基矽烷(TES)、四甲氧基矽烷、三甲氧基矽烷、二第三丁氧基矽烷(DTBOS)、二第三戊氧基矽烷(DTPOS)、二乙基矽烷、三乙基矽烷、二乙氧基甲基矽烷、二甲氧基甲基矽烷、二第三丁氧基甲基矽烷、甲基三乙醯氧基矽烷、二甲基乙醯氧基矽烷、二甲基二乙醯氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基三乙氧基矽烷、新己基三乙氧基矽烷、新戊基三甲氧基矽烷、二乙醯氧基甲基矽烷、苯基二甲氧基矽烷、苯基二乙氧基矽烷、苯基三乙氧基矽烷、苯基三甲氧基矽烷、苯基甲基二甲氧基矽烷、1,3,5,7-四甲基四環矽氧烷、八甲基四環矽氧烷、1,1,3,3-四甲基二矽氧烷、1-新己基-1,3,5,7-四甲基環四矽氧烷、六甲基二矽氧烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1,2-二乙醯氧基-1,2-二乙氧基二矽烷、1,3-二甲基-1,3-二乙氧基二矽氧烷、1,3-二甲基-1,3-二乙醯氧基二矽烷、1,2-二甲基-1,1,2,2-四乙醯氧基二矽烷、1,2-二甲基-1,1,2,2-四乙氧基二矽烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1-乙醯氧基-2-乙氧基二矽烷、甲基乙醯氧基第三丁氧基矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、六甲基二矽烷、四甲基二矽烷和二甲基二矽烷、六甲基二矽氧烷(HMDSO)、八甲基環四矽氧烷(OMCTS)和四 甲基環四矽氧烷(TMCTS)、雙(三乙氧基矽烷基)甲烷、雙(三乙氧基矽烷基)乙烷、雙(三甲氧基矽烷基)甲烷、雙(三甲氧基矽烷基)乙烷、雙(二乙氧基甲基矽烷基)甲烷、雙(二乙氧基甲基矽烷基)乙烷、雙(甲基二乙氧基矽烷基)甲烷、(二乙氧基甲基矽烷基)(二乙氧基矽烷基)甲烷及其組合。
將含氧來源或其組合引進該反應艙;而且經由氣相沉積製程沉積該氧化矽層。在一特定具體實施例中,該沉積步驟係於介於周遭至1000℃、或約400℃至約1000℃、或約400℃至約600℃,450℃至約600℃、或約450℃至約550℃的一或更多溫度下進行。在另一特定具體實施例中,該沉積步驟係於該氮化矽之前先沉積該氧化矽。該等沉積步驟能重複進行以提供氮化矽及氧化矽交錯的多重層堆疊體。
在上式及整個說明書中,該措辭“烷基”表示具有2至10或2至4個碳原子的線性或分支官能基。示範烷基包括,但不限於,乙基、正丙基、異丙基、正丁基、異丁基、第二丁基、第三丁基、正戊基、異戊基、第三戊基、己基、異己基及新己基。在某些具體實施例中,該烷基可能有一或更多接附於彼的官能基例如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,該烷基沒有一或更多接附於彼的官能基。
在上式及整個說明書中,該措辭“環狀烷基”表示具有3至10或4至10個原子的環狀基團。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。
在上式及整個說明書中,該措辭“芳基”表示具有6至10個碳原子的芳香族環狀官能基。示範芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。
在上式及整個說明書中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有2至10或2至6個碳原子的基團。示範烯基包括,但不限於,乙烯基或烯丙基。
在上式及整個說明書中,該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有2至10或2至6個碳原子的基團。
在某些具體實施例中,該基材包含半導體基材。在整個說明書中,該措辭“半導體基材”表示包含矽、鍺、氧化矽、氮化矽、碳化矽、碳氮化矽、摻碳的氧化矽、摻硼的矽、摻磷的矽、摻硼的氧化矽、摻磷的氧化矽、摻硼的氮化矽、摻磷的氮化矽、金屬例如銅、鎢、鋁、鈷、鎳、鉭、金屬氮化物例如氮化鈦、氮化鉭、金屬氧化物、III/V族例如GaAs、InP、GaP和GaN及其組合。
在某些具體實施例中,利用本文所述的方法沉積的含矽膜或層係於氧存在的情形下利用包含氧的氧來源、試劑或前驅物形成以提供氧化矽膜。該措辭“氧化矽”膜意指包含矽和氧的化學計量或非化學計量膜。圖1提供本文所述的多重層裝置的實例。在圖1中,利用上述方法沉積的氧化矽膜(002)係於氧存在的情形下使用包含氧的氧來源、試劑或前驅物形成。氧來源可以至少一氧來源的形式被引進該反應器及/或可附帶地存於該沉積製程所用的其他前驅物中。適合的氧來源氣體可包括,舉例來說,水(H2O)(例如,去離子水、純水及/或蒸餾水)、氧(O2)、氧電漿、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)及其組合。在一特定具體實施例中,該氧來源氣體包含一氧化二氮(N2O)。在各個不同具體實施例中,該氧來源係於介於約1至約4000標準立方公分(sccm)的流速下引進該反應器中。
在某些具體實施例中,該等含矽膜包含矽和氮以 提供氮化矽膜。該措辭“氮化矽”膜意指包含矽和氮的化學計量或非化學計量膜。在這些具體實施例中,利用上述方法沉積的含矽膜係於含氮來源存在的情形下形成。在一特定具體實施例例如圖1描繪者中,該含矽膜003包含氮化矽並且利用上述方法於氮存在的情形下使用包含氮的氮來源、試劑或前驅物來沉積。含氮來源可以至少一氮來源的形式被引進該反應器及/或可附帶地存於該沉積製程所用的其他前驅物中。適合含氮來源氣體可包括,舉例來說,氨、肼、單烷基肼、二烷基肼、氮、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3、NF3電漿及其混合物。在某些具體實施例中,該含氮來源包含於介於約1至約4000標準立方公分(sccm)的流速下引進該反應器的氨電漿或氫/氮電漿來源氣體。該含氮來源能被引進經過介於約0.1至約100秒的時間。在一特定具體實施例中使用該具有式I至III的化合物之氧化矽膜的沉積速率介於約50奈米/分鐘(nm/min)至約500nm/min,其比在相同條件之下使用甲矽烷而具有類似性筫的氧化矽沉積速率更高。在另一特定具體實施例中該氮化矽膜的沉積速率介於約50奈米/分鐘(nm/min)至約500nm/min,其比在相同條件之下使用甲矽烷而具有類似性質的氮化矽沉積速率更高。
本文所揭露的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗掉沒消耗的反應物及/或反應副產物,係不會與該等前驅物反應的惰性氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖(Ne)、氫(H2)及其混合物。在某些具體實施例中,洗淨氣體例如Ar係於介於約10至約2000sccm的流速下供入該反應器經歷約0.1至1000秒,藉以洗淨該未反應的材料和可能留在該反應器中的 任何副產物。
供應該等前驅物、含氧來源、該含氮來源、及/或其他前驅物、來源氣體、及/或試劑的分別步驟可藉由變化供應彼等的時期來進行以改變結果產生的膜的化學計量組成。
把能量施加於該含矽前驅物、含氧來源、含氮來源、還原劑、其他前驅物及/或其組合中的至少其一以引發反應並且將該含矽膜或塗層形成於該基材上。此能量可藉由,但不限於,熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合,來提供。在某些具體實施例中,二次射頻頻率來源可用以變更該基材表面處的電漿特徵。在該沉積涉及電漿的具體實施例中,該電漿產生的製程可能包含該電漿直接在該反應器中產生的直接電漿產生製程,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生製程。
該含矽前驅物可以各種不同方式運送至該反應艙例如CVD或ALD反應器中。在一具體實施例中,可利用液體運送系統。在一可供選擇的具體實施例中,可運用合併液體運送及閃蒸(flash vaporization)處理單元,例如,舉例來說,明尼蘇達州,休爾瓦的MSP股份有限公司所製造的渦輪汽化器,使低揮發性材料能夠以容積測流方式輸送,導致可再現的輸送及沉積而不會使該前驅物熱分解。在液體運送配方中,本文所述的前驅物可以純液體形式運送,或替換地,可以溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性而且在特定最終用途應用中有優點的溶劑組分以將膜形成於基材上。
在某些具體實施例中,從前驅物藥罐連至該反應 艙的氣體管道係依據製程要求加熱至一或更多溫度而且該至少一含矽前驅物的容器係維持於能供起泡的一或更多溫度。在其他具體實施例中,把包含該至少一含矽前驅物的溶液注入保持於一或更多供直接液體注射用的溫度下之汽化器。
關於也包含溶劑的組合物中使用具有前述式I至III的含矽前驅物的那些具體實施例,所挑選的溶劑或其混合物不會與該等前驅物反應。在該組合物中以重量百分比計的溶劑量介於0.5重量%至99.5重量%或10重量%至75重量%。在各個不同具體實施例中,該溶劑具有類似於該前驅物的沸點之沸點(b.p.)或介於該溶劑的沸點與該至前驅物的沸點之間的差異係40℃或更少,30℃或更少,或20℃或更少,或10℃。或者,該等沸點之間的差異介於下列端點中之任一或更多者:0、10、20、30或40℃。沸點差異適合範圍的實例包括,但不限於,0至40℃、20°至30℃或10°至30℃。該等組合物的適合溶劑的實例包括,但不限於,醚(例如1,4-二噁烷、二丁基醚)、三級胺(例如吡啶、1-甲基六氫吡啶、1-乙基六氫吡啶、N,N'-二甲基六氫吡嗪、N,N,N',N'-四甲基伸乙二胺)、腈化物(例如苯甲腈)、烷烴(例如辛烷、壬烷、十二烷、乙基環己烷)、芳香族烴(例如甲苯、均三甲苯)、三級胺基醚(例如雙(2-二甲基胺基乙基)醚)或其混合物。
在一特定具體實施例中,具有式I至III的含矽前驅物可能含有鹵離子(鹵基)例如,舉例來說,氯基和氟基、溴基及碘基,其係500份/百萬份(ppm)或更少、100ppm或更少、50ppm或更少、10ppm或更少及5ppm或更少的雜質或0ppm。據悉氯基扮作具有式I至III的含矽前驅物的分解觸媒以及不利於電子裝置性能的潛在污染物。所述之具有式I至III的含矽前驅物逐漸降解可能直接衝擊到膜沉積製程使半 導體製造廠商難以符合膜的規格。除此之外,該儲存壽命或安定性受到該具有式I至III的含矽前驅物之較高降解速率的負面衝擊,從而使其難以保證1至2年的儲存壽命。因此,關於這些可燃性及/或自燃性氣態副產物的形成使該具有式I至III的含矽前驅物的加速分解出現安全和性能上的問題。
實質上不含鹵基的根據本發明的組合物能藉由以下達成(1)在化學合成的期間還原或消除氯基來源,及/或(2)實施有效的純化製程以從粗製產物移除氯基使最終純化產物實質上不含氯基。氯基來源可能在合成的期間藉由使用不含鹵基的試劑例如氯二矽烷類、溴二矽烷類或碘二矽烷類來還原,藉以避免含鹵離子的副產物產生。除此之外,前述試劑理應實質上不含氯基雜質以致於結果產生的粗製產物實質上不含氯基雜質。依類似方式,該合成理應沒使用含有無法接受的高濃度鹵基污染物之以鹵基為基礎的溶劑、觸媒或溶劑。該粗製產物也可藉由不同純化方法來處理使最終產物實質上不含鹵基例如氯基。這樣的方法已經在先前技藝中詳加描述而且,可包括,但不限於,純化製程例如蒸餾或吸附。蒸餾常利用沸點之間的差異用以從期望產物分離出雜質。吸附也可用以利用多組分的差異性吸附性質促成分離使最終產物實質上不含鹵基。吸附劑例如,舉例來說,市售可得的MgO-Al2O3摻混物能用以移除鹵基例如氯基。
在另一具體實施例中,本文描述的是用於沉積含矽膜的容器,該含矽膜包含一或更多具有式I至III的含矽前驅物。在一特定具體實施例中,該容器包含至少一裝配適當閥和配件的可加壓容器(較佳由不銹鋼製成),以使一或更多前驅物能運送至該反應器供CVD或ALD製程用。在各個不同具體實施例中,該前驅物係供入包含不銹鋼的可加壓容器 中,而且該前驅物的純度係98重量%或更高或99.5%或更高,其適用於大多數半導體應用。在某些具體實施例中,這樣的容器也可具有用於混合該等前驅物與必要的話一或更多其他前驅物的裝置。在各個不同具體實施例中,該(等)容器的內容物能與另一前驅物預先混合。或者,該含矽前驅物能保持於獨立容器或具有分離裝置的單一容器中以便使該前驅物與其他前驅物在儲存的期間保持分開。
在本文所述的方法中,咸了解本文所述的方法的步驟可依照多變的順序進行,可依序地或同時地進行(例如,於另一步驟至少一部分的期間),及依其任何組合進行。供應該等前驅物和該含氧來源或含氮來源氣體的分別步驟可藉由變化供應彼等的時期來進行以改變所得含矽膜的化學計量組成。在某些具體實施例中,例如圖1所示的裝置,含矽層002及003係利用相同含矽前驅物例如具有式I至III之本文所述的化合物來沉積。該含矽層或膜002包含矽和氧,其係於含氧來源存在的情形下形成。含氧來源可以至少一氧來源的形式被引進該反應器及/或可附帶地存於該沉積製程所用的其他前驅物中。該含矽膜003包含矽和氮,其係於氮存在的情形下使用包含氮的氮來源、試劑或前驅物沉積。該氧化矽的厚度介於1Å至5000Å、10Å至2000Å、50Å至1500Å、50Å至1000Å、50Å至500Å,而該氮化矽的厚度介於1Å至5000Å、10Å至2000Å、50Å至1500Å、50Å至1000Å、50Å至500Å,其取決於層的數目。該一或更多氧化矽膜或層的厚度可與該氮化矽膜或層的厚度相同或不同。該等層的厚度能,舉例來說,藉由橢圓儀、折射計或其他裝置來測量。在一具體實施例中,該氧化矽層及該氮化矽層厚度測量時的差值,以+或-厚度百分比測量,介於下列端點中之一或多者: +/- 0.001、0.005、0.01、0.05、0.1、0.5、1、2、2.5、5、10、25、50及100%。舉例來說,若該氧化矽層係20奈米而且該氮化矽層係20.5奈米,則該厚度差值將會是0.025%。在一特定具體實施例中,該氧化矽層與氮化矽層之間的厚度差值介於約±0.001%至約±10%或約±0.01%至約±5%。
在某些具體實施例中,例如圖1所示的裝置,該裝置係施以一或更多後段處理步驟,其包括,但不限於,於介於約600℃至約1000℃或約700℃至1000℃的一或更多溫度下的退火步驟之熱處理。該後段處理步驟能利用一或更多下列能源來進行:UV、紅外線、電漿或任何其他能量來源。在該裝置係施以後段處理步驟例如退火的具體實施例中,關於該含矽和氧的層(例如,舉例來說圖1中的層002)經過該後段處理步驟前後的收縮百分比應為5%或更小、<2%或更小、0.5%或更小或零,而且關於該含矽和氮的層(例如,舉例來說圖1中的層003)經過該後段處理步驟前後的收縮百分比應為10%或更小、5%或更小及3%或更小或2%。為了避免該結構龜裂並且使該堆疊體應力維持於低值,該含矽和氧的膜002的應力增量應為50MPa或更小;該含矽和氮的膜003的應力增量應為300MPa或更小,較佳為200MPa或更小。
用於沉積的反應器或沉積艙溫度可介於下列端點中之其一:周遭溫度或25℃;400℃;425℃;475℃;500℃;525℃;550℃;575℃;600℃;625℃;650℃;700℃;1000℃及其任何組合。關此,用於沉積的反應器或沉積艙溫度可介於周遭溫度至1000℃、約400℃至約700℃、約400℃至約600℃、約450℃至550℃,或本文所述的溫度端點的任何組合。
該反應器或沉積艙壓力可介於約0.01托耳至約 1000托耳、或約0.1托耳至約100托耳。供應該等前驅物、氧來源、氮來源、及/或其他前驅物、來源氣體、及/或試劑的分別步驟可藉由變化供應彼等的時期來進行以改變結果產生的膜的化學計量組成。
下列實例舉例說明用於製備本文所述的含矽膜之方法或包含該含矽膜之裝置,而且不欲依任何方式限制彼。本文所述的實施例及具體實施例示範了可被完成的許多具體實施例。預期那些已明確揭露者以外的許多材料皆可以被製成。該製程的許多其他組態也可使用,而且用於該製程的材料可選自那些已明確揭露者以外的許多材料。
實施例 通用沉積條件
該等含矽膜係沉積於中等電阻率(8至12Ωcm)單晶矽晶圓基材上。在某些實施例中,該基材可暴露於沉積前處理例如,但不限於,電漿處理、熱處理、化學處理、紫外線曝光、電子束曝光及/或其他處理以影響該膜的一或更多性質。這使該等介電性質能在膜沉積之前被保存下來或增進。
實施例1至9的沉積皆於裝配著Astron EX遠距電漿產物器的200mm DXZ艙中,靠Applied Materials Precision 5000系統,利用矽烷或TEOS製程套組進行。該PECVD艙具備直接液體注射運送能力。除了甲矽烷以外,所有前驅物皆依據該等前驅物的沸點隨著運送溫度而為液體。典型液體前驅物流速係100至800mg/min,電漿功率密度係0.27至3.5W/cm2,壓力係0.75至10托耳。藉由反射計或橢圓儀來測量厚度及於632nm的折射率(RI)。典型膜厚度介於10至1000nm。該等矽基礎膜的鍵結性質氫含量(Si-H、C-H 及N-H)皆藉由Nicolet透射式傅利葉轉換紅外線光譜(FTIR)設備來測量並且分析。所有密度測量皆利用X-射線反射率(XRR)完成。進行X-射線光電子能譜(XPS)及二次離子質譜(SIMS)分析以測定該等膜的元素組成。濕式蝕刻速率(WER)係於熱H3PO4(160~165℃)中測量。用水銀探針來進行包括介電常數、洩漏電流及擊穿電場在內的電氣性質測量。該膜的應力係藉由Toho FLX-2320應力設備來測量。該等膜係於650至800℃下在N2周遭氣氛(O2<10ppm)中退火經過1小時;接著分析膜收縮率、應力偏移、WER、膜密度及元素組分。該等多重層結構及界面係利用Hitachi S-4700掃描式電子顯微術(SEM)系統於2.0nm的解析度下觀察。
矽前驅物利用實驗設計(DOE)法來篩選。關於含矽和氧的膜之實驗設計包括:約100至800mg/min的前驅物流量;100sccm至4000sccm的O2(或N2O)流量;0.75至8托耳的壓力;400至1000W的射頻功率(大約13.56MHz);0至100W的低頻(LF)功率;及150至550℃的沉積溫度。關於含矽和氮的膜之實驗設計包括:約100至800mg/min的前驅物流量;100sccm至4000sccm的NH3流量;0.75至8托耳的壓力;400至1000W的射頻功率(大約13.56MHz);0至100W的低頻(LF)功率;及150至550℃的沉積溫度。該等DOE實驗係用以測定能製造具有高密度及良好熱安定性的最佳膜的製程參數。
實施例1:使用三矽烷基胺(TSA)及一氧化二氮(N2O)來沉積含矽和氧的膜
許多氧化矽膜使用三矽烷基胺(TSA)當前驅物沉積於6吋及8吋矽基材上以測試膜密度、應力、熱安定性及 濕式蝕刻速率。該等膜的組成係藉由XPS來測量並且顯示該等膜包含SiO,其中該矽和氧的量隨該膜的原子百分比而變化。
由TSA所沉積的氧化物膜當中,用以沉積使用TSA而顯示最有利膜性質的含矽膜的製程條件如下:TSA流量(200至300mg/min)、N2O流量(2000至4000sccm)、He(1000sccm)、壓力(4至6托耳)、RF(600至900W)及溫度(425℃)。表1顯示使用TSA的選定氧化矽沉積的沉積條件:
沉積速率係126nm/min。該原沉積膜(as-deposited film)應力係-283MPa。4.20的介電常數及2.24g/cm3的密度指示其係一高品質氧化矽膜。XPS元素分析指示該Si:O比率係1:2。洩漏及擊穿測量,如圖3描繪的,顯示該洩漏電流係低於10-9A/cm2,而且擊穿電場高於8MV/cm,指示優良的絕緣性質。該氧化矽膜顯示優良的熱安定性。等到於N2周遭環境的800℃退火經過1小時之後,該膜收縮率可被忽略,或實質上為零,而且該應力偏移係大約50MPa。
實施例2:使用三矽烷基胺(TSA)及一氧化二氮(N2O)來沉積含矽和氧的膜
許多氧化矽膜使用三矽烷基胺(TSA)當前驅物沉積於6吋及8吋矽基材上以測試膜密度、應力、熱安定性及 濕式蝕刻速率。把用以沉積該等膜的參數提供於以下表2中。
沉積速率係100nm/min。該原沉積膜應力係-313MPa。4.14的介電常數及2.36g/cm3的密度指示其係一高品質氧化矽膜。XPS元素分析指示該Si:O比率係1:2。洩漏及擊穿測量,如圖3描繪的,顯示該洩漏電流係低於10-9A/cm2,而且擊穿電場高於8MV/cm,指示優良的絕緣性質。
該氧化矽膜顯示優良的熱安定性。等到於N2周遭環境的800℃退火經過1小時之後,該膜收縮率可被忽略,而且應力偏移係大約50MPa。實施例1及實施例2中的二氧化矽膜也都顯示於160至165℃的熱H3PO4中實質上零的濕式蝕刻速率。
實施例3:使用三矽烷基胺(TSA)及氨(NH3)來沉積氮化矽膜
許多氮化矽膜使用三矽烷基胺(TSA)當前驅物沉積於6吋及8吋矽基材上以測試膜密度、應力、熱安定性及濕式蝕刻速率。該等膜的組成係藉由XPS來測量並且顯示該等膜包含氮化矽,其中該矽和氮的量隨該膜的原子百分比而變化。
由TSA所沉積的氮化物膜當中,用以沉積使用TSA而顯示最有利膜性質的含矽膜的製程條件如下:TSA流量(150mg/min)、NH3流量(600至1000sccm)、He(1000sccm)、壓力(4至8托耳)、RF(600至900W)及溫度(425℃)。 表3顯示使用TSA的選定氮化矽沉積的沉積條件。沉積速率係298nm/min。
藉由測量於N2周遭環境的800℃熱退火經過1小時前後的膜厚度及應力,能發現到這些氮化矽膜安定又耐高溫處理,如下列表4所示。
該原沉積膜的應力稍微拉伸,平衡掉該氧化物層的壓縮應力。該退火後的膜之應力提高157MPa而且該膜收縮率係約1.4%,該二者確定該氮化矽膜的良好熱安定性。該原沉積及退火後膜於160至165℃的熱H3PO4中的濕式蝕刻速率分別為約120及約30nm/min。該等氮化物膜蝕刻的比氧化物膜更快許多,顯示有良好的蝕刻選擇性。
實施例4:使用三矽烷基胺(TSA)來沉積氧化矽/氮化矽膜堆疊體
依交錯順序使用該前驅物三矽烷基胺(TSA)+一氧化二氮(N2O)形成該氧化矽層並且使用TSA+氨(NH3)形成該氮化矽層,將包含25nm氧化矽及25nm氮化矽膜的 堆疊體沉積於一200mm矽基材上以提供一多重層堆疊體。該單層氧化物膜應力係-294MPa而且該單層氮物膜應力係231MPa。將氧化矽膜及氮化矽膜的沉積條件列於表5。
經過8、16、32、64及128個堆疊體層沉積之後測量該堆疊體應力。應力隨著堆疊體厚度稍微提高,如表6所示。
圖4提供128個氧化矽及氮化矽層交錯的堆疊體之掃描式電子顯微鏡(SEM)影像,其證明介於該氧化物層與氮化物層之間的界面清晰又分明。接著將該128個堆疊體的多重層結構浸於熱(160至165℃)H3PO4浴中經過90秒。經過該熱H3PO4浴之後的多重層結構影像係於500nm的解析度下藉由SEM得到並且以圖5a及5b的方式呈現,其顯示優良的蝕刻選擇性及介於氧化物層與氮化物層之間的明顯界面。
實施例5:使用三矽烷基胺(TSA)的氧化矽/氮化矽膜堆疊體沉積及熱退火
使用TSA+一氧化二氮(N2O)提供該等氧化矽層及TSA+氨(NH3)提供該等氮化矽層,將含有25nm氧化矽及25nm氮化矽膜的交錯層之128個堆疊體層結構沉積於一150mm矽基材上。測量該原沉積堆疊體的應力並且將結果提供於表7。如表7所示,該多重層結構顯現輕微壓縮應力而且該應力隨著堆疊體厚度稍微提高。將該堆疊體裝入管式爐中並且於800℃下在N2周遭環境中退火經過1小時。經過退火之後的堆疊體應力變化係大約115MPa而且將其提供於表7。
實施例6:使用三矽烷基胺(TSA)且具有不同厚度的氧化矽/氮化矽膜堆疊體的沉積及熱退火
使用TSA+一氧化二氮(N2O)提供該等氧化矽層及TSA+氨(NH3)提供該等氮化矽層,將含有20nm氧化矽及30nm氮化矽膜的交錯層之64個堆疊體層結構沉積於一150mm矽基材上。測量該原沉積堆疊體及退火後堆疊體的應力並且將結果提供於表8。因為該氮化物膜具有比該氧化物膜更高的應力,由於較厚的氮化物層,使此堆疊體的應力比實 施例5之具有25nm氧化物/25nm氮化物的堆疊體更高。
實施例7:TEOS氧化矽/TSA氮化矽膜堆疊體的沉積及熱退火
沉積一64個堆疊體層結構,其含有使用四乙基原矽酸酯(TEOS)的20nm氧化矽膜及使用三矽烷基胺(TSA)沉積的30nm氮化矽膜之交錯層。測量該原沉積堆疊體及退火後堆疊體的應力並且將結果提供於表9。該原沉積的TEOS氧化物膜顯示-97MPa的輕微壓縮應力而且該應力經過800℃退火之後降至-168MPa。結果,TEOS氧化物應力的降低彌補了TSA氮化物應力的提升。結果,該堆疊體的應力不因為大量而變化。
實施例8:甲矽烷基礎氮化矽膜的沉積及熱退火
將甲矽烷基礎氮化物沉積於200mm矽晶圓上。較佳的沉積條件包括:50至100sccm的甲矽烷流量;100sccm至1000sccm的NH3流量;500至2000sccm的N2流量;2至4托耳的壓力;200至600W的RF功率(13.56MHz);及介於400至500℃的沉積溫度。將該膜應力、密度及厚度收縮率列於表10。
該氮化矽膜密度由於拉伸應力要求而受到危害。該甲矽烷基礎氮化物膜顯示與具有稍微不同拉伸應力的TSA氮化物類似的密度。然而,該甲矽烷基礎氮化物顯示更高許多的膜收縮率;結果該應力變化可能達於1GPa或更高,暗示甲矽烷基礎氮化物可能因為堆疊體數目增加,例如多於50個堆疊體,而不適於該V-NAND應用。
實施例9:介於氮化矽與矽基材之間的界面處之氧化物層中的氮濃度降低
該氧化矽膜藉著表5的氧化物沉積條件沉積於矽晶圓上。該氧化矽膜的氮(N)含量藉動態SIMS來偵測。該N含量於該氧化矽膜中係低的,於1E20個原子/cc。然而,於該氧化物膜與矽基材之間的界面處N濃度突然升高,其中該N濃度達於1E22個原子/cc,有可能會改變蝕刻速率。
為了降低該界面處的N濃度,將該氧化矽沉積方法分成二步驟。在第一步驟中,N2O於4000sccm下流通4至5秒以將該前驅物完全氧化而且在第二步驟中將流速降至2000sccm。該氮含量藉由動態SIMS來評估。該N水準在整個膜中皆在1E20個原子/cc的水準;界面處沒見到突然升高。
氧化矽/氮化矽堆疊體結構利用表5的改良氧化物方法及氮化物方法來沉積。各層係25至30nm厚。藉由N濃度的動態SIMS分析,將該N濃度斷面圖顯示於圖6。能見到在該等氧化矽層中,該N含量係位於1E20個原子/cc的水準;而在該等氮化矽層中,該N含量係位於4E21個原子/cc的水準。該N濃度斷面圖顯示介於該等氧化物層與氮化物層之間有清晰的界面。
實施例10:使用三矽烷基胺(TSA)將氮化矽膜沉積於300mm晶圓上
使用TSA將氮化矽膜沉積於300毫米(mm)矽晶圓係於裝配著Astron EX遠距電漿產物器的300mm DXZ艙中靠Applied Materials Producer SE系統進行。該PECVD艙具備直接液體注射運送能力。藉由反射計或橢圓儀來測量厚度及於632nm的折射率(RI)。典型膜厚度介於100至1000nm。所有密度測量皆利用X-射線反射率(XRR)完成。進行X-射線光電子能譜(XPS)及二次離子質譜(SIMS)分析以測定該等膜的元素組成。使用TSA的氮化矽膜的較佳沉積條件如下:TSA流量(500至700mg/min)、NH3流量(4000至5000sccm)、He(4000至5000sccm)、壓力(4至8托耳)、RF(1000至1200W)及溫度(40至500℃)。
沉積速率高到360nm/min。該等原沉積膜顯現輕微拉伸應力:0至40MPa。隨著高膜密度(>2.5g/cm3),該膜收縮率係於800℃退火經過1小時之後約0.9%。該應力變化係於200至250MPa的範圍中。
001‧‧‧半導體基材
002‧‧‧氧化矽層
003‧‧‧氮化矽層

Claims (29)

  1. 一種用於將包含氧化矽層及氮化矽層的多重層堆疊體沉積於基材的至少一表面上之方法,該方法包含:將該基材的至少一表面提供於反應艙中;將選自具有式I至III的化合物中的至少一矽前驅物引進該反應艙 其中R係各自獨立地選自氫、線性或分支C2至C10烷基;線性或分支C2至C12烯基;線性或分支C2至C12炔基;C4至C10環狀烷基;及C6至C10芳基;而且R1係各自獨立地選自線性或分支C1至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;將選自含氧來源及含氮來源的來源引進該反應艙;而且經由氣相沉積製程將該多重層堆疊體沉積於該基材的至少一表面上,其中該氣相沉積製程係選自由化學氣相沉積(CVD)、電漿強化化學氣相沉積(PECVD)、循環式化學氣相沉積(CCVD)、電漿強化循環式化學氣相沉積(PECCVD)、原子層沉積(ALD)及電漿強化原子層沉積(PEALD)所組成的群組,其中該氣相沉積製程的沉積步驟的溫度介於約425℃至約600°C; 其中該氧化矽層包含選自下列性質中的一或多者:約1.9克/立方釐米(g/cm3或g/cc)或更高的密度;4 x 1022個原子/cm3或更小的氫含量;介於約-300MPa至-100MPa的應力;4.5或更低的介電常數;於8MV/cm或更高的膜擊穿電場之10-9A/cm2或更低的洩漏電流;及其組合;而且其中本文所述的氮化矽層包含下列性質中的一或多者:具有下列性質中的至少一或多者:約2.2g/cm3更高的密度;4 x 1022個原子/cm3或更低的氫含量;介於約50MPa至約300MPa的應力;經過至少一介於約700至約1,000℃的熱處理之後300MPa或更小的應力變化;於熱H3PO4中10nm/min或更高的濕式蝕刻速率;及其組合。
  2. 如申請專利範圍第1項之方法,其中該氧化矽層包含下列性質中的至少一或多者:約2.2g/cm3或更高的密度、介於約-300至約-100MPa的應力、經過達於800℃的熱處理之後50MPa或更小的應力偏移及其組合。
  3. 如申請專利範圍第1項之方法,其中該氮化矽層包含下列性質中的至少一或多者:約2.3g/cm3或更高的密度、介於約50至約300MPa的應力、經過達於800℃的熱處理之後300MPa或更小的應力偏移及其組合。
  4. 如申請專利範圍第1項之方法,其中該氧化矽層具有介於約50nm/min至約500nm/min的沉積速率。
  5. 如申請專利範圍第1項之方法,其中該含氧來源係選自由水(H2O)、氧(O2)、氧電漿、臭氧(O3)、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)、N2O電漿、一氧化碳(CO)電 漿、二氧化碳(CO2)電漿及其組合所組成的群組。
  6. 如申請專利範圍第1項之方法,其中該含氮來源係選自由氨、肼、單烷基肼、二烷基肼、氮、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3、NF3電漿及其混合物所組成的群組。
  7. 如申請專利範圍第1項之方法,其中該沉積製程係電漿強化化學氣相沉積(PECVD)或PECCVD。
  8. 如申請專利範圍第1項之方法,其中該矽前驅物包含三矽烷基胺。
  9. 如申請專利範圍第1項之方法,其中該含矽層係於達於1000℃的溫度下施以熱退火。
  10. 如申請專利範圍第1項之方法,其中該氧化矽層包含實質上零收縮率或約3%或更小或2%或更小或1%或更小的收縮率及經過達於800℃的熱處理之後約50MPa的應力變化。
  11. 如申請專利範圍第1項之方法,其中該氧化矽層包含於熱H3PO4中實質上零濕式蝕刻速率。
  12. 如申請專利範圍第1項之方法,其中該氮化矽層包含50nm/min或更高的成長速率。
  13. 如申請專利範圍第1項之方法,其中該氮化矽層包含極小收縮率及經過達於800℃的熱處理之後小於300MPa的應力變化。
  14. 如申請專利範圍第1項之方法,其中該多重層堆疊體包含交錯的氧化矽層及氮化矽層,其中該氧化矽層的數目介於 約48至約128層而且該氮化矽層的數目係約48至約128層,而且其中各氧化矽層具有相同厚度而且各氮化矽層具有相同厚度。
  15. 一種用於將包含含矽層的多重層堆疊體的裝置形成於半導體基材至少一表面上之方法,其中該等含矽層係選自氧化矽層及氮化矽層,該方法包含:提供該半導體基材的至少一表面;引進選自具有式I至III的化合物中的至少一含矽前驅物 其中R係各自獨立地選自氫、線性或分支C2至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;而且R1係各自獨立地選自線性或分支C1至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;將含氮來源引進該反應艙;經由氣相沉積製程沉積該氮化矽層;引進選自由以下所組成的群組中的至少一含矽前驅物:甲矽烷、二矽烷、四乙氧基矽烷(TEOS)、三乙氧基矽烷(TES)、四甲氧基矽烷、三甲氧基矽烷、二第三丁氧基矽烷(DTBOS)、二第三戊氧基矽烷(DTPOS)、二乙基矽烷、三乙基矽烷、二乙 氧基甲基矽烷、二甲氧基甲基矽烷、二第三丁氧基甲基矽烷、甲基三乙醯氧基矽烷、二甲基乙醯氧基矽烷、二甲基二乙醯氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基三乙氧基矽烷、新己基三乙氧基矽烷、新戊基三甲氧基矽烷、二乙醯氧基甲基矽烷、苯基二甲氧基矽烷、苯基二乙氧基矽烷、苯基三乙氧基矽烷、苯基三甲氧基矽烷、苯基甲基二甲氧基矽烷、1,3,5,7-四甲基四環矽氧烷、八甲基四環矽氧烷、1,1,3,3-四甲基二矽氧烷、1-新己基-1,3,5,7-四甲基環四矽氧烷、六甲基二矽氧烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1,2-二乙醯氧基-1,2-二乙氧基二矽烷、1,3-二甲基-1,3-二乙氧基二矽氧烷、1,3-二甲基-1,3-二乙醯氧基二矽烷、1,2-二甲基-1,1,2,2-四乙醯氧基二矽烷、1,2-二甲基-1,1,2,2-四乙氧基二矽烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1-乙醯氧基-2-乙氧基二矽烷、甲基乙醯氧基第三丁氧基矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、六甲基二矽烷、四甲基二矽烷和二甲基二矽烷、六甲基二矽氧烷(HMDSO)、八甲基環四矽氧烷(OMCTS)和四甲基環四矽氧烷(TMCTS)、雙(三乙氧基矽烷基)甲烷、雙(三乙氧基矽烷基)乙烷、雙(三甲氧基矽烷基)甲烷、雙(三甲氧基矽烷基)乙烷、雙(二乙氧基甲基矽烷基)甲烷、雙(二乙氧基甲基矽烷基)乙烷、雙(甲基二乙氧基矽烷基)甲烷、(二乙氧基甲基矽烷基)(二乙氧基矽烷基)甲烷及其組合,將含氧來源引進該反應艙;而且經由氣相沉積製程沉積該氧化矽層, 其中,其中該等氣相沉積製程的沉積步驟的溫度介於約425℃至約600℃。
  16. 如申請專利範圍第15項之方法,其中該氧化矽層包含下列性質中的至少一或多者:約2.2g/cm3或更高的密度、介於約-300至約-100MPa的應力、經過達於800℃的熱處理之後50MPa或更小的應力偏移及其組合。
  17. 如申請專利範圍第15項之方法,其中該氮化矽層包含下列性質中的至少一或多者:約2.3g/cm3或更高的密度、介於約50至約300MPa的應力、經過達於800℃的熱處理之後300MPa或更小的應力偏移及其組合。
  18. 如申請專利範圍第15項之方法,其中該氧化矽層具有介於約50nm/min至約500nm/min的沉積速率。
  19. 如申請專利範圍第15項之方法,其中該含氧來源係選自由水(H2O)、氧(O2)、氧電漿、臭氧(O3)、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)、N2O電漿、一氧化碳(CO)電漿、二氧化碳(CO2)電漿及其組合所組成的群組。
  20. 如申請專利範圍第15項之方法,其中該含氮來源係選自由氨、肼、單烷基肼、二烷基肼、氮、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3、NF3電漿及其混合物所組成的群組。
  21. 如申請專利範圍第15項之方法,其中該沉積製程係電漿強化化學氣相沉積(PECVD)或PECCVD。
  22. 如申請專利範圍第15項之方法,其中該矽前驅物包含三矽烷基胺。
  23. 如申請專利範圍第15項之方法,其中該含矽層係於達於1000℃的溫度下施以熱退火。
  24. 如申請專利範圍第15項之方法,其中該氧化矽層包含實質上零收縮率或約3%或更小或2%或更小或1%或更小的收縮率及經過達於800℃的熱處理之後約50MPa的應力變化。
  25. 如申請專利範圍第15項之方法,其中該氧化矽層包含於熱H3PO4中實質上零濕式蝕刻速率。
  26. 如申請專利範圍第15項之方法,其中該氮化矽層包含50nm/min或更高的成長速率。
  27. 如申請專利範圍第15項之方法,其中該氮化矽層包含極小收縮率及經過達於800℃的熱處理之後小於300MPa的應力變化。
  28. 如申請專利範圍第15項之方法,其中該多重層堆疊體包含交錯的氧化矽層及氮化矽層,其中該氧化矽層的數目介於約48至約128層而且該氮化矽層的數目係約48至約128層;各氧化矽層具有相同厚度而且各氮化矽層具有相同厚度。
  29. 一種用於沉積多數含矽膜之組合物,該組合物包含選自具有式I至III的化合物的含矽前驅物: 其中R係各自獨立地選自氫、線性或分支C2至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基;而且R1係各自獨立地選自線性或分支C1至C10烷基;線性或分支C3至C12烯基;線性或分支C3至C12炔基;C4至C10環狀烷基;及C6至C10芳基,其中該化合物不包含三矽烷基胺,而且該化合物實質上不含選自由鹵化物所組成的群組中的一或更多雜質。
TW105102222A 2015-01-29 2016-01-25 製造3d裝置的方法及前驅物 TWI617689B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562109381P 2015-01-29 2015-01-29
US62/109,381 2015-01-29
US201562183985P 2015-06-24 2015-06-24
US62/183,985 2015-06-24
US14/871,233 2015-09-30
US14/871,233 US10354860B2 (en) 2015-01-29 2015-09-30 Method and precursors for manufacturing 3D devices

Publications (2)

Publication Number Publication Date
TW201627519A TW201627519A (zh) 2016-08-01
TWI617689B true TWI617689B (zh) 2018-03-11

Family

ID=55272374

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105102222A TWI617689B (zh) 2015-01-29 2016-01-25 製造3d裝置的方法及前驅物
TW107103894A TWI664311B (zh) 2015-01-29 2016-01-25 用於沉積多數含矽膜之組合物

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107103894A TWI664311B (zh) 2015-01-29 2016-01-25 用於沉積多數含矽膜之組合物

Country Status (7)

Country Link
US (2) US10354860B2 (zh)
EP (1) EP3051001A3 (zh)
JP (2) JP6662648B2 (zh)
KR (2) KR101921192B1 (zh)
CN (1) CN105845549B (zh)
SG (2) SG10201800673TA (zh)
TW (2) TWI617689B (zh)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
EP3209813B1 (en) * 2014-10-24 2019-03-13 Versum Materials US, LLC Compositions and methods using same for deposition of silicon-containing film
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN109417022B (zh) * 2016-06-28 2023-08-11 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) * 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10332839B2 (en) * 2017-01-06 2019-06-25 United Microelectronics Corp. Interconnect structure and fabricating method thereof
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102093227B1 (ko) * 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10490467B2 (en) * 2017-07-06 2019-11-26 Applied Materials, Inc. Methods of forming a stack of multiple deposited semiconductor layers
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107564800B (zh) * 2017-08-31 2020-02-18 长江存储科技有限责任公司 一种氮化硅层的制备方法
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
CN107895724B (zh) * 2017-11-13 2021-01-22 中国科学院微电子研究所 一种三维存储器及其制作方法
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN110028971B (zh) * 2017-12-28 2021-11-09 Oci有限公司 蚀刻组合物及利用其的蚀刻方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
SG10201903201XA (en) * 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102346832B1 (ko) * 2018-05-23 2022-01-03 삼성에스디아이 주식회사 실리콘 질화막 식각용 조성물 및 이를 이용한 식각 방법
WO2020028064A1 (en) 2018-07-31 2020-02-06 Applied Materials, Inc. On stack overlay improvement for 3d nand
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP6903040B2 (ja) * 2018-09-21 2021-07-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102492488B1 (ko) 2018-10-22 2023-01-27 현대모비스 주식회사 차량의 제동 제어 장치 및 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200115061A (ko) * 2019-03-27 2020-10-07 고려대학교 세종산학협력단 박막 트랜지스터 및 박막 트랜지스터의 제조방법
US11189635B2 (en) * 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
CN110176459B (zh) * 2019-06-19 2020-07-03 英特尔半导体(大连)有限公司 用于存储器的沟道柱及其制造方法
KR20220038784A (ko) * 2019-08-07 2022-03-29 어플라이드 머티어리얼스, 인코포레이티드 3d nand를 위한 수정된 스택들
CN114616652A (zh) * 2019-09-13 2022-06-10 弗萨姆材料美国有限责任公司 单烷氧基硅烷及由其制备的致密有机二氧化硅膜
WO2021086860A1 (en) * 2019-11-01 2021-05-06 Applied Materials, Inc. Surface encasing material layer
KR20210066989A (ko) * 2019-11-28 2021-06-08 삼성전자주식회사 3차원 반도체 메모리 장치
US11740211B2 (en) * 2020-01-31 2023-08-29 Waters Technologies Corporation LC/MS adduct mitigation by vapor deposition coated surfaces
US11476268B2 (en) * 2020-05-29 2022-10-18 Micron Technology, Inc. Methods of forming electronic devices using materials removable at different temperatures
CN112670167A (zh) * 2020-12-29 2021-04-16 光华临港工程应用技术研发(上海)有限公司 制备氧化硅和氮化硅超晶格结构的方法
US20220216048A1 (en) * 2021-01-06 2022-07-07 Applied Materials, Inc. Doped silicon nitride for 3d nand
CN112885713A (zh) * 2021-01-29 2021-06-01 合肥维信诺科技有限公司 改善膜质的方法和显示面板
US20220415651A1 (en) * 2021-06-29 2022-12-29 Applied Materials, Inc. Methods Of Forming Memory Device With Reduced Resistivity
WO2023086905A1 (en) * 2021-11-15 2023-05-19 Versum Materials Us, Llc Multilayered silicon nitride film

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150021599A1 (en) * 2012-03-09 2015-01-22 Air Products And Chemicals, Inc. Barrier materials for display devices

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3094688B2 (ja) 1992-10-12 2000-10-03 富士電機株式会社 絶縁膜の製造方法
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
KR20010080412A (ko) * 1998-11-12 2001-08-22 조이스 브린톤 향상된 스텝 커버리지를 갖는 확산 장벽 물질
US7166165B2 (en) * 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US6541370B1 (en) 2001-09-17 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7129171B2 (en) * 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050101135A1 (en) * 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
WO2007008653A2 (en) 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
DE102007009914B4 (de) 2007-02-28 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR101532366B1 (ko) 2009-02-25 2015-07-01 삼성전자주식회사 반도체 기억 소자
KR101603731B1 (ko) 2009-09-29 2016-03-16 삼성전자주식회사 버티칼 낸드 전하 트랩 플래시 메모리 디바이스 및 제조방법
KR101758944B1 (ko) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
JP5495940B2 (ja) 2010-05-21 2014-05-21 三菱重工業株式会社 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
KR20110132865A (ko) 2010-06-03 2011-12-09 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR101793047B1 (ko) 2010-08-03 2017-11-03 삼성디스플레이 주식회사 플렉서블 디스플레이 및 이의 제조 방법
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US20130220410A1 (en) 2011-09-07 2013-08-29 Air Products And Chemicals, Inc. Precursors for Photovoltaic Passivation
US8933502B2 (en) 2011-11-21 2015-01-13 Sandisk Technologies Inc. 3D non-volatile memory with metal silicide interconnect
KR102025441B1 (ko) 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
US9018093B2 (en) 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
JP6024484B2 (ja) 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
JP6013313B2 (ja) 2013-03-21 2016-10-25 東京エレクトロン株式会社 積層型半導体素子の製造方法、積層型半導体素子、及び、その製造装置
US9012322B2 (en) * 2013-04-05 2015-04-21 Intermolecular, Inc. Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US9920077B2 (en) * 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
EP3209813B1 (en) * 2014-10-24 2019-03-13 Versum Materials US, LLC Compositions and methods using same for deposition of silicon-containing film

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150021599A1 (en) * 2012-03-09 2015-01-22 Air Products And Chemicals, Inc. Barrier materials for display devices

Also Published As

Publication number Publication date
EP3051001A2 (en) 2016-08-03
TWI664311B (zh) 2019-07-01
EP3051001A3 (en) 2016-11-09
JP6662648B2 (ja) 2020-03-11
US20160225616A1 (en) 2016-08-04
US10354860B2 (en) 2019-07-16
TW201819669A (zh) 2018-06-01
KR20160093551A (ko) 2016-08-08
CN105845549B (zh) 2020-03-03
CN105845549A (zh) 2016-08-10
US10985013B2 (en) 2021-04-20
US20190304775A1 (en) 2019-10-03
SG10201600366WA (en) 2016-08-30
JP2018133590A (ja) 2018-08-23
KR102243988B1 (ko) 2021-04-22
TW201627519A (zh) 2016-08-01
SG10201800673TA (en) 2018-03-28
KR101921192B1 (ko) 2018-11-22
JP2016149537A (ja) 2016-08-18
KR20180125928A (ko) 2018-11-26

Similar Documents

Publication Publication Date Title
TWI617689B (zh) 製造3d裝置的方法及前驅物
US20220157601A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
TWI658168B (zh) 用於含矽膜的沉積方法
EP2692897B1 (en) Methods of forming non-oxygen containing silicon-based films
JP6781165B2 (ja) ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法
KR20210060412A (ko) 질화규소 막을 증착시키는 방법
EP2924143A1 (en) Compositions and methods for the deposition of silicon oxide films
US20180122632A1 (en) Use of silyl bridged alkyl compounds for dense osg films
US8932674B2 (en) Vapor deposition methods of SiCOH low-k films
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP6999620B2 (ja) 高い炭素含有量を有する炭素ドープ酸化ケイ素膜および炭化ケイ素膜の製造方法