CN104271797A - 显示器件的阻隔材料 - Google Patents

显示器件的阻隔材料 Download PDF

Info

Publication number
CN104271797A
CN104271797A CN201380024294.5A CN201380024294A CN104271797A CN 104271797 A CN104271797 A CN 104271797A CN 201380024294 A CN201380024294 A CN 201380024294A CN 104271797 A CN104271797 A CN 104271797A
Authority
CN
China
Prior art keywords
silicon
cycloalkyl
film
thiazolinyl
alkynyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380024294.5A
Other languages
English (en)
Other versions
CN104271797B (zh
Inventor
R·G·里奇韦
A·D·约翰森
A·麦利卡尔珠南
R·N·弗尔蒂斯
雷新建
M·L·奥尼尔
萧满超
李建恒
M·T·萨沃
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN104271797A publication Critical patent/CN104271797A/zh
Application granted granted Critical
Publication of CN104271797B publication Critical patent/CN104271797B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • H01L29/78693Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate the semiconducting oxide being amorphous
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • H01L29/247Amorphous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

本文中描述的是包含一个或多个含硅层和金属氧化物层的装置。本文中还描述了形成一个或多个含硅层以用作,例如,显示器装置中的钝化层的方法。在一个具体的方面,所述装置包含透明金属氧化物层、氧化硅层和氮化硅层。在这个或其他方面,所述装置在350℃或更低的温度下沉积。本文中描述的含硅层包括一种或多种以下性质:密度约1.9g/cm3或更高;氢含量约4x1022cm-3或更低,通过紫外-可见光分光光度计测量的在400-700nm下的透光度为约90%或更高。

Description

显示器件的阻隔材料
相关申请的交叉引用
本专利申请要求2012年3月9日提交的序号为61/609,045的在先美国临时专利申请的优先权权益。
背景技术
显示器件已经生产用于范围广泛的电子应用,例如平板电视(TV)、平面监视器、移动式电话、MP3播放器、电子书或电子书阅读器、和个人数字助手(PDA)等等。显示器件设计成通过向液晶施加电场来产生想要的图像,所述液晶填充两衬底之间的间隙并具有各向异性的介电常数来控制介电场的强度。通过调节传送过所述衬底的光的量,可以有效控制光和图像强度、图像质量、和/或功耗。
用于平板显示器的薄膜晶体管(TFT)得益于较低的加工温度(例如,350℃或更低),使得可以使用比当前使用的衬底或玻璃更轻和价格更便宜的替代衬底。各种显示器件,例如有源矩阵液晶显示器(AMLCD)或有源矩阵有机发光二极管(AMOLED),可以用作使用触摸屏板的显示器件的光源。非晶氧化物半导体(AOS)、透明的非晶氧化物半导体(TAOS)或金属氧化物材料迅速出现作为TFT的替代材料,其提供比玻璃更高的性能,改善了所述器件的电性能,并且可在较低温度下加工。被认为是TFT替代品的AOS、透明非晶氧化物半导体(TAOS)或金属氧化物材料的例子包括氧化铟镓锌(IGZO)、a-IGZO(非晶氧化镓铟锌)、氧化铟锡锌(ITZO)、氧化铝铟(AlInOx)、氧化锌锡(ZTO)、氮氧化锌(ZnON)、氧化镁锌、氧化锌(ZnO)及其变体。虽然它们具有超过传统材料的优点,但这些材料具有约350℃或更低的温度加工限制。另外,这些膜可以沉积在塑料衬底上,将它们的温度加工限制降至约200℃。此外,某些AOS、TAOS或金属氧化物材料可由于在相邻的钝化栅极绝缘层中存在氢原子、或由于与透明非晶氧化物半导体(TAOS)或金属氧化物材料二者反应而受损,从而导致电流漏泄或其他类型的器件故障。
参考文献“Influence of Passivation Layers on Characteristics ofa-InGaZnO Thin-Film Transistors”,Liu等,Electron Device Letters,IEEE,第32卷(2),(20110,161-63页(“Liu等”),研究了在顶上由氧化硅和氮化硅构成的双重钝化层的沉积条件对a-InGaZnO TFT的阈电压(Vt)的影响。Liu等采用的试验结构由用作栅电极的具有硅衬底的p-型硅晶片、200纳米(nm)厚的充当栅极绝缘层的热生长二氧化硅层、45nm厚的源/漏(Al)电极邻接50nm厚的a-IGZO沟道层组成。所述Al电极和a-IGZO层顶部具有由30nm氧化硅层和180nm厚的氮化硅层构成的双重钝化层。所述氧化硅和氮化硅膜通过分别在200℃使用SiH4/N2O/N2和在250℃使用SiH4/NH3/N2进行等离子体增强的化学气相沉积(PECVD)而沉积。所述TFT的阈电压(VT)由于上方钝化层引起的机械应力而显著漂移。通过调节钝化过程期间氮化硅顶层的沉积参数,可以调整所述TFT的性能。在双重钝化之后优化的a-InGaZnO TFT展现出以下特性:场效应迁移率为11.35cm2/V·s,阈电压为2.86V,亚阈值摆幅为0.5V,和开关比为108
参考文献“Impact of Hydrogenation of ZnO TFTs byPlasma-Deposited Silicon Nitride Gate Dielectric”,Remashan等,IEEETransactions on Electronic Devices,第55卷,No.10(2008年10月),2736-43页,描述了通过在具有底部栅极构造的氧化锌(ZnO)TFT上PECVD具有可变折射率的氮化硅层用作栅极介电层的效应。作者说明,加氢是方法之一,其中因为氢在ZnO材料中充当缺陷钝化剂和浅n-型掺杂剂,ZnO TFT的性能可得以改善。在Remashan等中,四个氮化硅膜在压力650毫托、温度300℃和功率30W下通过PECVD沉积,但是使用硅烷相对于氨和氮的不同摩尔比以提供具有不同折射率(例如,2.39,2.26,1.92,和1.80)和介电常数(7.9,8.4,6.7,和6.1)的氮化硅膜。作者发现,在所有的TFT之中,具有最高折射率氮化硅膜或SiN_2.39的器件在场效应迁移率、亚阈值斜率和最高界面状态密度方面展现出最好的性能。二次离子质谱(SIMS)数据的分析显示,利用SiN_2.39的TFT结构中存在于ZnO/绝缘材料界面处和ZnO沟道中的氢量比利用SiN_1.80的那些结构高得多。因此,作者得出结论,利用SiN_2.39膜的TFT的性能增强归因于氢从所述SiN_2.39引入所述ZnO沟道和ZnO/绝缘材料界面中。
参考文献“Circuits Using Uniform TFTs Based on AmorphousIn-Ga-Zn-O”,Ryo Hayashi等,Journal of the Society for InformationDisplay,第15卷(11),2007,915-92页,公开了高性能和均匀性出色的薄膜晶体管(TFT),其具有利用非晶氧化铟镓锌(IGZO)膜和非晶二氧化硅膜分别作为沟道层和栅极绝缘层制造的底部栅极结构。制造成面积为1cm2的全部94个TFT显示出几乎一致的传输特性:平均饱和迁移率是14.6cm2/(V-sec),0.11cm2/(V-sec)的小标准差。由这些TFT构成的五级环型振荡器在18V的输入电压下以410kHz运行。基于这些TFT的像素驱动电路也用有机发光二极管(OLED)制造,其整体式集成在同一衬底上。它证明了从所述OLED电池发射的光可由120-Hz交流信号输入来切换和调制。非晶IGZO基TFT是大面积OLED显示器电子设备的结构单元的重要候选对象。
参考文献“Stability and High-Frequency Operation of AmorphousIn-Ga-Zn-O Thin-Film Transistors with Various Passivation Layers”,KenjiNomura等,Thin Solid Films,doi:10.1016/j.tsf.2011.10.068(2011),研究了非晶In-Ga-Zn-O(a-IGZO)薄膜晶体管(TFT)的稳定性,集中在钝化层材料(Y2O3、Al2O3、HfO2和SiO2)和热退火的效应上。考查了正偏压恒流应力(CCS)、没有光照的负偏压应力(NBS)、和负偏压光照应力(NBLS)。发现如果沟道在钝化形成之前退火(沉积后退火)并且钝化层在250℃下退火(制造后退火)的话,根据所有的稳定性试验,Y2O3是本研究中最好的钝化层材料。所述Y2O3钝化层的制造后热退火产生对于所述CCS和NBS应力非常稳定的TFT,并且消除了直至2.9eV光子能量的亚带隙光响应。即使对于具有2.7eV光子的NBLS,所述阈电压漂移在测试3小时之后被很好地抑制到-4.4V。这些结果提供了以下信息:(i)钝化除去了a-IGZO中表面深处的亚带隙缺陷并消除了亚带隙光响应,但是(ii)在所述钝化过程之前应该除去a-IGZO中的体积缺陷。Y2O3-钝化的TFT不仅对这些应力状态是稳定的,而且还与高频运行相容,电流增益截止频率为91kHz,与静态特性一致。
美国公布No.2012/045904(“‘904公布”)公开了在TFT器件中形成含无氢硅层的方法。所述含无氢硅层可以在TFT器件、光电二极管、半导体二极管、发光二极管(LED)、或有机发光二极管(OLED)、或其他适合的显示应用中用作钝化层、栅极介电层、蚀刻停止层、或其他适合的层。在一种实施方式中,在薄膜晶体管中形成含无氢硅层的方法包括将包含含无氢硅气体和反应气体的气体混合物供应到等离子体增强的化学气相沉积室中,其中所述含无氢硅气体选自SiF4、SiCl4、Si2Cl6,并在所述气体混合物存在下在衬底上形成含无氢硅层。
美国公布No.2010/059756(“’756公布”)公开了薄膜晶体管(TFT)。所述TFT可以包括在沟道与源极和漏极之间的夹层。可在所述TFT的漏极区域发生的截止电流增加由于由非晶硅(a-Si)、聚Si、锗(Ge)、或硅-锗(SiGe)形成的夹层而降低。
因此,需要提供一种或多种以下优点的显示器件及其制造方法:良好的电性质意味着它在加工之后保持它的半导体性质;低加工温度(例如,350℃或更低)降低氢含量;改善的电性能;和长期稳定性。
发明内容
本文中描述的是包含一个或多个含硅层和透明金属氧化物的装置。本文中还描述了形成一个或多个含硅层以用作,例如,显示器件中的钝化层的方法。
所述低温含硅膜具有以下性质的至少一个或多个:密度为约1.9克每立方厘米(g/cm3或g/cc)或更高;氢含量为4x1022cm-3或更低;通过紫外-可见光分光光度计测量的在400-700nm下的透光度为90%或更高;及其组合。在一种具体的实施方式中,所述含硅膜是具有至少一种或多种以下性质的氮化硅或氮氧化硅:密度为约2.2g/cm3或更高;氢含量为约4x1022cm-3或更低,和通过紫外-可见光分光光度计测量的在400-700nm下的透光度为约90%或更高。在一个方面,提供了装置,所述装置包含:包含金属氧化物层的衬底;和沉积在至少一部分所述金属氧化物上的氮化硅层,其中通过分析技术例如傅里叶变换红外光谱(FT-IR)、Rutherford反散射能谱(RBS)、或氢前向散射(HFS)或其他方法测量,所述氮化硅层包括密度为约2.4g/cm3或更高和氢含量为约4x1022cm-3或更低。在这种或其他实施方式中,所述氮化硅层通过紫外-可见光光谱法测量,在400-700纳米下具有约90%或更高的透光度。
在另一个方面,提供了装置,所述装置包含:包含金属氧化物层的衬底;沉积在至少一部分所述金属氧化物上的氮化硅层,其中所述氮化硅层包括密度为约2.4g/cm3或更高、氢含量为约4x1022cm-3或更低、和通过紫外-可见光光谱法测量的在400-700纳米下的透光度为约90%或更高;和在所述金属氧化物层和所述氮化硅层之间沉积的氧化硅层,其中所述氧化硅层的密度为约2.2g/cm3或更高。
在又一个方面,提供了在衬底的至少一个表面上沉积含硅膜的方法,其中所述衬底包含金属氧化物,所述方法包括:
在反应室中提供所述衬底的所述至少一个表面;
将选自下列的硅前体引入反应室中:
a.三甲硅烷基胺(TSA);
b.具有式R1R2NSiH3的二烷基氨基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;
c.式R1 nR2 mSiH4-m-n的烷基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;m是0、1、2、3、4;和n是1、2、3;
d.具有式R1 n(OR2)mSiH4-m-n的烷基烷氧基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;m是1、2、3或4;和n是0、1、2或3;
e.具有式(R1R2N)nSiH4-n的有机氨基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;和n是2、3或4;
f.异氰酸根合硅烷,选自四(异氰酸根合)硅烷和三(异氰酸根合)硅烷;
g.具有式R1R2R3SiN3的烷基叠氮基硅烷,其中R1、R2和R3独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;
h.具有式(R1R2R3Si)2(CH2)n,R1R2R3SiN3的烷基桥接二硅烷,其中R1、R2和R3独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且n=1、2、3;
i.具有式Si(OR1)4的烷氧基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;及其组合;
将选自氧源、含氮源及其组合的源引入所述反应室中;并在从约25℃至350℃的一种或多种温度下通过气相沉积工艺在所述衬底的所述至少一个表面上沉积含硅薄层;其中所述气相沉积工艺选自化学气相沉积(CVD)、等离子增强的化学气相沉积(PECVD)、循环化学气相沉积(CCVD)、等离子体增强的循环化学气相沉积(PECCVD)、原子层沉积(ALD)、和等离子体增强的原子层沉积(PEALD)。
附图说明
图1显示了实施例1和比较例2至7中提供的沉积在硅衬底上的各种含硅膜的密度(g/cm3)和氢(H)含量(通过XPS测量)之间的关系。图1显示了通过所述TSA前体得到的最高密度层。
图2显示了利用TSA前体在不同温度范围(150-325℃)下沉积的膜的密度和H含量之间的关系,其中图上的菱形对应于密度和图上的正方形对应于氢含量。
图3显示了在实施例1中利用TSA作为前体在300℃沉积的膜的密度和H含量之间的关系,其中正方形指示利用LF功率得到的数据和菱形指示没有LF功率得到的数据。
图4提供了从以下前体沉积的100nm厚的含硅膜的水分阻隔性能的比较:(A)(图上显示为菱形)三甲硅烷基胺和NH3(密度=2.36g/cm3);(B)(图上显示为三角形)二-异丙基氨基硅烷和NH3(密度=2.11g/cm3);(C)(图上显示为正方形)二甲基二乙氧基硅烷和H2(密度=1.95g/cm3);和(D)(图上显示为圆形)三甲基硅烷和NH3(密度=1.88g/cm3)。
图5提供了分别从TEOS和O2(或密度=2.25g/cm3的晶片16和17)或三甲硅烷基胺和NH3(或密度=2.52g/cm3的晶片6、7和8)沉积的82nm厚和100nm厚的膜的水分阻隔性能比较。
图6提供了实施例9中用于评价对表面复合速度的影响的示例性器件结构。
图7提供了在实施例9中描述的用TSA+NH3氮化物以及在硅衬底和氮化硅之间沉积的不同厚度TEOS+O2PECVD氧化硅钝化的高电阻率浮区(float zone)硅所观察到的表面复合速度。
图8a至8e提供了在实施例10中描述的用于测量钝化层对IGZO电阻率影响的示例性结构。
图9a和9b提供了本文中描述的装置的单钝化层和双钝化层实施方式的例子。
图10提供了不同的示例性器件之间以兆帕测量的应力和以小时测量的时间之间的关系。
具体实施方式
包含透明金属氧化物的装置如IGZO基TFT是针对移动显示器实施的。在其中所述透明金属氧化物的组成包括IGZO的一种具体实施方式中,与所述装置可能经受的加工温度的上限有关的热预算要求一个或多个钝化膜在300℃或更低的一个或多个温度下沉积。在这种或其他实施方式中,所述一个或多个钝化层通过具有约2.4克每立方厘米(g/cm3或g/cc)或更高的密度和4x1022cm-3或更低或者2x1022cm-3或更低的氢含量而提供了良好的密封性,其在本文中描述为不渗透流体,所述流体例如但不限于气体、液体或其组合。现有技术描述了双层结构,其中硅烷(SiH4)气体用于形成SiN:H和SiO2膜以钝化所述a-Si TFT下伏的结构。虽然这些SiN:H和SiO2膜可在低于300℃的温度下形成,但是当在低于300℃的温度下沉积时,这些膜的密度和H含量达不到为了钝化所述下面的透明金属氧化物层所需要的期望性质。在这方面,可用作显示器件的金属氧化物层的一个或多个钝化层的含硅膜的期望性质包括下列一种或多种:沉积温度约350℃或更低;密度约2.4g/cm3或更高;氢含量约2x1022cm-3或更低;通过紫外-可见光分光光度计测量的从400到700nm的透光度为约90%;及其组合。
本文中描述了沉积含硅膜的方法,所述膜可用作包括至少一个含硅层和至少一个透明金属氧化物层的显示器件的一个或多个钝化层。术语钝化层可以是指,但不限于,显示器件例如TFT器件、OLED器件、LED器件或其他显示应用中的钝化层、栅极介电层、蚀刻停止层或其他适当的层。术语含硅膜在本文中使用时可以是指硅、非晶硅、晶体硅、微晶硅、多晶硅、化学计量或非化学计量的氮化硅、或非化学计量的氧化硅、碳掺杂的氧化硅、碳氮化硅、和氮氧化硅膜。前述之中,所述一个或多个含硅膜由氧化硅、氮化硅、氮氧化硅、碳氧化硅(siliconcarboxide)和碳氧氮化硅(silicon carboxynitrde)构成。术语“金属氧化物”是指所述器件内适合用于显示器件中的一个或多个层。在这点上,所述金属氧化物层表现出一种或多种以下性质:具有用于显示器件的必要透光度,表现出高电子迁移率,和可以在低加工温度(例如350℃或更低或者300℃或更低)下制造。金属氧化物的例子包括但是不限于,氧化铟镓锌(IGZO)、a-IGZO(非晶氧化铟镓锌)、氧化铟锡锌(ITZO)、氧化铝铟(AlInOx)、氧化锌锡(ZTO)、氧氮化锌(ZnON)、氧化镁锌、氧化锌(ZnO)、InGaZnON、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuAlO、SrCuo、LaCuOS、GaN、InGaN、AlGaN或InGaAlN及其组合。
除了所述一个或多个钝化层和金属氧化物层之外,所述显示器件还可以包括,但不限于,栅极绝缘层、栅电极层、源极漏极层、和其他层。本文中描述的装置和方法可以用于在至少一部分衬底上沉积所述至少一个含硅和金属氧化物层。适当的衬底的例子包括但不限于,玻璃,塑料,不锈钢,有机或聚合物膜,硅,SiO2,Si3N4,OSG,FSG,碳化硅,氢化碳化硅,氮化硅,氢化氮化硅,碳氮化硅,氢化碳氮化硅,氮化硼,防反射涂料,光阻材料,有机聚合物,多孔有机和无机材料,金属例如铜、铝、铬、钼和栅电极例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN、ITO或其他栅电极。所述含硅膜与各种后续加工步骤例如化学机械抛光(CMP)和各向异性刻蚀过程相容。在某些实施方式中,本文中描述的含硅层具有范围从约4.0至约5.5或从约4.0至约4.5的介电常数。
在本文中描述和图9a中显示的装置的一种实施方式10中,所述含硅膜作为单钝化层30沉积在可用于例如显示器件中的金属氧化物20的至少一部分上。在本文中描述和图9b中显示的装置的另一种实施方式100中,所述含硅膜沉积在金属氧化物层120之上的一个或多个含硅膜上,所述含硅膜显示为图9b的钝化层2、或140,和图9b的钝化层1、或130,以提供双钝化层结构或多层钝化层结构。在一种实施方式中,所述双钝化或多层中的含硅膜是不同类型的含硅膜。或者,所述双或多层结构中的含硅膜可以是相同类型的含硅膜,但以各种方式交替,例如但不限于,SixOy、SiwNz、SixOy和SiwNz;SixOy、SixOy和SiwNz;SixOy、SiwNz和SiwNz;及其不同组合。虽然图9a和9b中显示的示例性结构显示了沉积在至少一部分所述金属氧化物膜上的所述一个或多个钝化层,但要理解所述一个或多个层不限于图9a和9b中描绘的层布置并且可以在金属氧化物层和一个或多个钝化层之上或之下、夹心、内嵌、包围、具有不含硅的居间层、或相对于彼此的任何其他空间关系并随后不限于此。
在一种具体的实施方式中,所述显示器件包含沉积在所述金属氧化物层上的至少两个钝化层,例如图9b中所示,其中所述钝化层包含:氧化硅或层140作为钝化层2和氮化硅作为层130或钝化层1。在9b中显示的装置的一种具体实施方式中,所述金属氧化物层包含IGZO并且所述至少两个钝化层充当保护IGZO膜防御大气杂质扩散的屏障(例如,是密封的),同时不会任何非常显著地影响处理后的IGZO膜的电阻率。在这种具体实施方式中,所述装置包含高密度氮化硅膜(例如,具有2.4g/cm3或更高的密度)作为钝化层1并由前体三甲硅烷基胺(TSA)和氨(NH3)在从约80℃至约400℃的一种或多种温度下沉积。所述器件还包含氧化硅膜作为钝化层2,以防止所述氮化硅中包含的活性氢扩散到位于所述氧化物之下的IGZO。所述氧化硅膜可以在从80℃到400℃的一种或多种温度下沉积。希望所选的前体和所述沉积工艺条件赋予最少的氢、羟基、或其他部分例如碳、烃或可以与所述金属氧化物层例如IGZO反应的其他官能团。在一种具体实施方式中,图9b中的钝化层2或140是从三乙基硅烷、二乙基硅烷或四乙氧基硅烷沉积的低温沉积(例如300℃或更低)的氧化硅膜,并具有一种或多种以下性质:厚度约2nm至约200nm,密度约2.2g/cm3或更高,和氢含量约5原子%或更低。在这种或其他实施方式中,图9b中的钝化层2或140从不包含Si-H基团的含硅前体沉积,因为已知Si-H可以与所述金属氧化物反应,从而损害所述金属氧化物层的电性质。对于具有包含氧化硅和氮化硅的两个或更多个钝化层的装置,虽然不受理论约束,但申请人相信所述氧化硅前体和它的沉积参数以及所述氮化硅和它的沉积参数的选择对于确保一个或多个钝化层的属性不会不利地影响所述金属氧化物层的电阻率是重要的。
在一种具体实施方式中,本文中描述的显示器件的装置包含至少一个利用前体三甲硅烷基胺(TSA)沉积并且是氮化硅或氮氧化硅膜的钝化层。在这种实施方式中,所述钝化层利用PECVD工艺在300℃的沉积温度下使用三甲硅烷基胺TSA沉积,并提供了2.5g/cm3或更高的膜密度和2x1022cm-3或更低的氢含量。在另一种实施方式中,本文中描述了包含TSA沉积的氮化硅膜的装置,所述氮化硅膜通过PECVD在甚至更低的沉积温度或200℃下沉积并具有约2.4g/cm3或更高的密度。在以上这两种实施方式中,通过紫外-可见光分光光度计测量,所述TSA沉积的氮化硅膜提供了适合于显示器件应用的从400到700nm的透光度为90%或更高的透光度要求。此外,在这两种实施方式中,所述装置具有使所述金属氧化物层例如包含IGZO的金属氧化物层具有半导体电阻(例如,具有从1x104至1x105欧姆/平方(′Ω/□)的电阻)的至少一个或多个钝化层。本文中描述的装置保持这种电阻范围,或者即使在它已经暴露于85℃和85%湿度循环的高温高湿之后仍然是半导电的。
如前面提到,除了氮化硅钝化层之外,在本文中描述的装置的一种实施方式中,所述装置还包含氧化硅层。该氧化硅层,像氮化硅层一样,具有至少一种或多种以下性质:厚度约2nm至约200nm,密度约2.2g/cm3或更高,和氢含量约5原子%或更低。在某些实施方式中,用于沉积所述氧化硅膜的前体不具有Si-H键,例如四烷氧基硅烷(TEOS)。
用于形成所述一个或多个含硅膜或层和所述金属氧化物层的方法本文中称为沉积工艺。本文中公开的方法的适当沉积工艺的例子包括,但不限于,化学气相沉积(CVD)、循环CVD(CCVD)、MOCVD(金属有机CVD)、热化学气相沉积、等离子体增强的化学气相沉积(“PECVD”)、高密度PECVD、光子辅助的CVD、等离子体-光子辅助的(“PPECVD”)、低温化学气相沉积、化学辅助的气相沉积、热丝化学气相沉积、液态聚合物前体的CVD、从超临界流体沉积、和低能量CVD(LECVD)。在某些实施方式中,所述膜通过原子层沉积(ALD)、等离子体增强的ALD(PEALD)或等离子体增强的循环CVD(PECCVD)工艺沉积。在本文中使用时,术语“化学气相沉积工艺”是指其中衬底暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在所述衬底表面上反应和/或分解以产生期望的沉积。在本文中使用时,术语“原子层沉积工艺”是指自限性的(例如,每个反应循环沉积的膜材料的量不变)、顺序的表面化学,其将组成变化的材料的膜沉积在衬底上。虽然本文中使用的前体、反应剂和源有时可以描述为“气态的”,但要理解,所述前体还可以是液体或固体,其通过直接汽化、鼓泡(bubbling)或升华在用或不用惰性气体下被输送到所述反应器中。在有些情况下,所述气化的前体可以通过等离子体发生器。在一种实施方式中,所述一个或多个膜利用ALD工艺沉积。在另一种实施方式中,所述一个或多个膜利用CCVD工艺沉积。在另一种实施方式中,所述一个或多个膜利用热CVD工艺沉积。术语“反应器”在本文中使用时,包括但不限于,反应室或沉积室。
在某些实施方式中,本文中公开的方法通过利用ALD或CCVD方法在引入所述反应器之前和/或期间将所述前体分开,从而避免了所述前体的预反应。为此,利用沉积技术例如ALD或CCVD工艺沉积所述膜。在一种实施方式中,借助ALD工艺,通过将衬底表面交替暴露于所述一种或多种所述含硅前体、氧源、含氮源、或其他前体或反应剂,来沉积所述膜。膜生长通过自限性控制表面反应、每种前体或反应剂的脉冲长度和沉积温度来进行。然而,一旦所述衬底的表面饱和,则所述膜生长停止。
用于沉积所述一个或多个含硅膜或层的所述含硅前体选自:
a.三甲硅烷基胺(TSA);
b.具有式R1R2NSiH3的二烷基氨基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;
c.式R1 nR2 mSiH4-m-n的烷基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;m是0、1、2、3、4;和n是1、2、3;
d.具有式R1 n(OR2)mSiH4-m-n的烷基烷氧基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;m是1、2、3或4;和n是0、1、2或3;
e.具有式(R1R2N)nSiH4-n的有机氨基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;和n是2、3或4;
f.异氰酸根合硅烷,选自四(异氰酸根合)硅烷和三(异氰酸根合)硅烷;
g.具有式R1R2R3SiN3的烷基叠氮基硅烷,其中R1、R2和R3独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;
h.具有式(R1R2R3Si)2(CH2)n,R1R2R3SiN3的烷基桥接二硅烷,其中R1、R2和R3独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且n=1、2、3;
i.具有式Si(OR1)4的烷氧基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;及其组合。
在另一种实施方式中,所述一个或多个含硅层利用本文中描述的沉积工艺从包含三甲硅烷基胺(TSA)和选自下列的一种或多种所述含硅前体的组合物沉积:
a.具有式R1R2NSiH3的二烷基氨基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;
b.式R1 nR2 mSiH4-m-n的烷基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;m是0、1、2、3、4;和n是1、2、3;
c.具有式R1 n(OR2)mSiH4-m-n的烷基烷氧基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;m是1、2、3或4;和n是0、1、2或3;
d.具有式(R1R2N)nSiH4-n的有机氨基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;和n是2、3或4;
e.卤代硅烷,选自一氯硅烷、二氯硅烷、三氯硅烷、四氯硅烷、和六氯硅烷;
f.具有式(R1R2)NSiR3OR4OR5的烷氧基氨基硅烷;其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2和R3独立地选自氢、C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R4和R5独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;并且其中R4和R5相连形成环或R4和R5未相连形成环;
g.异氰酸根合硅烷,选自四(异氰酸根合)硅烷和三(异氰酸根合)硅烷;
h.具有式R1R2R3SiN3的烷基叠氮基硅烷,其中R1、R2和R3独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;和
i.具有式(R1R2R3Si)2(CH2)n,R1R2R3SiN3的烷基桥接二硅烷,其中R1、R2和R3独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且n是1、2或3;
j.具有式Si(OR1)4的烷氧基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;及其组合。
在所述包含三甲硅烷基胺和一种或多种含硅前体的组合物的以上实施方式中,三甲硅烷基胺在所述组合物中的百分比范围从0.5至99%,取决于所述沉积的含硅膜或钝化膜是否可满足目标显示器件的要求。一种优选的实施方式是三甲硅烷基胺与二异丙基氨基硅烷的混合物,其将允许所述沉积膜被调整以满足应用要求。另一种优选实施方式是三甲硅烷基胺与二乙基硅烷的混合物,因为它们二者的沸点彼此接近并允许它们以液态混合和可通过直接液体喷射进行递送。
前述的含硅前体之中,示例性的二烷基氨基硅烷包括,但不限于,二异丙基氨基硅烷、二仲丁基氨基硅烷、和2,6-二甲基哌啶子基硅烷。示例性的烷基硅烷包括,但不限于,二乙基硅烷(2ES)、二(叔丁基)硅烷、二(异丙基)硅烷、二(仲丁基)硅烷、二(异丁基)硅烷、二(叔戊基)硅烷、三乙基硅烷(3ES)、三(叔丁基)硅烷、三(异丙基)硅烷、三(仲丁基)硅烷、三(异丁基)硅烷、三(叔戊基)硅烷、叔丁基二乙基硅烷、叔丁基二丙基硅烷、二乙基异丙基硅烷、环戊基硅烷、和苯基硅烷。示例性的烷基烷氧基硅烷包括,但不限于,四乙氧基硅烷(TEOS)、二乙氧基二甲基甲硅烷和四乙氧基硅烷。示例性的有机基氨基硅烷包括,但不限于,三(二甲基氨基)硅烷、二异丙基氨基硅烷、和双(叔丁氨基)硅烷。示例性的烷基叠氮基硅烷前体包括,但不限于,Me3SiN3和Et3SiN3。示例性的烷基桥接硅烷包括,但不限于,1,4-二硅杂丁烷。
在上式和整个说明中,术语“烷基”表示具有1至10或1至4个碳原子的直链或支链官能团。示例性的烷基包括,但不限于,甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、叔丁基、正戊基、异戊基、叔戊基、己基、异己基和新己基。在某些实施方式中,所述烷基可以具有一个或多个与其相连的官能团,例如但不限于,烷氧基、二烷基氨基或其组合。在其他实施方式中,所述烷基不具有与其相连的一个或多个官能团。
在上式和整个说明中,术语“环烷基”表示具有3至12或4至10个碳原子的环状官能团。示例性的环烷基包括,但不限于,环丁基、环戊基、环己基和环辛基。
在上式和整个说明中,术语“芳基”表示具有6至12个碳原子的芳族环状官能团。示例性的芳基包括,但不限于,苯基、苄基、氯苄基、甲苯基、和邻二甲苯基。
在上式和整个说明中,术语“烯基”表示具有一个或多个碳-碳双键并具有2至12或2至6个碳原子的基团。示例性的烯基包括,但不限于,乙烯基或烯丙基。
在上式和整个说明中,术语“炔基”表示具有一个或多个碳-碳三键并具有2至12或2至6个碳原子的基团。
在上式和整个说明中,术语“烷氧基”表示与氧原子相连并可以具有1至12或1至6个碳原子的烷基(例如R-O)。示例性的烷氧基包括,但不限于,甲氧基(-OCH3)、乙氧基(-OCH2CH3)、正丙氧基(-OCH2CH2CH3)和异丙氧基(-OCHMe2)。
在某些实施方式中,上式中的一个或多个所述烷基、烯基、炔基、烷氧基和/或芳基可以被取代或具有一个或多个取代的原子或原子团代替,例如,氢原子。示例性的取代基包括,但不限于,氧、硫、卤素原子(例如F,Cl,I,或Br)、氮、和磷。在其他实施方式中,所述式中的一个或多个所述烷基、烯基、炔基、烷氧基和/或芳基可以是未取代的。
在某些实施方式中,在上式中连接的取代基R1和R2或取代基R4和R5(如果存在)相连形成环结构。在某些实施方式中,上式中的R1和R2和/或R4和R5(如果存在)可以连接在一起形成环。正如技术人员将理解的,在R1和R2连接在一起形成环的情况下,R1将包含用于连接R2的键(而不是氢取代基),反之亦然。因此,在上面的例子中,R1可以选自直链或支链的C1至C10亚烷基部分;C2至C12亚烯基部分;C2至C12亚炔基部分;C4至C10环烷基部分;和C6至C10亚芳基部分。在这些实施方式中,所述环结构可以是不饱和的,例如环烷基环,或饱和的,例如芳基环。在这些实施方式中,所述环结构还可以是取代或未取代的。在其它实施方式中,取代基R1和R2或取代基R4和R5(如果存在)不相连。
在某些实施方式中,利用本文中描述的方法沉积的所述含硅膜或层是利用氧源、反应剂或含氧前体,在氧存在下形成的。在一种具体实施方式、例如图9b描绘的实施方式中,包含氧化硅并利用如上所述的方法沉积的含硅膜140或钝化层2利用氧源、反应剂或含氧前体,在氧存在下形成。氧源可以以至少一种氧源的形式被引入所述反应器和/或可以在用于所述沉积工艺的其他前体中附带存在。适当的氧源气体可以包括,例如,水(H2O)(例如,去离子水,纯化水,和/或蒸馏水)、氧气(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)及其组合。在某些实施方式中,所述氧源包含以约1至约2000平方立方厘米(sccm)或从约1至约1000sccm的流量被引入所述反应器的氧源气体。所述氧源可以被引入从约0.1至约100秒范围的时间。在一种具体的实施方式中,所述氧源包含温度为10℃或更高的水。在其中通过ALD或循环CVD工艺沉积所述膜的实施方式中,所述前体脉冲可以具有大于0.01秒的脉冲持续时间,并且所述氧源可以具有小于0.01秒的脉冲持续时间,同时水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一种实施方式中,所述脉冲之间的吹扫时限可以低到0秒或连续脉冲,在其间没有吹扫。所述氧源或反应剂以与所述硅前体小于1:1比率的分子量提供,使得至少一些碳保留在如此沉积的介电膜中。
在某些实施方式中,所述含硅膜包含硅和氮。在这些实施方式中,利用本文中描述的方法沉积的所述含硅膜在含氮源存在下形成。在一种具体实施方式、例如图9b描绘的实施方式中,包含氮化硅并利用如上所述的方法沉积的含硅膜130或钝化层1是在利用氮气、反应剂或含氮前体的氮存在下形成的。含氮源可以以至少一种氮源的形式被引入所述反应器和/或可以附带存在于用于所述沉积工艺的其他前体中。适当的含氮源气体可以包括,例如,氨、肼、单烷基肼、二烷基肼、氮气、氮气/氢气、氨等离子体、氮等离子体、氮/氢等离子体、NF3及其混合物。在一种具体的实施方式中,NF3用于还原所生成的膜中的氢含量,因为氢可以与所述金属氧化物反应,从而不利地影响所述显示器件的性能。在某些实施方式中,所述含氮源包含以约1至约2000平方立方厘米(sccm)或约1至约1000sccm的流量被引入所述反应器的氨等离子体或氢/氮等离子体源气体。所述含氮源可以引入从约0.1至约100秒范围的时间。在其中通过ALD或循环CVD工艺沉积所述膜的实施方式中,所述前体脉冲可以具有大于0.01秒的脉冲持续时间,并且所述含氮源可以具有小于0.01秒的脉冲持续时间,同时水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一种实施方式中,所述脉冲之间的吹扫时限可以低到0秒或连续脉冲,在其间没有吹扫。
本文中公开的沉积方法可以包括一种或多种吹扫气体。所述吹扫气体用于吹扫掉未消耗的反应物和/或反应副产物,是不与所述前体反应的惰性气体。示例性的吹扫气体包括,但不限于,氩气(Ar)、氮气(N2)、氦气(He)、氖气、氢气(H2)、及其混合物。在某些实施方式中,吹扫气体例如氩气以约10至约2000sccm的流量供应到所述反应器中约0.1至1000秒,从而清除可能留在反应器中的未反应的材料和任何副产物。
供应所述前体、氧源、含氮源、和/或其他前体、源气体、和/或反应剂的相应步骤可以通过改变供应它们的时间来进行,以改变所生成的介电膜的化学计量组成。
向所述含硅前体、含氧源、含氮源、还原剂、其他前体和/或其组合的至少一种施加能量以引起反应和在衬底上形成所述含硅膜或涂层。这种能量可以通过,但不限于,热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、感应耦合等离子体、X-射线、电子束、光子、远程等离子体方法、及其组合提供。在某些实施方式中,二次RF频率源可用于在衬底表面处修改等离子体特性。在其中所述沉积涉及等离子体的实施方式中,等离子体产生过程可以包括直接等离子体产生过程,其中等离子体在反应器中直接产生,或者远程等离子体产生过程,其中等离子体在反应器以外产生并供应到反应器中。
所述含硅前体可以用各种方式输送到所述反应室例如CVD或ALD反应器。在一种实施方式中,可以利用液体输送系统。在可替代实施方式中,可以使用联合液体输送和闪蒸过程装置,例如,由MSP Corporation(Shoreview,MN)制造的涡轮式气化器,使得低挥发性材料能够被定体积输送,这导致可重复的运输和沉积而没有所述前体的热分解。在液体输送制剂中,本文中描述的前体可以净液态输送,或者,可以在包含它的溶剂制剂或组合物中使用。因此,在某些实施方式中,所述前体制剂可以包含在给定的最终用途应用中可能需要和有利的适当特性的溶剂组分,以在衬底上形成膜。
在某些实施方式中,从所述前体罐连接到所述反应室的气体管线根据工艺要求被加热到一种或多种温度,所述至少一种含硅前体的容器保持在一种或多种鼓泡温度下。在其他实施方式中,将包含所述至少一种含硅前体的溶液注入保持在直接液体喷射的一种或多种温度下的气化器中。
在通常的ALD或CCVD工艺中,所述衬底例如氧化硅衬底在反应室中的加热器台上加热,开始暴露于所述含硅前体以允许所述络合物化学吸附在所述衬底的表面上。吹扫气体例如氩气从所述加工室清除掉未吸收的过量络合物。充分吹扫之后,含氮源可以被引入反应室以与所述吸收表面反应,然后是另一种气体吹扫以从所述室中除去反应副产物。所述加工循环可以重复以达到想要的膜厚度。
所述含硅膜的沉积速率可以在0.1nm到5000nm/分钟的范围之内。所述速率可以通过改变任何一个下列非限制性参数来控制:沉积温度,气化器温度,LFC的流量,反应性O2气体的流速和/或CVD反应器的压力。前体的选择也可以决定沉积速率。
所生成的膜或涂层可以暴露于沉积后处理,例如,但不限于,等离子体处理、化学处理、紫外光暴露、电子束暴露、和/或其他处理,以实现所述膜的一种或多种性质。
在本文中描述的方法中,要理解本文中描述的方法的步骤可以用各种顺序进行,可以相继或同时进行(例如,在另一个步骤的至少一部分期间),及其任何组合。供应所述前体和所述含氮源气体的相应步骤可以通过改变供应它们的时间来进行,以改变所生成的介电膜的化学计量组成。
在某些实施方式中,图9b中分别显示为130和140的钝化层1和2,利用相同的含硅前体沉积。所述钝化层1的含硅膜包含硅和氮,其在含氮源存在下形成。含氮源可以以至少一种氮源的形式被引入所述反应器和/或可以附带存在于用于所述沉积工艺的其他前体中。所述钝化层2的含硅膜包含硅和氧,其利用如上所述的方法,在利用氧源、反应剂或含氧前体的氧存在下沉积。
在某些实施方式中,沉积在底部包含SiCO或SiO2和在顶部包含SiNC或Si3N4、从顶部到底部成梯度的梯度(gradiated)层或双层可能是有利的。在这种实施方式中,所述梯度层从包含含硅前体和含氧前体例如三甲硅烷基胺和O2、臭氧或N2O的第一反应剂混合物沉积,然后用含氮气体例如N2、氨或肼替代所述含氧气流。如果所述含硅前体已经含有氮,那么第二步骤可以仅利用惰性气体或氢气进行。含氧到含氮或惰性气体的变化可以是逐渐的或突然的,产生梯度层或双层结构。这种梯度层或双层是有利的,因为SiOC层保护下面层抵御在SiCN层沉积期间产生的氢,同时SiCN层充当最终器件中的水分屏障。这种实施方式的硅前体不会包含氧,因为难以防止来自所述前体的氧掺入所述SiCN或Si3N4膜中。
用于沉积的反应器或沉积室的温度范围可以来自以下端点之一:环境温度25℃;50℃;75℃;100℃;125℃;150℃;175℃;200℃;225℃;250℃;300℃;325℃;350℃;375℃;400℃;及其任何组合。在这点上,用于沉积的反应器或沉积室的温度范围可以从环境温度25℃至约400℃、100℃至370℃、150℃至325℃、或100℃至300℃、或本文中描述的温度端点的任何组合。
所述反应器或沉积室的压力范围可以从约0.1托至约1000托。供应所述前体、氧源和/或其他前体、源气体、和/或反应剂的相应步骤可以通过改变供应它们的时间来进行,以改变所生成的介电膜的化学计量组成。
以下实施例说明了制备本文中描述的介电膜的方法并且不打算以任何方式限制它。
实施例
通用沉积条件
所述含硅膜沉积在介质电阻率(8-12Ωcm)单晶硅晶片衬底上。在某些实施例中,所述衬底可以暴露于沉积前处理,例如,但不限于,等离子体处理、化学处理、紫外光暴露、电子束暴露、和/或其他处理,以实现所述膜的一种或多种性质。例如,使所述IGZO膜经受N2O、O2、或O3等离子体处理或O3化学处理以确保IGZO的完全氧化可能是有利的。这允许在膜沉积之前保留或增强所述半导体性质。
所有沉积在Applied Materials Precision 5000系统上的配备有AstronEX远程等离子体发生器的200mmDXZ室中,利用硅烷或TEOS工艺套装来进行。所述PECVD室配备直接液体喷射输送能力。除硅烷之外,所有的前体都是液体,输送温度取决于所述前体的沸点。通常的液体前体流量范围从100至800mg/min,等离子体功率密度范围从0.75至2.5W/cm2,和压力范围从0.75至8托。所述膜的厚度和632nm处的折射率(RI)由反射计测量。用于所有上述分析的通常的膜厚度范围从100至1000nm。一般而言,在本研究中RI不是膜性质的敏感指标。所述含硅膜的结合性能用Nicolet透射傅里叶变换红外光谱(FTIR)工具分析。所有密度测量利用X-射线反射率(XRR)完成。进行X-射线光电子光谱法(XPS)和Rutherford反散射能谱法(RBS)来确定膜组成。湿蚀刻速率(WER)在10:1缓冲氧化物蚀刻(BOE)溶液中测量。所有膜测量利用汞探测器,其中提供介电常数、漏电和击穿场。利用Sinton WCT-120准稳态光电导衰减工具测量浮区高电阻率硅的少数载流子寿命,其中少数载流子浓度为5x1014和1x1015cm-3
硅前体利用下面总结的试验设计(DOE)方法进行筛选:前体流量从至800mg/min;NH3/He流量从100sccm至1000sccm,压力从0.75至8托;RF功率(13.56MHz)400至1000W;低频(LF)功率0至100W;和沉积温度范围从150至350℃。DOE试验用来确定什么工艺参数产生用作显示器件中钝化层的最佳膜。
IGZO膜通过利用Kurt Lesker溅射系统在晶片温度低于100℃下从IGZO靶材溅射而制备。溅射压力为大约6毫托,用10%氧气和90%氩气作为所述气体混合物。所述晶片然后在N2环境气氛中在350℃下退火2小时。使用Signatone四点探测器估算退火之后的薄层电阻。通过Keithley6517A静电计&8009夹具(有同心环电极接触)测量退火前后的薄层电阻率。
实施例1:利用三甲硅烷基胺(TSA)和氨(NH3)沉积含硅膜
许多含硅膜利用三甲硅烷基胺(TSA)作为前体沉积到8英寸硅衬底上,以观察是否任何所述膜在密度和氢含量方面将是合适的钝化层。所述膜的组成通过XPS和RBS/HFS测量,并显示所述膜由SixNy:Hz组成,其中硅、氮和氢的量或x、y和z取决于所述膜以原子百分率变动。图1显示了利用TSA前体沉积的这些阻隔膜的密度与H含量的关系。
在图1中显示的通过TSA沉积的所述膜中,利用TSA沉积具有最高密度和最低氢含量的含硅膜所用的工艺条件如下:TSA流量(100-200mgm),NH3流量(100sccm),He(1000sccm),压力(2托),RF(400W),LF(0-100W),和温度(300℃)。在图1显示的所述膜中,产生数据组中最好的TSA膜的工艺条件分别具有2.4-2.5g/cm3和2.0x1022至2.2x1022cm-3的密度和氢含量。
对于图1中显示的那些数据点,图2显示了对于在从200至300℃的温度下沉积的各种TSA沉积膜的密度(左x-轴)、沉积温度(y-轴)和H含量(右x-轴)之间的关系。正方形数据点表示在三种不同沉积温度(例如,200、250和300℃)沉积的每种膜的H-含量,菱形数据点表示其密度。图2大体显示了密度随着H含量增加而降低。
图3显示了全部都在300℃沉积的各种TSA沉积膜的密度和H含量之间的关系。菱形和正方形表示的数据点代表不同的工艺条件。菱形数据点没有LF功率,而正方形数据点施加了LF功率。所述数据显示,在施加LF功率下的沉积通常具有较低的H含量。
比较例2:利用二甲基二乙氧基硅烷(DMDES)沉积含硅膜
利用二甲基二乙氧基硅烷(DMDES)作为前体沉积含硅膜。所述膜的组成通过XPS测量,并显示所述膜由SixCyOa:Hz组成,其中硅、碳、氧和氢的量或x、y、a和z取决于所述膜以原子百分率变动。图1显示了利用所述DMDES前体沉积的这些含硅膜的密度与H含量的关系。
利用DMDES前体产生图1中显示的最高密度和最低氢含量膜的工艺参数如下:DMDES流量(200mgm),H2流量(1000sccm),He(300sccm),压力(2托),RF(400W),LF(100W),和温度(300℃)。在这些条件下这些膜的密度和H含量分别是2.0g/cm3和1.6x1022cm-3。与TSA沉积膜相比,所述DMDES沉积膜不具有作为包含金属氧化物层的显示器件的最佳钝化层的必要密度或氢含量。
比较例3:利用二异丙基氨基硅烷(DIPAS)沉积含硅膜
利用二异丙基氨基硅烷(DIPAS)作为前体沉积含硅膜。所述膜通过XPS进行分析,并显示它们由SixCyNa:Hz组成,其中硅、碳、氮和氢的量或x、y、a和z取决于所述膜以原子百分率变动。图1显示了利用所述DIPAS前体沉积的这些含硅膜的密度与H含量的关系。
利用DIPAS前体产生图1中显示的最高密度和最低氢含量膜的工艺参数如下:DIPAS流量(200mgm),NH3流量(500sccm),He(300sccm),压力(2托),RF(800W),LF(0W),和温度(300℃)。在这些条件下所述SiCNH膜的密度和H含量分别是2.3g/cm3和3.1x1022cm-3。与TSA沉积膜相比,所述DIPAS沉积膜不具有作为包含金属氧化物层的显示器件的最佳钝化层的必要密度或氢含量。
比较例4:利用1,4-二硅杂丁烷沉积含硅膜
利用1,4-二硅杂丁烷作为前体沉积含硅膜。所述膜通过XPS进行分析,并显示它们由SixCyNa:Hz组成,其中硅、碳、氮和氢的量或x、y、a和z取决于所述膜以原子百分率变动。图1显示了利用所述1,4-二硅杂丁烷前体沉积的这些含硅膜的密度与H含量的关系。
利用1,4-硅杂丁烷前体产生图1中显示的最高密度和最低氢含量膜的工艺参数如下:1,4-二硅杂丁烷流量(200mgm),NH3流量(500sccm),He(300sccm),压力(2托),RF(1000W),LF(100W),和温度(300℃)。在这些条件下所述SiCNH膜的密度和H含量分别是2.3g/cm3和2.95E22cm-3。与TSA沉积膜相比,所述1,4-二硅杂丁烷沉积膜不具有作为包含金属氧化物层的显示器件的最佳钝化层的必要密度或氢含量。
比较例5:利用TSA和三-二甲基氨基硅烷(tDMAS)沉积含硅膜
利用TSA和三-二甲基氨基硅烷(tDMAS)作为前体以不同的比率:0、0.60、1.00和1.67沉积含硅膜。所述膜通过XPS进行分析,并显示它们由SixCyNa:Hz组成,其中硅、碳、氮和氢的量或x、y、a和z取决于所述膜以原子百分率变动。图1显示了利用TSA和tDMAS前体的混合物沉积的这些含硅膜的密度与H含量的关系。
利用TSA-tDMAS混合物产生图1中显示的最高密度和最低氢含量膜的工艺参数如下:TSA流量(150mgm),tDMAS流量(250mgm),H2流量(300sccm),He(1000sccm),压力(4托),RF(600W),LF(0W),和温度(300℃)。在这些条件下所述SiCNH膜的密度和H含量分别是1.9g/cm3和3.7x1022cm-3。参考图1,与TSA沉积膜和tDMAS-H2沉积膜相比,所述TSA-tDMAS沉积膜具有较低的密度和较高的氢含量金属氧化物层。此外,虽然所述tDMAS-NH3膜具有较高密度,但它们的氢含量也比较高。
比较例6:利用三-二甲基氨基硅烷(tDMAS)和氨作为稀释剂沉积含硅膜
利用三-二甲基氨基硅烷(tDMAS)作为前体和NH3作为稀释剂,利用如上所述的通用沉积条件沉积含硅膜。所述膜通过XPS进行分析,并显示它们由SixCyNa:Hz组成,其中硅、碳、氮和氢的量或x、y、a和z取决于所述膜以原子百分率变动。图1显示了利用tDMAS前体和NH3作为稀释剂的混合物沉积的这些含硅膜的密度与H含量的关系。参考图1,与TSA沉积膜相比,所述tDMAS-NH3沉积膜不具有作为包含金属氧化物层的显示器件的最佳钝化层的必要密度或氢含量。
比较例7:利用三-二甲基氨基硅烷(tDMAS)和氢作为稀释剂沉积含硅膜
利用三-二甲基氨基硅烷(tDMAS)作为前体和H2作为稀释剂的混合物,利用如上所述的通用沉积条件沉积含硅膜。所述膜通过XPS进行分析,并显示它们由SixCyNa:Hz组成,其中硅、碳、氮和氢的量或x、y、a和z取决于所述膜以原子百分率变动。图1显示了利用tDMAS前体和H2作为稀释剂的混合物沉积的这些含硅膜的密度与H含量的关系。参考图1,与TSA沉积膜相比,所述tDMAS-H2沉积膜不具有作为包含金属氧化物层的显示器件的最佳钝化层的必要密度或氢含量。此外,所述tDMAS-H2沉积膜没有表现得像tDMAS-NH3沉积膜一样好。
实施例8:利用TEOS沉积的含硅层和TSA钝化层的水分阻隔性能比较
为了评价在上述实施例中沉积的含硅膜的相对水分阻隔性能,建立试验来测量这种性质。在该试验中,在使得所述膜对水分敏感的工艺条件下,将较低致密的二氧化硅(SiO2)层首先利用TEOS在250℃下沉积在硅晶片上。当这样的膜暴露于大气水分、或者对于该比较试验使用85℃下85%湿度的气氛的加速试验时,所述膜应力从拉伸变化到压缩。在该实施例中和图6中,比较所述致密的TEOS和致密的TSA膜以评价它们的相对水分阻隔性能。两种膜沉积在所述较低致密的TEOS氧化膜上。
为了测量阻隔性能,在水分敏感SiO2层顶部沉积薄层的示例性含硅膜,并在暴露于加速的85%湿度、85℃和然后环境条件(例如空气)中的间隔期中测量所述膜叠层的应力。所述晶片放入85%湿度和85℃烘箱中。应力测量在空气中进行。如图5中所示,从TSA和NH3沉积的所有膜或晶片6、7和8,具有2.52g/cm3的膜密度,并且将提供最好的钝化或阻隔层,从而不许任何水分进入下面的层,这由所述膜叠层的应力变化很小(即使有的话)为证。
图4提供了对100nm厚的钝化层以(MPa)和时间(小时)测量的应力的比较,所述钝化层由以下构成:(A)(图上显示为菱形)三甲硅烷基胺和NH3(密度=2.36g/cm3);(B)(图上显示为三角形)二-异丙基氨基硅烷和NH3;(C)(图上显示为正方形)二甲基二乙氧基硅烷和H2(密度=1.95g/cm3);和(D)(图上显示为圆形)三甲基硅烷和NH3(密度=1.88g/cm3)。从三甲基硅烷和氨沉积的SiCN膜,在所述图上显示为线(D),并且具有1.88g/cm3的密度,没有表现出与TSA同样好的阻隔性能,这通过膜应力的急剧下降为证(在该位置没有阻隔,所述膜应力在前1个小时内从250下降到负100MPa)。从二甲基二乙氧基硅烷和H2沉积的SiOC阻隔膜,具有1.95g/cm3的密度并且在所述图上显示为线(C),在阻隔性能方面落在TSA膜和DMDES膜之间。从DIPAS和NH3沉积的SiCN膜表现出阻隔性能类似于从DMDES和H2沉积的膜并具有2.11g/cm3的密度。其他的试验结构包括包含利用TEOS沉积的氧化硅层和利用TSA沉积的氮化硅层的双钝化层结构,例如图6中显示的结构。所述TEOS沉积的氧化硅层的厚度是850nm,并且TSA沉积的氮化硅层的厚度是50nm或100nm。具有50nm TSA沉积的氮化硅的试验晶片3的沉积条件是:功率800W,压力2托,TSA的流量为100(mg/min),氦的流量为1,000sccm,氨的流量为100sccm,和沉积温度100℃。试验结构3的TSA层的密度是2.342g/cm3。所有的试验结构50nm和100nm以同样方式沉积并具有图10中显示的相同密度。所述结构经受加速风化试验,其由如本实施例所述的密封性指示并且结果在图10中提供。图10显示,具有较厚的氮化硅钝化层或100nm层的试验结构更稳定,因此比具有较薄的或50nm层的结构具有更好的密封性。
实施例9:在In-Ga-Zn-O(IGZO)金属氧化物膜顶上的PECVD氧化硅的厚度的影响的评价,其中氧化硅层顶上还具有氮化硅层
图6提供了显示器件的示例性结构,其包含IGZO金属氧化物层610、由四乙氧基硅烷(TEOS)沉积的等离子体增强的化学气相沉积(PECVD)氧化硅层630、和由TSA和NH3沉积以提供2.36g/cm3的氮化硅密度的氮化硅层640。所述氧化硅层630的厚度从0改变到250纳米(例如,15nm,60nm,115nm,185nm,200nm,和250nm),以确定它对少数载流子寿命的影响。所述TSA氮化硅层的厚度是大约100nm。所述膜按照如上所述的通用沉积条件和以下工艺条件沉积:(1)TEOS:功率=910W,压力=8.2托,TEOS流量=1000mg/min,O2流量=1000sccm,He流量=1000sccm;和(2)TSA:功率=400W,压力=4托,TSA流量=200mg/min,NH3流量=100sccm,He流量=1000sccm。
图7显示了膜厚度对浮区高电阻率硅(1000Ω-cm)中少数载流子寿命的影响,其以两种不同的载流子注入水平测量,从而生产图7中显示的两条数据线(例如,1.00x10-15和5.00x10-14cm-3)。在薄的或没有氧化硅厚度下,来自氮化硅膜的氢扩散到所述硅衬底的表面并使表面缺陷钝化,从而降低表面复合速度并从而增加少数载流子寿命。随着氧化硅层厚度增加,氢扩散过所述氧化膜减少并且少数载流子寿命因此降低。图7显示了最小化氢扩散过所述氧化硅膜的优选厚度范围为从约150至约200nm,因为当所述厚度是150nm或更高时,图上的曲线是平的。该厚度足以阻止氢扩散过所述膜。从最终用户的观点看,太厚的膜可能不是理想的。
实施例10:钝化层结构关于IGZO电阻率的比较
在金属氧化物或IGZO衬底上通过比较如图8a至8f中所示的各种钝化层结构,评价氧化硅作为氢从氮化硅扩散的屏障的能力。如上在通用沉积条件中所述,所述层状结构由硅衬底上沉积氮化硅膜然后沉积氧化硅然后溅射大约50nmIGZO组成。如本文中所述,所述膜叠层在惰性环境中暴露于350℃的热退火两小时。测量所述膜随后的电阻率以确定IGZO金属氧化物的电阻率降低的程度。表I提供了图8a至8e中描绘的层状结构的比较数据,并称为实施例10a至10f。
实施例10a:如图8a中描绘,Si晶片衬底(810),在其上生长100nm热氧化物(820),接着在它的表面上溅射50nm非晶IGZO(830),并在惰性(N2)气氛中在350℃退火两小时。测量退火后电阻并测得是1.1x105Ω/□。
实施例10b:如图8b所示,Si晶片衬底(810),在其上利用TEOS沉积200nm PECVD氧化硅层(822),接着从TSA前体沉积100nm PECVD氮化硅层(825)并具有2.52g/cm3的密度,接着在它的表面上溅射50nm的非晶IGZO(830),并在惰性(N2)气氛中在350℃退火两小时。测量退火后电阻并测得是1.9x103Ω/□。
实施例10c:如图8c所示,Si晶片衬底(810),在其上利用TEOS沉积200nm PECVD氧化硅层(822),接着从TSA前体沉积100nm PECVD氮化硅层(825)并具有2.52g/cm3的密度,接着利用TEOS前体沉积100nmPECVD氧化硅(828)层,接着在它的表面上溅射50nm的非晶IGZO(830),并在惰性(N2)气氛中在350℃退火两小时。测量退火后电阻并测得是3.9x103Ω/□。
实施例10d:如图8c所示,Si晶片衬底(810),在其上沉积200nmPECVD氧化硅缓冲层(822),接着从TSA前体沉积100nm PECVD氮化硅层(825)并具有2.52g/cm3的密度,接着利用TEOS前体沉积200nmPECVD氧化硅(828)层,接着在它的表面上溅射50nm的非晶IGZO(830),并在惰性(N2)气氛中在350℃退火两小时。测量退火后电阻并测得是1.1x104Ω/cm。实施例10d不同于实施例10c在于PECVD氧化硅层828是实施例10c中比较层828的两倍厚或200nm。
实施例10e:如图8d所示,Si晶片衬底(810),在其上利用TEOS在400℃沉积200nm PECVD氧化硅层(822),接着是200nm的基于TEOS的PECVD氧化硅(828),接着是在它表面上溅射的50nm的非晶IGZO(830),并在惰性(N2)气氛中在350℃退火两小时。测量退火后电阻并测得是1.0x104Ω/□。
实施例10f:如图8e所示,Si晶片衬底(810),在其上沉积200nmPECVD氧化硅层(822),接着是100nm的基于硅烷的PECVD氮化硅层(840),接着是200nm的基于硅烷的PECVD氧化硅(848),接着是在它表面上溅射的50nm的非晶IGZO(830),并在惰性(N2)气氛中在350℃退火两小时。测量退火后电阻并测得是3.9x103Ω/□。
参考表I,实施例10a,或图8a中显示的结构,显示出只有热氧化硅并代表了含氧与硅比率接近2.0的最高纯度氧化硅或完全化学计量的SiO2膜的情况。这种氧化物对IGZO膜的电阻率具有最低影响。没有氧化硅钝化层的结构,或实施例10b(图8b中描绘的结构),被发现具有IGZO薄层电阻的最大降低,从1.1x 105至1.9x 103Ω/□。具有100或200nm氧化硅厚度的膜或实施例10c和10d显示出IGZO电阻率降低减少。具有PECVD氧化硅并且没有氮化硅钝化层的膜或实施例10e(图8d中描绘的结构)显示出电阻率的降低与所述200nm氧化硅/氮化硅膜叠层相当,提示是氢扩散的有效屏障,而且表明相对于所述热氧化物,PECVD氧化硅膜对IGZO电阻降低具有一定的贡献。表1还提供了对于实施例10f(图8e中描绘的结构)的氧化硅和氮化硅两者均使用硅烷气体作为前体得到的结果,其是当前行业标准并且在例如本文中描述的Liu等的参考文献中使用。实施例10f显示了钝化层的选择是重要的,因为金属氧化物没有保持在有效作为显示器件的半导体状态(例如,具有1x104和1x105(Ω/□)之间的电阻量度)。结果表明,相对于基于硅烷的氧化物,优化的基于有机硅烷的氧化物在300℃时对IGZO电阻的影响更小。
表I:膜叠层组成对IGZO薄层电阻的影响
实施例11:利用三乙基硅烷(3ES)沉积高密度薄SiO2
3ES氧化硅膜的工艺条件利用下面总结的试验设计(DOE)方法筛选:前体流量从100至800sccm;O2/He流量从20至100sccm,压力从0.75至10托;RF功率(13.56MHz)0.5-3W/cm2;低频(LF)功率为0至100W;和沉积温度范围从100℃至150℃。所述DOE试验用来确定产生用作显示器件中栅极绝缘层的最佳膜的工艺参数。
SiO2膜利用前体3ES在较低的沉积温度例如100℃、125℃和150℃下沉积,然后如上所述。通过优化所述工艺参数,例如前体流量、室压力和功率密度等等,得到高密度和薄的SiO2膜。表II显示了在不同温度100℃、125℃和150℃下沉积3ES膜所用的三种工艺条件、以及某些膜性质例如利用本文中在通用沉积条件中描述的方法测量的厚度、k值和密度的总结。总的来说,利用3ES沉积的膜具有小于200nm的厚度,4至5之间的k值、和2.2g/cm3或更高的密度。该实施例显示,3ES是提供高密度氧化硅层的合适的前体候选对象,所述氧化硅层可以例如与TSA沉积的氮化硅钝化层一起用作附加的钝化层,例如图9b中示出的那些实施方式。
表II:用于在不同温度100℃、125℃和150℃沉积3ES膜的工艺条件和膜性质的总结
工艺条件 3ES 100℃ 3ES 125℃ 3ES 150℃
前体流量(sccm) 27 48 27
He(载气,sccm) 1000 1000 1000
O2(sccm) 1000 1000 1000
压力(托) 9.2 9.2 9.2
间距(密耳) 500 500 500
功率密度(W/cm2) 1.75 2.5 2.5
膜厚度(nm) 165 113 173
膜密度(g/cm3) 2.26 2.29 2.28
K值 4.67 4.62 4.42
实施例12:利用二乙基硅烷(2ES)沉积高密度的薄的SiO2
在低于200℃的温度下利用下面总结的试验设计(DOE)方法筛选所述2ES氧化硅膜的工艺条件:通常的前体流量是25至150sccm,等离子体功率密度是0.5-3W/cm2,和压力是0.75–12托。
SiO2膜还利用2ES在100℃的沉积温度下沉积。通过优化所述工艺参数,例如前体流量、室压力和功率密度以及其他工艺条件,得到高密度和薄的SiO2膜。表III显示了在100℃下沉积2ES膜所用的工艺条件以及某些膜性质例如利用本文中描述的方法得到的厚度、k值和密度的总结。所述膜具有小于200nm的厚度和高于2.2g/cm3的密度。该实施例显示,2ES是提供高密度氧化硅层的合适的前体候选对象,所述氧化硅层可以例如与TSA沉积的氮化硅钝化层一起用作附加的钝化层,例如图9b中示出的那些实施方式。
表III:用于在100℃下沉积的2ESSiO2膜的工艺条件和膜性质的总结。
工艺条件 2ES 100℃
前体流量(sccm) 38
He(载气,sccm) 1000
O2(sccm) 1000
压力(托) 10
间距(密耳) 500
功率密度(W/cm2) 1.5
膜厚度(nm) 195
膜密度(g/cm3) 2.21
K值 5.05
实施例13:在100℃下利用3ES沉积高密度的薄的SiO2
本实施例用于显示利用3ES沉积薄而高密度的SiO2膜提供了宽的工艺窗口。表IV提供了在不同前体流量29sccm和68sccm下两种3ES沉积的SiO2膜的工艺条件和膜性质。虽然所述表显示了范围广泛的沉积速率,但得到了高密度的膜。该实施例显示,3ES是提供高密度氧化硅层的合适的前体候选对象,所述氧化硅层可以例如与TSA沉积的氮化硅钝化层一起用作附加的钝化层,例如图9b中示出的那些实施方式。
表IV:用于100℃3ES沉积的工艺条件总结
工艺条件 100℃ 100℃
前体流量(sccm) 29 68
He(载气,sccm) 1000 1000
O2(sccm) 1000 1000
压力(托) 9.2 9.2
间距(密耳) 500 500
功率密度(W/cm2) 2.5 2.5
沉积速率(nm/min) 27 89
膜厚度(nm) 160 222
K值 4.77 5.07
膜密度(g/cm3) 2.26 2.23
实施例14:在100℃和150℃利用3ES沉积的薄的SiO2膜的组成数据
利用XPS检查所述膜中的碳浓度。在所述表面处和50nm溅射之后测量相对原子百分率。表V显示了在100℃和150℃沉积的两种3ES膜的工艺条件和膜性质。表VI提供了所述膜的XPS数据。在整体膜中没有检测到碳并且所述膜的O/Si比率非常接近2.0或化学计量。该实施例显示,3ES是提供高密度氧化硅层的合适的前体候选对象,所述氧化硅层可以例如与TSA沉积的氮化硅钝化层一起用作附加的钝化层,例如图9b中示出的那些实施方式。
表V:3ES膜的工艺条件和膜性质的总结
工艺条件 3ES 150℃ 3ES 100℃
前体流量(sccm) 68 50
He(载气,sccm) 1000 1000
O2(sccm) 1000 1000
压力(托) 9.2 9
间距(密耳) 500 700
功率密度(W/cm2) 2.5 2.0
膜厚度(nm) 210 206
K值 4.69 4.84
膜密度(g/cm3) 2.248 2.27
表VI:利用表5工艺条件沉积的3ES膜的XPS数据。
实施例15:在250℃和350℃的沉积温度下沉积二乙基硅烷(2ES)
从所述硅前体2ES和3ES沉积氧化硅膜,所述SiO2膜在不同温度和工艺条件下利用如上所述的通用沉积条件和以下工艺条件沉积:前体流量107sccm;氦载气流量1000sccm;氧(O2)气流量1100sccm,压力8.2托;间距500密耳,功率密度W/cm2
在以上工艺条件下在350℃和250℃的沉积温度下沉积的DES沉积膜的按原子%计的并通过RBS测量的H含量分别是2.0%(密度2.25g/cm3)和2.8%(密度2.26g/cm3)。这显示了通过RBS/HFS测量,这两种DES沉积膜具有很低的总氢含量(<5%)。这也被这些膜的FTIR分析证实,其显示没有可检测的Si-H和极少的Si-OH键合。该实施例显示,2ES是提供高密度和低氢含量氧化硅层的合适的前体候选对象,所述氧化硅层可以例如与TSA沉积的氮化硅钝化层一起用作附加的钝化层,例如图9b中示出的那些实施方式。
本文中描述的实施例和实施方式是可制造的众多实施方式的示例。预期可以制造除具体公开的那些以外的许多材料。所述工艺的许多其他构造也可以使用,并且所述工艺中使用的材料可以从具体公开的那些以外的许多材料中选择。

Claims (20)

1.装置,其包含:
包含金属氧化物层的衬底;和
沉积在至少一部分所述金属氧化物上的氮化硅层,其中所述氮化硅层的密度为2.4g/cm3或更高和氢含量为4x1022cm-3或更低。
2.权利要求1的装置,其中所述氮化硅层在400-700纳米下具有约90%或更高的透光度。
3.权利要求1的装置,其中所述装置还包含在所述金属氧化物层和所述氮化硅层之间沉积的氧化硅层。
4.权利要求3的装置,其中所述氧化硅层的密度为约2.2g/cm3或更高。
5.权利要求3的装置,其中所述氧化硅层的氢含量为5原子%或更低。
6.权利要求1的装置,其中所述金属氧化物层包括选自以下的至少一种:氧化铟镓锌(IGZO)、a-IGZO(非晶氧化铟镓锌)、氧化铟锡锌(ITZO)、氧化铝铟(AlInOx)、氧化锌锡(ZTO)、氧氮化锌(ZnON)、氧化镁锌、氧化锌(ZnO)、InGaZnON、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuAlO、SrCuo、LaCuOS、GaN、InGaN、AlGaN或InGaAlN及其组合。
7.装置,其包含:
包含金属氧化物层的衬底;
沉积在至少一部分所述金属氧化物上的氮化硅层,其中所述氮化硅层的密度为2.4g/cm3或更高、氢含量为4x1022cm-3或更低、和在400-700纳米下的透光度为约90%或更高;和
在所述金属氧化物层和所述氮化硅层之间沉积的氧化硅层,其中所述氧化硅层的密度为约2.2g/cm3或更高。
8.权利要求7的装置,其中所述氧化硅层的氢含量为5原子%或更低。
9.在衬底的至少一个表面上沉积含硅膜的方法,其中所述衬底包含金属氧化物,所述方法包括:
在反应室中提供所述衬底的所述至少一个表面;
将选自下列的硅前体引入所述反应室中:
a.三甲硅烷基胺(TSA);
b.具有式R1R2NSiH3的二烷基氨基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;
c.式R1 nR2 mSiH4-m-n的烷基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;m是0、1、2、3、4;和n是1、2、3;
d.具有式R1 n(OR2)mSiH4-m-n的烷基烷氧基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;m是1、2、3或4;和n是0、1、2或3;
e.具有式(R1R2N)nSiH4-n的有机氨基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;R2独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且其中R1和R2相连形成环或R1和R2未相连形成环;和n是2、3或4;
f.异氰酸根合硅烷,选自四(异氰酸根合)硅烷和三(异氰酸根合)硅烷;
g.具有式R1R2R3SiN3的烷基叠氮基硅烷,其中R1、R2和R3独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;
h.具有式(R1R2R3Si)2(CH2)n,R1R2R3SiN3的烷基桥接二硅烷,其中R1、R2和R3独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;并且n=1、2、3;
i.具有式Si(OR1)4的烷氧基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基;及其组合;
将选自氧源、含氮源及其组合的源引入所述反应室中;和
在从约25℃至350℃的一种或多种温度下通过沉积工艺在所述衬底的所述至少一个表面上沉积所述含硅薄层;
其中所述气相沉积工艺选自化学气相沉积(CVD)、等离子增强的化学气相沉积(PECVD)、循环化学气相沉积(CCVD)、等离子体增强的循环化学气相沉积(PECCVD)、原子层沉积(ALD)、和等离子体增强的原子层沉积(PEALD)。
10.权利要求9的方法,其中所述含硅膜包含至少一种或多种以下性质:密度为约1.9g/cm3或更高,氢含量为4x1022cm-3或更低,和在400-700nm下的透光度>90%。
11.权利要求9的方法,其中所述硅前体选自三甲硅烷基胺、四乙氧基硅烷、二乙氧基二甲基硅烷、1,4-二硅杂丁烷、二乙基硅烷和三乙基硅烷。
12.权利要求9的方法,其中所述氧源选自水(H2O)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)及其组合。
13.权利要求9的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体、NF3及其混合物。
14.权利要求9的方法,其中所述沉积步骤的温度范围从约150℃至约350℃。
15.权利要求9的方法,其中所述沉积工艺是等离子体增强的化学气相沉积(PECVD)或PECCVD。
16.权利要求9的方法,其中所述硅前体包括三甲硅烷基胺。
17.权利要求9的方法,其中所述含硅膜包含单钝化层。
18.权利要求9的方法,其中所述含硅膜包含双钝化层。
19.在金属氧化物衬底的至少一个表面上沉积含硅梯度层或双层的方法,所述方法包括:
在反应室中提供所述衬底的所述至少一个表面;
将选自下列的硅前体引入所述反应室中:
a)三甲硅烷基胺;
b)式R1R2NSiH3的二烷基氨基硅烷,其中R1选自C1-10直链或支链烷基、含氮烷基、环烷基、烯基、炔基、芳烃、C6-10芳基;R2选自C1-10直链或支链烷基、含氮烷基、环烷基、烯基、炔基、芳烃、C6-10芳基;R1和R2能形成烷基取代的环烷基或芳环;
c)式R1 nR2 mSiH4-m-n的烷基硅烷,其中R1选自C1-10直链或支链烷基、含氮烷基、环烷基、烯基、炔基、芳烃、C6-10芳基;R2选自C1-10直链或支链烷基、含氮烷基、环烷基、烯基、炔基、芳烃、C6-10芳基;R1和R2能形成烷基取代的环烷基或芳环;m=0、1、2、3、4;n=1、2、3;
d)式(R1R2N)nSiH4-n的有机氨基硅烷,其中R1选自氢、C1-10直链或支链烷基、含氮烷基、环烷基、烯基、炔基、芳烃、C6-10芳基;R2选自C1-10直链或支链烷基、含氮烷基、环烷基、烯基、炔基、芳烃、C6-10芳基;R1和R2能形成烷基取代的环烷基或芳环;n=2、3、4;
e)异氰酸根合硅烷,包括四(异氰酸根合)硅烷和三(异氰酸根合)硅烷;和
f)式R1R2R3SiN3的烷基叠氮基硅烷,其中R1-3独立地选自C1-10直链或支链烷基、含氮烷基、环烷基、烯基、炔基、芳烃、C6-10芳基;例子包括但不限于Me3SiN3、Et3SiN3;和
g)式(R1R2R3Si)2(CH2)n的烷基桥接硅烷,其中R1-3独立地选自C1-10直链或支链烷基、含氮烷基、环烷基、烯基、炔基、芳烃、C6-10芳基并且n=1、2、3;
h)具有式Si(OR1)4的烷氧基硅烷,其中R1独立地选自C1-10直链或支链烷基;C4至C10环烷基;C3至C12烯基;C3至C12炔基;和C6至C10芳基,
将氧源引入所述反应室中;
在所述反应室中提供25℃至350℃的反应温度;和
在所述衬底的所述至少一个表面上沉积所述含硅梯度层的下部或所述双层的下层;
停止所述氧源;
将含氮源引入所述反应室中:
在所述衬底的所述至少一个表面上的所述含硅梯度层的下部或所述双层的下层上沉积所述含硅梯度层的上部或所述双层的上层;
其中所述硅前体不含氧并且所述沉积选自化学气相沉积(CVD)、等离子增强的化学气相沉积(PECVD)、循环化学气相沉积(CCVD)、等离子体增强的循环化学气相沉积(PECCVD)、原子层沉积(ALD)、和等离子体增强的原子层沉积(PEALD)。
20.权利要求19的方法,其中所述硅前体是三甲硅烷基胺,所述含硅梯度层的下部或所述双层的下层包含SiCO或SiO2,并且所述含硅梯度层的上部或所述双层的上层包含SiNC或Si3N4;并且所述沉积是等离子体增强的化学气相沉积(PECVD)、或等离子体增强的循环化学气相沉积(PECCVD)。
CN201380024294.5A 2012-03-09 2013-03-08 显示器件的阻隔材料 Active CN104271797B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261609045P 2012-03-09 2012-03-09
US61/609,045 2012-03-09
PCT/US2013/029914 WO2013134661A1 (en) 2012-03-09 2013-03-08 Barrier materials for display devices

Publications (2)

Publication Number Publication Date
CN104271797A true CN104271797A (zh) 2015-01-07
CN104271797B CN104271797B (zh) 2017-08-25

Family

ID=47913605

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380024294.5A Active CN104271797B (zh) 2012-03-09 2013-03-08 显示器件的阻隔材料

Country Status (7)

Country Link
US (1) US10319862B2 (zh)
EP (1) EP2823082B1 (zh)
JP (4) JP6195386B2 (zh)
KR (3) KR102029286B1 (zh)
CN (1) CN104271797B (zh)
TW (1) TWI496932B (zh)
WO (1) WO2013134661A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105845549A (zh) * 2015-01-29 2016-08-10 气体产品与化学公司 制造3d装置的方法和前体
CN106098617A (zh) * 2016-08-01 2016-11-09 信利(惠州)智能显示有限公司 一种宽视角模式tft基板制备方法
CN107043923A (zh) * 2015-12-15 2017-08-15 西尔科特克公司 含氮化硅的热化学气相沉积涂层
CN107164725A (zh) * 2017-05-15 2017-09-15 京东方科技集团股份有限公司 一种薄膜沉积设备和薄膜沉积方法
CN107208265A (zh) * 2015-01-20 2017-09-26 巴斯夫涂料有限公司 生产挠性有机‑无机层合物的方法
CN108866508A (zh) * 2017-05-12 2018-11-23 东京毅力科创株式会社 成膜方法和成膜装置
TWI675931B (zh) * 2015-04-22 2019-11-01 美商Asm Ip控股公司 用於以電漿輔助原子層沉積法形成由至少五種元素構成的多元薄膜的方法
CN110429024A (zh) * 2019-08-08 2019-11-08 京东方科技集团股份有限公司 层间绝缘层及薄膜晶体管的制备方法
CN113994022A (zh) * 2019-05-21 2022-01-28 弗萨姆材料美国有限责任公司 用于热沉积含硅膜的组合物及其使用方法

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103594655B (zh) * 2013-10-22 2016-04-06 溧阳市东大技术转移中心有限公司 一种有机发光二极管的阳电极
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR101616929B1 (ko) 2013-11-25 2016-04-29 엘지디스플레이 주식회사 유기발광 표시장치 제조방법
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
JP6363385B2 (ja) * 2014-04-21 2018-07-25 東京エレクトロン株式会社 封止膜の形成方法及び封止膜製造装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104167449B (zh) * 2014-08-05 2017-09-22 京东方科技集团股份有限公司 薄膜晶体管及其制备方法、阵列基板和显示装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN113373428B (zh) * 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10312373B2 (en) * 2015-11-17 2019-06-04 Ricoh Company, Ltd. Field-effect transistor (FET) having oxide insulating layer disposed on gate insulating film and between source and drain electrodes, and display element, display and system including said FET, and method of manufacturing said FET
JP6607013B2 (ja) 2015-12-08 2019-11-20 株式会社リコー 電界効果型トランジスタ、表示素子、画像表示装置、及びシステム
US10079264B2 (en) * 2015-12-21 2018-09-18 Hong Kong Beida Jade Bird Display Limited Semiconductor devices with integrated thin-film transistor circuitry
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9735005B1 (en) * 2016-03-11 2017-08-15 International Business Machines Corporation Robust high performance low hydrogen silicon carbon nitride (SiCNH) dielectrics for nano electronic devices
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
SG11202008256WA (en) * 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JPWO2019187981A1 (ja) * 2018-03-28 2021-02-25 富士フイルム株式会社 ガスバリアフィルム
WO2019187978A1 (ja) * 2018-03-28 2019-10-03 富士フイルム株式会社 ガスバリアフィルム
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) * 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10748759B2 (en) 2019-01-15 2020-08-18 Applied Materials, Inc. Methods for improved silicon nitride passivation films
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN110042365B (zh) * 2019-03-04 2020-09-22 中国科学院物理研究所 一种在二维材料表面生长氧化铝的原子层沉积方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FR3098343B1 (fr) * 2019-07-01 2021-06-04 Commissariat Energie Atomique Procédé de passivation
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11776970B2 (en) * 2020-06-30 2023-10-03 Lg Display Co., Ltd. Display device
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11955333B2 (en) 2021-03-22 2024-04-09 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
US20060286775A1 (en) * 2005-06-21 2006-12-21 Singh Kaushal K Method for forming silicon-containing materials during a photoexcitation deposition process
CN1940132A (zh) * 2005-09-30 2007-04-04 气体产品与化学公司 采用pecvd由氨基硅烷制备氮化硅
US20070164666A1 (en) * 2006-01-19 2007-07-19 Tetsuo Oosono Organic electroluminescent element and the manufacturing method
CN102191479A (zh) * 2010-02-04 2011-09-21 气体产品与化学公司 制备含硅膜的方法
US20120034451A1 (en) * 2010-08-03 2012-02-09 Samsung Mobile Display Co., Ltd. Substrate for flexible display and method of manufacturing the substrate
US20120045904A1 (en) * 2010-08-20 2012-02-23 Applied Materials, Inc. Methods for forming a hydrogen free silicon containing dielectric film

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4981724A (en) * 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0463278A (ja) * 1990-07-02 1992-02-28 Canon Inc 汎用性のある機能性窒化シリコン膜の形成方法
JPH0697158A (ja) * 1991-09-12 1994-04-08 Semiconductor Energy Lab Co Ltd 光気相反応方法
JP3149223B2 (ja) * 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
JP2506539B2 (ja) * 1992-02-27 1996-06-12 株式会社ジーティシー 絶縁膜の形成方法
JPH0684804A (ja) * 1992-09-01 1994-03-25 Matsushita Electric Ind Co Ltd プラズマ膜堆積装置
JP2508581B2 (ja) * 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
JPH1060655A (ja) * 1996-08-22 1998-03-03 Canon Inc 薄膜形成方法および装置
AU1339700A (en) * 1998-11-02 2000-05-22 Presstek, Inc. Transparent conductive oxides for plastic flat panel displays
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
KR20030002993A (ko) * 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
JP4090716B2 (ja) * 2001-09-10 2008-05-28 雅司 川崎 薄膜トランジスタおよびマトリクス表示装置
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
AU2003303136A1 (en) * 2002-12-20 2004-07-14 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US20060045986A1 (en) * 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4684866B2 (ja) * 2005-11-17 2011-05-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100732849B1 (ko) 2005-12-21 2007-06-27 삼성에스디아이 주식회사 유기 발광 표시장치
JP2007220646A (ja) 2006-01-19 2007-08-30 Toppan Printing Co Ltd 有機エレクトロルミネッセンス素子
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5213422B2 (ja) 2007-12-04 2013-06-19 キヤノン株式会社 絶縁層を有する酸化物半導体素子およびそれを用いた表示装置
JP2009246211A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd Mos型半導体メモリ装置の製造方法、コンピュータ読み取り可能な記憶媒体およびプラズマcvd装置
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
KR101778223B1 (ko) 2008-09-11 2017-09-15 삼성전자주식회사 박막 트랜지스터 및 그 제조 방법
KR101681483B1 (ko) * 2008-09-12 2016-12-02 삼성디스플레이 주식회사 박막 트랜지스터 기판 및 그 제조 방법
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
WO2011058864A1 (en) 2009-11-13 2011-05-19 Semiconductor Energy Laboratory Co., Ltd. Device including nonvolatile memory element
JP5150606B2 (ja) 2009-11-16 2013-02-20 株式会社東芝 不揮発性半導体記憶装置
KR101597312B1 (ko) * 2009-11-16 2016-02-25 삼성디스플레이 주식회사 박막 트랜지스터 표시판 및 그 제조 방법
KR101701208B1 (ko) 2010-01-15 2017-02-02 삼성디스플레이 주식회사 표시 기판
KR101623956B1 (ko) * 2010-01-15 2016-05-24 삼성전자주식회사 트랜지스터와 그 제조방법 및 트랜지스터를 포함하는 전자소자
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5499811B2 (ja) * 2010-03-19 2014-05-21 富士通株式会社 キャパシタ及び半導体装置
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5824266B2 (ja) 2010-07-29 2015-11-25 株式会社半導体エネルギー研究所 半導体装置
US8796733B2 (en) * 2010-08-09 2014-08-05 University Of Notre Dame Du Lac Low voltage tunnel field-effect transistor (TFET) and method of making same
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
JP5624628B2 (ja) 2010-11-10 2014-11-12 株式会社日立製作所 半導体装置
CN103292288B (zh) * 2013-06-21 2015-06-03 王成财 一种led照明灯

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
US20060286775A1 (en) * 2005-06-21 2006-12-21 Singh Kaushal K Method for forming silicon-containing materials during a photoexcitation deposition process
CN1940132A (zh) * 2005-09-30 2007-04-04 气体产品与化学公司 采用pecvd由氨基硅烷制备氮化硅
US20070164666A1 (en) * 2006-01-19 2007-07-19 Tetsuo Oosono Organic electroluminescent element and the manufacturing method
CN102191479A (zh) * 2010-02-04 2011-09-21 气体产品与化学公司 制备含硅膜的方法
US20120034451A1 (en) * 2010-08-03 2012-02-09 Samsung Mobile Display Co., Ltd. Substrate for flexible display and method of manufacturing the substrate
US20120045904A1 (en) * 2010-08-20 2012-02-23 Applied Materials, Inc. Methods for forming a hydrogen free silicon containing dielectric film

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10988844B2 (en) 2015-01-20 2021-04-27 Basf Coatings Gmbh Process for producing flexible organic-inorganic laminates
CN107208265A (zh) * 2015-01-20 2017-09-26 巴斯夫涂料有限公司 生产挠性有机‑无机层合物的方法
CN107208265B (zh) * 2015-01-20 2021-03-23 巴斯夫涂料有限公司 生产挠性有机-无机层合物的方法
CN105845549B (zh) * 2015-01-29 2020-03-03 弗萨姆材料美国有限责任公司 制造3d装置的方法和前体
CN105845549A (zh) * 2015-01-29 2016-08-10 气体产品与化学公司 制造3d装置的方法和前体
TWI675931B (zh) * 2015-04-22 2019-11-01 美商Asm Ip控股公司 用於以電漿輔助原子層沉積法形成由至少五種元素構成的多元薄膜的方法
CN107043923A (zh) * 2015-12-15 2017-08-15 西尔科特克公司 含氮化硅的热化学气相沉积涂层
CN106098617A (zh) * 2016-08-01 2016-11-09 信利(惠州)智能显示有限公司 一种宽视角模式tft基板制备方法
CN108866508B (zh) * 2017-05-12 2020-11-03 东京毅力科创株式会社 成膜方法和成膜装置
CN108866508A (zh) * 2017-05-12 2018-11-23 东京毅力科创株式会社 成膜方法和成膜装置
CN107164725A (zh) * 2017-05-15 2017-09-15 京东方科技集团股份有限公司 一种薄膜沉积设备和薄膜沉积方法
CN113994022A (zh) * 2019-05-21 2022-01-28 弗萨姆材料美国有限责任公司 用于热沉积含硅膜的组合物及其使用方法
CN110429024A (zh) * 2019-08-08 2019-11-08 京东方科技集团股份有限公司 层间绝缘层及薄膜晶体管的制备方法
US11430816B2 (en) 2019-08-08 2022-08-30 Boe Technology Group Co., Ltd. Method for preparing interlayer insulating layer and method for manufacturing thin film transistor, thin film transistor

Also Published As

Publication number Publication date
TW201402854A (zh) 2014-01-16
JP6195386B2 (ja) 2017-09-13
KR20140138272A (ko) 2014-12-03
JP2017195376A (ja) 2017-10-26
KR102029286B1 (ko) 2019-10-07
JP2018078326A (ja) 2018-05-17
KR20170021380A (ko) 2017-02-27
JP2015515744A (ja) 2015-05-28
EP2823082A1 (en) 2015-01-14
KR102140719B1 (ko) 2020-08-03
KR20160127171A (ko) 2016-11-02
JP6298118B2 (ja) 2018-03-20
TWI496932B (zh) 2015-08-21
EP2823082B1 (en) 2024-05-15
CN104271797B (zh) 2017-08-25
JP2017022385A (ja) 2017-01-26
US20150021599A1 (en) 2015-01-22
WO2013134661A1 (en) 2013-09-12
US10319862B2 (en) 2019-06-11

Similar Documents

Publication Publication Date Title
CN104271797B (zh) 显示器件的阻隔材料
CN104284997B (zh) 在薄膜晶体管器件上制备含硅膜的方法
KR100961805B1 (ko) 산화규소 함유 필름의 형성 방법
WO2009129391A2 (en) Low temperature thin film transistor process, device property, and device stability improvement
KR20090094000A (ko) 게이트 스택 구조물에 대한 연속 처리 클러스터링 방법
US20180371612A1 (en) Low Temperature Process for Forming Silicon-Containing Thin Layer
CN105144391A (zh) 金属氧化物tft稳定性改进
CN114303239A (zh) 用于薄膜晶体管的富氮氮化硅膜
KR20230170095A (ko) 수퍼사이클 원자 층 증착에 의한 신규의 비정질 하이-k 금속 산화물 유전체들의 방법들 및 애플리케이션들
Park et al. Investigation of silicon nitride for spacer via plasma-enhanced atomic layer deposition using a (tert-butylamino) dimethylsilane precursor
Domínguez et al. Spin-On Glass as low temperature gate insulator

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20170531

Address after: Arizona, USA

Applicant after: Versum Materials US, LLC

Address before: American Pennsylvania

Applicant before: Air Products and Chemicals, Inc.

GR01 Patent grant
GR01 Patent grant