KR20090094000A - 게이트 스택 구조물에 대한 연속 처리 클러스터링 방법 - Google Patents

게이트 스택 구조물에 대한 연속 처리 클러스터링 방법

Info

Publication number
KR20090094000A
KR20090094000A KR1020097012999A KR20097012999A KR20090094000A KR 20090094000 A KR20090094000 A KR 20090094000A KR 1020097012999 A KR1020097012999 A KR 1020097012999A KR 20097012999 A KR20097012999 A KR 20097012999A KR 20090094000 A KR20090094000 A KR 20090094000A
Authority
KR
South Korea
Prior art keywords
layer
gate dielectric
substrate
silicon
silicon nitride
Prior art date
Application number
KR1020097012999A
Other languages
English (en)
Inventor
타이 쳉 츄아
크리스토퍼 신 올젠
코리 크자르닉
지유세피나 콘티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090094000A publication Critical patent/KR20090094000A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02161Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

실리콘 및 산소를 포함하는 게이트 유전체를 형성하는 방법이 제공된다. 게이트 유전체는 질소 또는 다른 고 유전상수(k) 층을 포함할 수도 있다. 일 양태에서, 게이트 유전체를 형성하는 방법은 실리콘 산화물 층을 형성하도록 산화 분위기 내에서 기판을 어닐링하는 단계, 기상 증착에 의해 실리콘 산화물 층 상에 고 유전상수(k) 층 또는 실리콘 질화물 층을 증착하는 단계, 고 유전상수(k) 층 또는 실리콘 질화물 층의 상부 표면을 산화시키는 단계, 및 기판을 어닐링하는 단계를 포함한다. 게이트 유전체는 통합 처리 시스템 내에서 형성될 수 있다.

Description

게이트 스택 구조물에 대한 연속 처리 클러스터링 방법{METHOD OF CLUSTERING SEQUENTIAL PROCESSING FOR A GATE STACK STRUCTURE}
본 발명의 실시예는 일반적으로 게이트 유전체를 형성하는 방법에 관한 것이다. 보다 상세하게, 본 발명의 실시예는 통합 처리 시스템 내에서 실리콘 및 산소를 포함하는 게이트 유전체를 형성하는 방법에 관한 것이다.
집적 회로는 트랜지스터, 축전기, 및 저항기와 같은 많은, 예를 들면 수백만 개의 장치로 구성된다. 전계 효과 트랜지스터(field effect transistors)와 같은 트랜지스터는 통상적으로 소오스, 드레인, 및 게이트 스택을 포함한다. 게이트 스택은 통상적으로 실리콘 기판과 같은 기판, 기판 상의 SiO2와 같은 게이트 유전체, 및 게이트 유전체 상의 다결정 실리콘과 같은 게이트 전극을 포함한다.
집적 회로 크기 및 그 위의 트랜지스터의 크기가 감소함에 따라, 트랜지스터의 속도를 증가시키는데 요구되는 게이트 구동 전류(gate drive current)는 증가해왔다. 게이트 용량(gate capacitance)이 증가할 때 구동 전류가 증가하고 용량은 게이트 유전체 두께에 반비례 하기 때문에, 유전체 두께를 감소시키는 것이 구동 전류를 증가시키는 한가지 방법이다.
SiO2 게이트 유전체의 두께를 20 Å 이하로 감소시키려는 시도가 이루어져 왔다. 그러나 20 Å 이하의 얇은 SiO2 게이트 유전체의 사용은 종종 게이트 성능 및 내구성에 바람직하지 않은 영향을 초래함이 발견되었다. 예를 들면, 도핑된 게이트 유전체로부터의 도펀트는 얇은 SiO2 게이트 유전체를 통해 아래에 놓인 실리콘 기판을 관통할 수 있다. 또한, 게이트에 의해 소모되는 전력의 양을 증가시키는 얇은 유전체와 함께, 게이트 누설(gate leakage), 즉 터널링의 증가가 존재한다.
실리콘 옥시나이트라이드(Silicon oxynitride; SiON 또는 SiOxNy) 필름은 SiO2 게이트 유전체에 대한 대안으로서 개발되었다. 실리콘 옥시나이트라이드 필름은 통상적으로 SiO2 필름의 열적 질화 또는 플라즈마 질화에 의해 형성된다. 질소를 SiO2 필름에 통합하는 것은 하부에 놓인 실리콘 기판으로의 도펀트 침투를 차단하고, 전류 누출을 감소시키며, 더 두꺼운 게이트 유전체의 사용을 허용한다. 그러나 열적 질화에 사용되는 높은 온도는 게이트 유전체를 통해 하부에 놓인 실리콘 채널 계면으로의 질소의 확산을 가져올 수 있으며, 실리콘 채널 계면의 초과 질소는 채널 이동성을 낮추고 음의 바이어스 온도 불안정성(negative bias temperature instability; NBTI)을 일으킨다. 유사하게, 플라즈마 질화에 사용되는 플라즈마 처리 조건은 하부에 놓인 실리콘 채널 계면으로 게이트 유전체에 침투하기에 충분한 에너지를 갖는 질소 이온을 발생시킬 수 있다.
따라서, 개선된 게이트 유전체를 형성하는 방법에 대한 요구가 남아 있다.
도 1은 본 발명의 실시예를 도시하는 흐름도이고,
도 2는 본 발명의 다른 실시예를 도시하는 흐름도이며,
도 3A 내지 도 3D는 본 발명의 실시예에 따른 처리 순서의 상이한 단계에서 기판 구조물의 개략적 횡단면도를 도시하며,
도 4는 본 발명의 실시예를 실행하는데 사용될 수 있는 통합 처리 시스템의 개략적 평면도이다.
본 발명의 실시예는 일반적으로 기판상에 게이트 유전체를 형성하는 방법을 제공한다. 게이트 유전체는 실리콘 및 산소를 포함하고, 하프늄 실리케이트, 하프늄 산화물, 또는 하프늄 란탄 실리케이트와 같은 고 유전상수(k) 물질 또는 질소를 포함할 수도 있다. 일 양태에서, 게이트 유전체는 실리콘 기판상에 얇은 실리콘 산화물 층을 포함하고, 실리콘 산화물 층 상에 실리콘 질화물 층 또는 고 유전상수(k) 층을 포함하며, 실리콘 질화물 층 또는 고 유전상수(k) 층은 산화된 상부 표면을 갖는다.
일 실시예에서, 기판상에 실리콘 및 산소를 포함하는 게이트 유전체를 형성하는 방법은 기판상에 실리콘 산화물 층을 형성하도록 산화 분위기(oxidizing atmosphere)에서 실리콘을 포함하는 기판을 제 1 어닐링하는 단계, 화학기상증착 또는 원자층 증착에 의해 실리콘 산화물 층 상에 하프늄 산화물 층, 하프늄 실리케이트 층, 및 하프늄 란탄 실리케이트 층으로 이루어진 그룹으로부터 선택되는 고 유전상수(k) 층 또는 실리콘 질화물 층을 증착하는 단계를 포함한다. 실리콘 질화물 층 또는 고 유전상수(k) 층은 실리콘의 상부 표면을 산화시키도록 산소를 포함하는 플라즈마에 노출된 뒤, 기판을 제 2 어닐링하는 단계가 실행된다.
다른 실시예에서, 기판상에 실리콘 및 산소를 포함하는 게이트 유전체를 형성하는 방법은 통합 처리 시스템으로 실리콘을 포함하는 기판을 도입시키는 단계, 상기 기판상에 실리콘 산화물 층을 형성하도록, 상기 통합 처리 시스템의 제 1 챔버내의 산화 분위기에서 상기 기판을 제 1 어닐링하는 단계, 및 통합 처리 시스템의 제 2 챔버 내에서 화학기상증착 또는 원자층 증착에 의해 실리콘 산화물 층 상에 하프늄 산화물 층, 하프늄 실리케이트 층, 및 하프늄 란탄 실리케이트 층으로 이루어진 그룹으로부터 선택되는 고 유전상수(k) 층 또는 실리콘 질화물 층을 증착하는 단계를 포함한다. 실리콘 질화물 층 또는 고 유전상수(k) 층은 통합 처리 시스템의 제 3 챔버 내에서 실리콘의 상부 표면을 산화시키기 위해 산소를 포함하는 플라즈마에 노출된 후 상기 통합 처리 시스템의 챔버에서 상기 기판을 제 2 어닐링하는 단계가 실행된다.
추가 실시예에서, 기판상에 실리콘 및 산소를 포함하는 게이트 유전체를 형성하는 방법은 통합 처리 시스템으로 실리콘을 포함하는 기판을 도입하는 단계, 및 상기 기판상에 실리콘 산화물 층을 형성하도록 상기 통합 처리 시스템의 제 1 챔버 내의 산화 분위기에서 상기 기판을 제 1 어닐링하는 단계를 포함한다. 실리콘 질화물 층은 상기 통합 처리 시스템의 제 2 챔버 내에서 원자층 증착에 의해 실리콘 산화물 층 상에 증착된다. 실리콘 질화물 층이 상기 통합 처리 시스템의 제 3 챔버 내에서 실리콘 질화물 층의 상부 표면을 산화시키기 위해 산소를 포함하는 플라즈마에 노출된 뒤, 상기 통합 처리 시스템의 챔버 내에서 상기 기판을 제 2 어닐링하는 단계가 실행된다.
본 발명의 전술한 특징이 상세히 이해될 수 있도록, 상기에 간략히 요약된 본 발명의 보다 상세한 설명은, 첨부 도면에 그 일부가 도시된 실시예를 참조할 수 있다. 그러나 첨부 도면은 본 발명의 대표적인 실시예만을 도시하므로 그 범주를 제한하는 것으로 간주되지 않으며, 본 발명에 대하여 다른 동등하게 유효한 실시예를 허용할 수 있음에 주의한다.
본 발명의 실시예는 실리콘 및 산소를 포함하는 게이트 유전체를 형성하는 방법을 제공한다. 일 양태에서, 게이트 유전체는 얇은 실리콘 산화물 층, 얇은 실리콘 산화물 상의 실리콘 질화물 층 또는 고 유전상수(k) 층, 그리고 실리콘 질화물 층 또는 고 유전상수(k) 층의 산화된 상부 표면을 포함한다. 본 명세서에서 정의되는 바와 같이, 고 유전상수(k) 층은 약 4 내지 약 30과 같이, 약 4보다 큰 유전상수를 갖는다.
본 발명의 실시예에는 도 1 및 도 2의 흐름도에 대해 간략히 설명될 것이며, 도 3A 내지 도 3D에 대하여서는 하기에서 더 설명될 것이다.
일 실시예에서, 실리콘을 포함하는 기판은 도 1의 단계(102)로 도시된 바와 같이, 기판상에 실리콘 산화물 층을 형성하도록 산화 분위기에서 어닐링된다. 실리콘 질화물 층은 단계(104)로 도시된 바와 같이, 원자층 증착(ALD) 또는 화학기상증착(CVD)에 의해 실리콘 산화물 층 상에 증착된다. 실리콘 질화물 층은 단계(106)로 도시된 바와 같이, 산소를 포함하는 플라즈마에 노출된다. 실리콘 질화물 층을 산소를 포함하는 플라즈마에 노출시키는 단계는 실리콘 질화물 층의 상부 표면을 산화시킨다. 실리콘 산화물 층, 실리콘 질화물 층, 및 그 위의 실리콘 질화물 층의 산화된 상부 표면을 갖는 기판은 그 후 단계(108)로 도시된 바와 같이 어닐링된다.
다른 실시예에서, 실리콘을 포함하는 기판은 도 2의 단계(202)로 도시된 바와 같이, 기판상에 실리콘 산화물 층을 형성하기 위해 산화 분위기에서 어닐링된다. 고 유전상수(k) 층은 단계(204)로 도시된 바와 같이, 원자층 증착(ALD) 또는 화학기상증착(CVD)에 의해 실리콘 산화물 층 상에 증착된다. 고 유전상수(k) 층은 단계(206)로 도시된 바와 같이, 산소를 포함하는 플라즈마에 노출된다. 고 유전상수(k) 층을 산소를 포함하는 플라즈마에 노출시키는 단계는 고 유전상수(k) 층의 상부 표면을 산화시킨다. 상부에 실리콘 산화물 층, 실리콘 질화물 층, 및 고 유전상수(k) 층의 산화된 상부 표면을 갖는 기판은 그 후 단계(208)로 도시된 바와 같이 어닐링된다.
도 3A 내지 도 3D는 도 1 및 도 2의 실시예에 따른 상이한 처리 단계에서의 기판 구조물의 예시를 도시한다. 도 3A는 실리콘을 포함하는 기판(300)을 도시한다. 기판은 200 mm 또는 300 mm 기판일 수 있거나 반도체 또는 평판 디스플레이 처리에 적합한 다른 기판일 수 있다. 바람직하게, 기판은 산화 분위기에서 어닐링되기 전에 그 표면상의 임의의 자연 산화물(native oxide)을 제거하도록 세정된다. 자연 산화물은 플루오르화 수소산(HF) 용액 내에서 기판을 세정하는 것과 같이, 습식 세정 처리를 이용하여 기판을 처리함으로써 제거될 수 있다. 이 용액은 약 0.1 내지 약 10.0 중량 퍼센트의 HF의 농도를 가질 수 있으며, 약 20℃ 내지 약 30℃의 온도에서 사용될 수 있다. 예시적 실시예에서, 이 용액은 약 0.5 중량 퍼센트의 HF 및 약 25℃의 온도를 갖는다. 이 용액에 대한 기판의 단시간의 노출 후에 탈이온수 내에서의 세정 단계가 이어질 수 있다.
도 3B는 상부에 얇은 실리콘 산화물 층(302)을 갖는 기판(300)을 도시한다. 얇은 실리콘 산화물 층(302)은 SiO2 층일 수 있다. 얇은 실리콘 산화물 층(302)은 단계(102, 202)에 대해 전술된 바와 같이, 산화 분위기에서 기판(300)을 어닐링함으로써 형성된다. 산화 분위기는 산소(O2), 수소(H2)와 산소(O2), 수소(H2)와 이산화질소(N2O), 산소(O2)와 비활성 기체, 또는 이들의 조합으로 된 대기일 수 있다. 실리콘 산화물 필름은, 예를 들면 약 2 Å 내지 약 10 Å의 두께를 가질 수 있다. 일 실시예에서, 기판은 약 700 ℃ 내지 약 1100 ℃의 기판 온도에서 약 0.1 Torr 내지 약 800 Torr의 압력으로 약 1초 내지 약 180초의 시간동안 산화 분위기에 노출될 수 있다. 바람직하게, 온도는 약 750 ℃ 내지 약 1000 ℃이고, 압력은 약 0.5 Torr 내지 약 50 Torr이다.
도 3C는 실리콘 산화물 층(302) 상에 증착되는 층(304)을 도시한다. 층(304)은 도 1의 단계(104)에 대해 전술된 바와 같이, CVD 또는 ALD에 의해 증착되는 실리콘 질화물 층일 수 있거나, 도 2의 단계(204)에 대해 전술된 바와 같이 CVD 또는 ALD에 의해 증착되는 고 유전상수(k) 층일 수 있다.
실리콘 질화물의 층(304)은 실리콘 소오스 및 질소 소오스를 포함하는 가스 혼합물로부터 원자층 증착(ALD) 또는 화학기상증착(CVD)에 의해 증착될 수 있다. 실리콘 질화물 층(304)이 증착되기 전에, 실리콘 산화물 층(302)은, 적은 양의 질소를 실리콘 산화물 층(302)에 통합시키기 위해, 분리식 플라즈마 질화(decoupled plasma nitridation; DPN)와 같이, 질소 플라즈마에 약 10 mTorr 내지 약 50 mTorr에서, 펄스화된 소오스의 N2 플라즈마를 이용하여 25 내지 900 유효 와트에서 약 5 내지 120 초 동안 노출될 수 있는데, 이는 이러한 처리가 실리콘 산화물 층 상에 ALD에 의해 증착되는 실리콘 질화물 층의 핵성성(nucleation)을 향상시키는 것으로 관찰되었기 때문이다.
실리콘 질화물 층은 약 2 Å 내지 약 10 Å의 두께를 가질 수 있다. 실리콘 소오스는, 예를 들면 실란(SiH4), 디실란(Si2H6), 디클로로실란(SiH2Cl2), 헥사클로로디실란(Si2Cl6), 또는 이들의 조합일 수 있다. 사용될 수 있는 질소 소오스의 일례는 암모니아(NH3)이다.
실리콘 질화물 층을 증착하는데 사용될 수 있는 예시적인 CVD 처리 조건은 약 300 ℃ 내지 약 600 ℃의 기판 온도, 약 1 Torr 내지 약 100 Torr의 챔버 압력, 약 5 sccm 내지 약 100 sccm의 실리콘 소오스 유속, 및 약 5 sccm 내지 약 10 slm의 질소 소오스 유속을 포함한다. CVD 프로세스는 저압 열적 CVD 프로세스 또는 플라즈마강화 CVD 프로세스일 수 있다. CVD 프로세스는 프리커서가 증착 챔버로 병류되고(co-flowed) 펄스화되는 연속 프로세스 또는 펄스화된 CVD 프로세스일 수 있다. 실리콘 질화물 층을 증착하는데 사용될 수 있는 CVD 챔버의 일례는 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.로부터 입수 가능한 SiNgen®LPCVD 챔버이다.
본 명세서에서 정의되는 바와 같이, "원자층 증착(ALD)"은 기판 표면상에 물질의 층을 증착하도록 둘 또는 그보다 많은 반응성 화합물(reactive compounds)을 연속적으로 도입하는 것을 지칭한다. 일 양태에서, 실리콘 프리커서 및 반응 물질은 실리콘 질화물 층을 증착하도록 ALD 프로세스에서 챔버 내부로 연속적으로 펄스화된다. 사용될 수 있는 챔버의 일례는 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.로부터 입수 가능한 300 mm ALD Gemini 챔버이다. 실리콘 프리커서는 기체 프리커서에 대해서는 약 1 sccm 내지 약 300 sccm, 바람직하게는 약 10 sccm 내지 약 100 sccm의 유속으로, 및 액체 프리커서에 대해서는 약 5 mg/분 내지 500 mg/분의 유속으로 챔버 내부로 도입될 수 있다. 반응 물질은 약 100 sccm 내지 약 10,000 sccm 또는 그보다 높은 유속, 바람직하게는 약 500 sccm 내지 약 3,000 sccm과 같이 약 500 sccm보다 높은 유속, 보다 바람직하게는 약 1,000 sccm 내지 약 2,000 sccm의 유속으로 챔버로 도입될 수 있다.
실리콘 프리커서는 아미노실란과 같은 질소 함유 화합물일 수도 있다. 사용할 수 있는 실리콘 프리커서인 특정 아미노실란은 (RR'N)4- nSiHn의 화학식을 갖는 알킬아미노실란이며, 여기서 R 및 R'는 독립적으로 수소, 메틸, 에틸, 프로필, 부틸, 펜틸, 또는 아릴이고, n은 0, 1, 2, 또는 3이다. 일 실시예에서, R은 수소이고, R'는 메틸, 에틸, 프로필, 부틸, 또는 펜틸과 같은 알킬기이고, 예를 들면 R'는 테시어리부틸(tertiarybutyl)과 같은 부틸기이며, n은 2이다. 다른 실시예에서, R 및 R'는 독립적으로 메틸, 에틸, 프로필, 부틸 및 펜틸과 같은 알킬기이거나 아릴기이다. 본 명세서에서 설명되는 증착 프로세스에 사용할 수 있는 실리콘 프리커서는 (tBu(H)N)3SiH, (tBu(H)N)2SiH2, (tBu(H)N)SiH3, (iPr(H)N)3SiH, (iPr(H)N)2SiH2, (iPr(H)N)SiH3 및 이들의 유도체를 포함한다. 일 실시예에서, 실리콘 프리커서는 비스(테시어리부틸아미노)실란((tBu(H)N)2SiH2 또는 BTBAS)이다. 다른 실시예에서, 실리콘 프리커서는 (RR'N)4-nSiR"n의 화학식을 갖는 알킬아미노실란일 수 있으며, 여기서 R 및 R'는 독립적으로 수소, 메틸, 에틸, 프로필, 부틸, 펜틸, 또는 아릴이며, R"는 독립적으로 수소, 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 또는 펜틸), 아릴, 또는 할로겐(예를 들면, F, Cl, Br, 또는 I)이며, n은 0, 1, 2, 또는 3이다.
본 명세서에서 설명되는 증착 프로세스에서 사용될 수 있는 반응 물질은 수소(H2), 실란, 게르만(germanes), 보란(boranes), 탄화수소 및/또는 알킬, 포스핀, 아민, 히드라진, 아지드, 이들의 유도체 및 이들의 조합을 포함한다. 실란은 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 디클로로실란(Cl2SiH2), 헥사클로로디실란(Si2Cl6), 알킬실란(예를 들면, MeSiH3) 및 이들의 유도체를 포함한다. 게르만은 게르만(GeH4), 디게르만(Ge2H6), 트리게르만(Ge3H8), 알킬게르만(예를 들면, MeGeH3) 및 이들의 유도체를 포함한다. 보란은 보란(BH3), 디보란(B2H6) 및 알킬보란(예를 들면, Et3B), 이들의 부가물 및 이들의 유도체를 포함한다. 탄화수소 및/또는 알킬은 메탄(CH4), 에탄(C2H6), 프로판(C3H8), 부탄(C4H10), 에텐(C2H4), 에틴(C2H2), 프로펜(C3H6), 프로핀(C3H4), 부탄(C4H8), 부틴(C4H6) 및 이들의 유도체를 포함한다. 포스핀은 포스핀(PH3), 메틸포스핀(MePH2), 디메틸포스핀(Me2PH) 및 이들의 유도체를 포함한다. 아민 및 히드라진은 (H3Si)3N, (Me3Si)3N, Me3N, Et3N, H2NNH2, Me(H)NNH2, Me2NNH2, Me2NNMe2, tBuNNtBu, 및 이들의 유도체를 포함한다. 바람직한 실시예에서, 반응 물질은 수소, 실란, 디실란, 또는 이들의 조합이다.
본 명세서에서 설명되는 실리콘 질화물 층을 증착하는데 사용될 수 있는 ALD 프로세스에 대한 추가 설명은 2004년 7월 23일자로 제출되고 제목이 "Low Thermal Budget Silicon Nitride Formation for Advance Transistor Fabrication"이며, U.S.특허 공개 제2006/0019032로 공개된, 일반 양도된 미합중국 특허출원번호 제10/898,547호에서 제공되며, 이는 본 명세서에서 참조로 통합된다.
실리콘 질화물 층을 형성하기 위해 두꺼운 실리콘 산화물 층을 플라즈마 질화 또는 열적 어닐링하기보다, 화학기상증착 또는 원자층 증착에 의해 기판(300) 상에 얇은 실리콘 산화물 층(302) 및 그 후 실리콘 질화물의 층(304)을 증착함으로써, 하부에 놓인 실리콘 기판(300)의 질소에 대한 오염이 최소화된다.
다른 실시예에서, 하프늄 함유 물질과 같은 고 유전상수(k) 물질의 층(304), 예를 들면 화프늄 산화물 층, 하프늄 실리케이트 층, 또는 하프늄 란탄 실리케이트 층이 CVD 또는 ALD에 의해 실리콘 산화물 층(302) 상에 증착될 수 있다. 하프늄 산화물은 식(HfOx 또는 HfO2)을 가질 수 있다. 하프늄 실리케이트는 식 HfSiyOx를 가질 수 있으며, 하프늄 산화물(HfOx 또는 HfO2)과 실리콘 산화물(SiOx 또는 SiO2)의 혼합물 또는 단상 HfSiO4 물질일 수 있다. 고 유전상수(k) 물질의 층(304)은 약 10Å 내지 약 60Å의 두께를 가질 수 있다.
하프늄 산화물 층을 증착하는 ALD 프로세스는 약 0.1 초 내지 약 5초의 범위 이내와 같이, 일정 기간동안 캐리어 가스와 함께 또는 단독으로 챔버 내부로 도입되는 하프늄 프리커서의 펄스에 기판을 노출시키는 단계를 포함할 수 있다. 퍼지 가스의 펄스가 그 후 임의의 잔류 하프늄 프리커서 또는 부산물을 세정하거나 그렇지 않으면 제거하기 위해 챔버 내부로 도입된다. 다음으로, 산화 가스의 펄스가 챔버 내부로 도입된다. 산화 가스는 수증기 및 산소와 같은 몇몇 산화제의 혼합물을 포함할 수 있다. 퍼지 가스의 펄스는 임의의 잔류 산화 가스 또는 부산물을 세정하거나 그렇지 않으면 제거하기 위해 챔버 내부로 다시 도입된다.
하프늄 실리케이트 층을 증착하기 위한 ALD 프로세스는 챔버 내부로 다음의 가스: 하프늄 프리커서, 퍼지 가스, 산화 가스, 퍼지 가스, 실리콘 프리커서, 퍼지 가스 산화 가스 및 퍼지 가스를 순차적으로 펄스화하는 단계를 포함할 수 있다. 대안적으로, 하프늄 실리케이트 층을 증착하기 위한 ALD 프로세스는 실리콘 프리커서의 펄스와 하프늄 프리커서의 중첩 펄스에 이어 퍼지 가스의 펄스, 산화 가스의 펄스 및 퍼지 가스의 펄스를 챔버로 제공하는 단계를 포함할 수 있다.
본 명세서에서 설명되는 하프늄 실리케이트 층 및 하프늄 산화물을 증착하는데 사용될 수 있는 ALD 프로세스에 대한 추가 설명은 2005년 5월 12일자로 제출되고, 제목이 "Apparatuses and Methods for Atomic Layer Deposition of Hafnium Containing High-k Dielectric Materials"이며, U.S.특허 공개 제2005/0271813호로 공개된, 일반 양도된 미합중국 특허출원번호 제11/127,767호에서 제공되며, 이는 본 명세서에서 참조로 통합된다.
CVD 또는 ALD에 대한 예시적인 하프늄 프리커서는 할로겐화합물(halides), 알킬아미노(alkylaminos), 사이클로펜타디에닐(cyclopentadienyls), 알킬, 알콕시화물(alkoxides), 이들의 유도체, 또는 이들의 조합과 같은 리간드(ligands)를 함유하는 하프늄 화합물을 포함한다. 하프늄 프리커서로서 사용할 수 있는 하프늄 할로겐 화합물은 HfCl4, Hfl4 및 HfBr4를 포함할 수 있다. 하프늄 프리커서로서 사용할 수 있는 하프늄 알킬아미노 화합물은 (RR'N)4Hf를 포함하며, 이때 R 또는 R'는 독립적으로 수소, 메틸, 에틸, 프로필, 또는 부틸이다. 하프늄 함유 물질을 증착하는데 사용할 수 있는 하프늄 프리커서는 (Et2N)4Hf, (Me2N)4Hf, (MeEtN)4Hf, (tBuC5H4)2HfCl2, (C5H5)2HfCl2, (EtC5H4)2HfCl2, (Me5C5)2HfCl2, (Me5C5)HfCl3, (iPrC5H4)2HfCl2, (iPrC5H4)HfCl3, (tBuC5H4)2HfMe2, (acac)4Hf, (hfac)4Hf, (tfac)4Hf, (thd)4Hf, (NO3)4Hf, (tBuO)4Hf, (iPrO)4Hf, (EtO)4Hf, (MeO)4Hf, 또는 이들의 유도체를 포함한다. 바람직하게, 본 명세서에서 증착 프로세스 중에 사용되는 하프늄 프리커서는 HfCl4, (Et2N)4Hf, 또는 (Me2N)4Hf를 포함한다.
CVD 또는 ALD에 의해 하프늄 실리케이트 층을 증착하는데 사용할 수 있는 예시적인 실리콘 프리커서는 실란, 알킬아미노실란, 실라놀, 또는 알콕시 실란을 포함하고, 예를 들면 실리콘 프리커서는 (Me2N)4Si, (Me2N)3SiH, (Me2N)2SiH2, (Me2N)SiH3, (Et2N)4Si, (Et2N)3SiH, (MeEtN)4Si, (MeEtN)3SiH, Si(NCO)4, MeSi(NCO)3, SiH4, Si2H6, SiCl4, Si2Cl6, MeSiCl3, HSiCl3, Me2SiCl2, H2SiCl2, MeSi(OH)3, Me2Si(OH)2, (MeO)4Si, (EtO)4Si, 또는 이들의 유도체를 포함할 수 있다. 실리콘 프리커서로서 사용할 수 있는 다른 알킬아미노실란 화합물은 (RR'N)4-nSiHn을 포함하며, 여기서 R 또는 R'는 독립적으로 수소, 메틸, 에틸, 프로필, 또는 부틸이며, n은 0-3이다. 다른 알콕시 실란(alkoxy silanes)은 일반 화학식 (RO)4-nSiLn으로 설명될 수 있으며, 여기서 R은 메틸, 에틸, 프로필, 또는 부틸이며, L은 H, OH, F, Cl, Br 또는 I 및 그 혼합물이다. 바람직하게, 본 명세서에서 증착 프로세스중에 사용되는 실리콘 프리커서는 (Me2N)3SiH, (Et2N)3SiH, (Me2N)4Si, (Et2N)4Si 또는 SiH4를 포함한다.
하프늄 산화물 층을 증착하는데 사용될 수 있는 예시적인 CVD 프로세스 조건은 약 200 ℃ 내지 약 700 ℃의 기판 온도, 약 1 Torr 내지 약 200 Torr의 챔버 압력, 약 5 mg/분 내지 약 500 mg/분의 하프늄 프리커서 유속, 및 약 5 sccm 내지 약 1000 sccm의 산소 프리커서 유속을 포함한다. CVD 프로세스는 통상적인 CVD 프로세스 또는 플라즈마 강화 CVD 프로세스일 수 있다. CVD 프로세스는, 내부에서 프리커서가 증착 챔버로 병류되며 펄스화되는, 연속 프로세스 또는 펄스화된 CVD 프로세스일 수 있다.
하프늄 실리케이트 층을 증착하는데 사용될 수 있는 예시적인 화학기상증착(CVD) 처리 조건은 약 200 ℃ 내지 약 700 ℃의 기판 온도, 약 1 Torr 내지 약 200 Torr의 챔버 압력, 약 5mg/분 내지 약 500 mg/분의 하프늄 프리커서 유속, 약 5 mg/분 내지 약 500 mg/분의 실리콘 프리커서 유속, 및 약 5 sccm 내지 약 1000 sccm의 산화 가스 유속을 포함한다. CVD 프로세스는 통상적인 CVD 프로세스 또는 플라즈마 강화 CVD 프로세스일 수 있다. CVD 프로세스는, 내부에서 프리커서가 증착 챔버로 병류되고 펄스화되는, 연속 프로세스 또는 펄스화된 CVD 프로세스일 수 있다.
도 3D를 참조하면, 산화된 상부 표면 층(306)은 산소를 포함하는 플라즈마에 층(304)을 노출시킴으로써 층(304) 상에 형성된다. 산소를 포함하는 플라즈마는 O2, NO, N2O, 또는 이들의 조합과 같은 산소 소오스로부터 발생될 수 있다. 플라즈마는 약 25 와트 내지 약 1000 와트의 전력을 인가함으로써 제공될 수 있다. 플라즈마는 RF 전력, 마이크로파 전력, 또는 이들의 조합을 사용하여 발생될 수 있다. 플라즈마는 유사 원격 플라즈마 소오스(quasi-remote plasma source), 유도 플라즈마 소오스, 방사상 라인 슬롯 안테나(radial line slotted antenna; RLSA) 소오스, 또는 다른 플라즈마 소오소를 사용하여 발생될 수 있다. 플라즈마는 연속적이거나 펄스화될 수 있다. 플라즈마에 층(304)을 노출시키는 동안 O2의 부분 압력은 약 1 mTorr 내지 약 100 mTorr일 수 있다. 산소 소오스는 약 1 sccm 내지 약 1000 sccm의 유속에서 약 5 mTorr 내지 약 3000 mTorr의 챔버 압력으로 약 3 초 내지 약 120 초동안 도입되어 약 0.2 Å 내지 약 5 Å의 두께를 갖는 얇고 산화된 상부 표면 층(306)을 제공할 수 있다.
얇고 산화된 상부 표면 층(306)은 층(304)이 실리콘 질화물 층과 같이 질소를 포함하는 층일 때 특히 사용할 수 있는데, 이는 폴리실리콘 층이 게이트 전극으로서 상부에 증착될 때 질소-실리콘의 형성을 최소화하기 때문이다. 질소-실리콘 결합은 특히 PMOS 소자에 평활 전압 변화(flatband voltage shift)를 일으킬 수 있다. 실리콘 질화물 층의 상부 표면을 산화시키는 것이 또한 바람직한데, 이는 실리콘 질화물 층의 밴드 갭(band gap)을 증가시켜 게이트 유전체에 걸쳐서 누설을 낮추기 때문이다.
산화된 상부 표면 층(306)이 층(304) 상에 형성된 후, 플라즈마 처리를 안정화시키고 기판(300)과 실리콘 산화물 층(302) 사이의 계면을 개선시키도록 상부에 층(302, 304, 306)을 포함하는 기판(300)이 어닐링된다. 일 실시예에서, 기판을 어닐링하는 것은 저압 O2 또는 N2 대기에 희석된 O2와 같은 저압의 산화 대기와 같이, 약간 산화시키는 대기에 기판을 노출시키는 단계를 포함하며, 이때 O2의 부분압은 약 1 mTorr 내지 약 100 Torr이다. 기판은 약 800 ℃ 내지 약 1100 ℃의 기판 온도에서 약 5 초 내지 약 180 초 동안 어닐링될 수 있다. O2는 약 500 sccm과 같이, 약 2 sccm 내지 약 5000 sccm 사이의 유속으로 어닐링 챔버로 도입될 수 있다. 일 실시예에서, O2는 약 1000 ℃의 온도 및 약 0.1 Torr의 압력을 약 15 초 동안 유지시키면서 약 500 sccm으로 제공된다.
다른 실시예에서, 기판을 어닐링하는 것은 약 800 ℃ 내지 약 1100 ℃의 온도에서 질소, 아르곤, 또는 이들의 조합과 같은 비활성 가스에 기판을 노출시키는 단계를 포함한다.
통상적으로, 기판을 어닐링하는 것은 실리콘 산화물 층(302), 층(304), 및 산화된 상부 표면 층(306)을 포함하는 게이트 유전체(308)의 형성을 완성한다. 폴리실리콘 층과 같은 게이트 전극 물질이 그 후 게이트 유전체 상에 증착될 수 있다. 폴리실리콘 층은 약 500 Å 내지 약 5000 Å의 두께를 가질 수 있다.
통합 처리 순서
추가의 실시예에서, 실리콘 및 산소를 포함하는 게이트 유전체는, 통합 반도체 처리 시스템과 같은 통합 처리 시스템에서, 게이트 유전체가 형성될 때까지 통합 처리 시스템으로부터 기판이 제거되지 않는 방법으로, 기판상에 형성된다. 사용될 수 있는 통합 처리 시스템(400)의 일례는 도 4에 개략적으로 도시된, 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.로부터 입수 가능한 게이트 스택 CENTURA® 시스템이다. 통합 처리 시스템(400)은 중앙 이송 챔버(402), 이송 로봇(403), 로드 록(404, 406), 냉각 챔버(408), CVD 또는 ALD 챔버(410), 플라즈마 처리 챔버(414), 급속 열처리(RTP) 챔버(416), 및 CVD 또는 ALD 챔버(418)를 포함할 수 있다.
통합 처리 시스템에서 게이트 유전체가 형성되는 실시예에 대한 처리 조건은 게이트 유전체의 형성을 위해 상기에 제공된 처리 조건과 동일할 수 있다. 통합 처리 시스템에서 게이트 유전체가 형성되는 실시예는 도 4에 대해 하기에 요약될 것이다.
실리콘을 포함하는 기판은 로드록(404, 406)을 통해 통합 처리 시스템(400)으로 도입된다. 로드록(404, 406)은 진공 또는 질소 퍼지된 환경을 가질 수 있다. 바람직하게, 기판은 통합 처리 시스템으로 도입되기 전에 자연 산화물을 제거하도록 세정된다. 기판은 이송 로봇(403)에 의해 로드록(404, 406)으로부터, 역시 진공 또는 질소 퍼지된 환경을 가질 수 있는 중앙 이송 챔버(402)를 통해, 급속 열처리 챔버(416)로 이송될 수 있다. 사용될 수 있는 급속 열처리 챔버의 예시는 RADIANCE® 챔버 또는 RadiancePlus RTP 챔버를 포함하며, 이들은 모두 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.로부터 입수 가능하다. 기판은 기판상에 실리콘 산화물 층을 형성하기 위해 급속 열처리 챔버(416) 내의 산화 분위기에서 어닐링된다. 기판은 그 후 CVD 또는 ALD 챔버(410) 또는 CVD 또는 ALD 챔버(418)로 이송되며, 실리콘 질화물 층 또는 고 유전상수(k) 층은 전술한 바와 같이 CVD 또는 ALD에 의해 실리콘 산화물 층 상에 증착된다. 실리콘 질화물 층을 증착하는데 사용될 수 있는 CVD 챔버의 일례는 SiNgen® LPCVD 챔버이다. 기판은 그 후 플라즈마 처리 챔버(414)로 이송되어 산소를 함유하는 플라즈마에 노출되어서 실리콘 질화물 층 또는 고 유전상수(k) 층의 상부 표면을 산화시킨다. 사용될 수 있는 플라즈마 처리 챔버(414)의 일례는 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.로부터 입수 가능한, DPN CENTURA® 챔버와 같은 분리식 플라즈마 질화 챔버(DPN)이다. 그러나 플라즈마 처리 챔버(414)는 다른 펄스화된 유사 원격 RF DPN 챔버 또는 마그네트론 또는 RLSA 마이크로파 플라즈마 소오스를 포함하는 챔버일 수 있다.
기판은 그 후 RTP 챔버(416)로 이송된다. 급속 열처리(RTP) 챔버는, 모두 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.로부터 입수 가능한 RADIANCE® 챔버 또는 RadiancePlus RTP 챔버일 수 있다. 대안적으로, 챔버(416)는 통상적인 로(furnace)일 수 있다. 기판은 챔버(416)에서 어닐링되어 게이트 유전체의 형성을 완성한다. 기판은 그 후 게이트 유전체 상에 폴리실리콘 층과 같은 게이트 전극 물질을 증착하기 위해, CVD 또는 ALD 챔버(410) 또는 CVD 또는 ALD 챔버(418)로 이송될 수 있다. 사용될 수 있는 CVD 챔버(410 또는 418)의 일례는 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.로부터 입수 가능한, POLYgen LPCVD 챔버이다.
진공 또는 질소 퍼지된 하위 진공 환경을 제공하는 통합 처리 시스템에서 본 명세서에 설명된 게이트 유전체를 형성하는 것은 게이트 유전체의 층들 사이 및 게이트 유전체와 상부에 놓이고 하부에 놓이는 실리콘 층 사이의 계면에 대한 우수한 제어를 가져오는데, 이는 다양한 층의 표면들이 기판의 오염물 또는 층 상의 자연 산화물의 형성을 일으킬 수 있는 외부 대기에 노출되지 않기 때문이다. 예를 들면, 탄소 오염물은 통합 처리 시스템에서 형성되지 않는 게이트 유전체의 실리콘 질화물 층과 실리콘 산화물 층 사이의 계면에서 발견되었다. 실리콘 질화물 필름을 증착하는데 통상적으로 사용되는 온도, 예를 들면 300 내지 600℃는, 예를 들면 실리콘 산화물 층 상에 실리콘 질화물 층을 증착하기 전에, 처리 장비 또는 대기로부터 실리콘 산화물 층 상의 탄소 오염물을 베이크하기에 충분하지 않은 것으로 생각된다. 따라서, 본 발명의 실시에는 유전체의 품질을 떨어뜨릴 수 있는 탄소와 같은 오염물의 존재를 최소화하는 게이트 유전체를 형성하는 방법을 제공한다.
전술한 바는 본 발명의 실시예에 관한 것이지만, 본 발명의 기본 범주를 벗어나지 않고 본 발명의 다른 추가의 실시예가 안출될 수 있으며, 본 발명의 범주는 이어지는 특허청구범위에 의해서 결정된다.

Claims (20)

  1. 기판상에 실리콘 및 산소를 포함하는 게이트 유전체를 형성하는 방법으로서:
    기판상에 실리콘 산화물 층을 형성하도록 산화 분위기에서 실리콘을 포함하는 기판을 제 1 어닐링하는 단계;
    화학기상증착 또는 원자층 증착에 의해 상기 실리콘 산화물 층 상에 하프늄 산화물 층, 하프늄 실리케이트 층, 및 하프늄 란탄 실리케이트 층으로 이루어진 그룹으로부터 선택되는 고 유전상수(k) 층 또는 실리콘 질화물 층을 증착하는 단계;
    상기 고 유전상수(k) 층 또는 실리콘 질화물 층의 상부 표면을 산화시키기 위해 산소를 포함하는 플라즈마에 상기 고 유전상수(k) 층 또는 실리콘 질화물 층을 노출시키는 단계; 및
    상기 기판을 제 2 어닐링하는 단계;를 포함하는
    게이트 유전체 형성 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 산화물 층은 약 2 Å 내지 약 10 Å의 두께를 갖는
    게이트 유전체 형성 방법.
  3. 제 2 항에 있어서,
    상기 실리콘 질화물 층은 상기 실리콘 산화물 층 상에 약 2 Å 내지 약 10 Å의 두께로 증착되는
    게이트 유전체 형성 방법.
  4. 제 3 항에 있어서,
    상기 실리콘 질화물 층을 증착하는 단계 전에 질소 플라즈마에 상기 실리콘 산화물 층을 노출시키는 단계를 더 포함하며, 상기 실리콘 질화물 층은 원자층 증착에 의해 증착되는
    게이트 유전체 형성 방법.
  5. 제 2 항에 있어서,
    하프늄 산화물 층, 하프늄 실리케이트 층, 및 하프늄 란탄 실리케이트 층으로 이루어진 그룹으로부터 선택되는 고 유전상수(k) 층이 약 10 Å 내지 약 60 Å의 두께로 상기 실리콘 산화물 층 상에 증착되는
    게이트 유전체 형성 방법.
  6. 제 1 항에 있어서,
    상기 제 1 어닐링 이전에 상기 기판으로부터 자연 산화물을 제거하는 단계를 더 포함하는
    게이트 유전체 형성 방법.
  7. 제 1 항에 있어서,
    상기 고 유전상수(k) 층 또는 실리콘 질화물 층의 산화된 상부 표면 상에 폴리실리콘 층을 증착하는 단계를 더 포함하는
    게이트 유전체 형성 방법.
  8. 제 1 항에 있어서,
    상기 고 유전상수(k) 층 또는 실리콘 질화물 층의 산화된 상부 표면은 약 0.2 Å 내지 약 5 Å의 두께를 갖는
    게이트 유전체 형성 방법.
  9. 제 8 항에 있어서,
    상기 산소를 포함하는 플라즈마에 고 유전상수(k) 층 또는 실리콘 질화물 층을 노출시키는 단계는 약 25 와트 내지 약 1000 와트의 전력을 인가하는 단계를 포함하는
    게이트 유전체 형성 방법.
  10. 기판상에 실리콘 및 산소를 포함하는 게이트 유전체를 형성하는 방법으로서:
    통합 처리 시스템으로 실리콘을 포함하는 기판을 도입시키는 단계;
    상기 기판상에 실리콘 산화물 층을 형성하도록, 상기 통합 처리 시스템의 제 1 챔버내의 산화 분위기에서 상기 기판을 제 1 어닐링하는 단계;
    상기 통합 처리 시스템의 제 2 챔버 내에서, 화학기상증착 또는 원자층 증착에 의해 상기 실리콘 산화물 층 상에 하프늄 산화물 층, 하프늄 실리케이트 층, 및 하프늄 란탄 실리케이트 층으로 이루어진 그룹으로부터 선택되는 고 유전상수(k) 층 또는 실리콘 질화물 층을 증착하는 단계;
    상기 통합 처리 시스템의 제 3 챔버 내에서, 상기 고 유전상수(k) 층 또는 실리콘 질화물 층의 상부 표면을 산화시키기 위해 산소를 포함하는 플라즈마에 상기 고 유전상수(k) 층 또는 실리콘 질화물 층을 노출시키는 단계; 및
    상기 통합 처리 시스템의 챔버 내에서 상기 기판을 제 2 어닐링하는 단계;를 포함하는
    게이트 유전체 형성 방법.
  11. 제 10 항에 있어서,
    상기 실리콘 질화물 층 또는 상기 고 유전상수(k) 층은 원자층 증착에 의해 증착되는
    게이트 유전체 형성 방법.
  12. 제 10 항에 있어서,
    상기 실리콘 질화물 층 또는 상기 고 유전상수(k) 층은 화학적 층 증착(chemical layer deposition)에 의해 증착되는
    게이트 유전체 형성 방법.
  13. 제 10 항에 있어서,
    상기 산소를 포함하는 플라즈마에 고 유전상수(k) 층 또는 실리콘 질화물 층을 노출시키는 단계는 약 25 와트 내지 약 1000 와트의 전력을 인가하는 단계를 포함하는
    게이트 유전체 형성 방법.
  14. 제 10 항에 있어서,
    상기 제 2 어닐링은 상기 게이트 유전체의 형성을 완성하며, 상기 기판은 상기 게이트 유전체가 형성될 때까지 상기 통합 처리 시스템으로부터 제거되지 않는
    게이트 유전체 형성 방법.
  15. 제 14 항에 있어서,
    상기 통합 처리 시스템에서 상기 게이트 유전체 상에 폴리실리콘 층을 증착하는 단계를 더 포함하는
    게이트 유전체 형성 방법.
  16. 기판상에 실리콘 및 산소를 포함하는 게이트 유전체를 형성하는 방법으로서:
    통합 처리 시스템으로 실리콘을 포함하는 기판을 도입하는 단계;
    상기 기판상에 실리콘 산화물 층을 형성하도록 상기 통합 처리 시스템의 제 1 챔버 내의 산화 분위기에서 상기 기판을 제 1 어닐링하는 단계;
    상기 통합 처리 시스템의 제 2 챔버 내에서 원자층 증착에 의해 상기 실리콘 산화물 층 상에 실리콘 질화물 층을 증착하는 단계;
    상기 통합 처리 시스템의 제 3 챔버 내에서 상기 실리콘 질화물 층의 상부 표면을 산화시키기 위해 산소를 포함하는 플라즈마에 상기 실리콘 질화물 층을 노출시키는 단계; 및
    상기 통합 처리 시스템의 챔버 내에서 상기 기판을 제 2 어닐링하는 단계;를 포함하는
    게이트 유전체 형성 방법.
  17. 제 16 항에 있어서,
    상기 실리콘 질화물 층을 증착하는 단계 전에 상기 통합 처리 시스템에서 질소 플라즈마에 상기 실리콘 산화물 층을 노출시키는 단계를 더 포함하는
    게이트 유전체 형성 방법.
  18. 제 17 항에 있어서,
    상기 실리콘 질화물 층은 약 300 ℃ 내지 약 600 ℃의 온도에서 증착되는
    게이트 유전체 형성 방법.
  19. 제 17 항에 있어서,
    상기 통합 처리 시스템으로 상기 기판이 도입되기 전에 상기 기판으로부터 자연 산화물을 제거하는 단계를 더 포함하는
    게이트 유전체 형성 방법.
  20. 제 19 항에 있어서,
    상기 통합 처리 시스템의 제 4 챔버 내에서 상기 실리콘 질화물 층의 산화된 상부 표면상에 폴리실리콘 층을 증착하는 단계를 더 포함하는
    게이트 유전체 형성 방법.
KR1020097012999A 2006-11-20 2007-11-20 게이트 스택 구조물에 대한 연속 처리 클러스터링 방법 KR20090094000A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/561,870 2006-11-20
US11/561,870 US20080119057A1 (en) 2006-11-20 2006-11-20 Method of clustering sequential processing for a gate stack structure

Publications (1)

Publication Number Publication Date
KR20090094000A true KR20090094000A (ko) 2009-09-02

Family

ID=39417450

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097012999A KR20090094000A (ko) 2006-11-20 2007-11-20 게이트 스택 구조물에 대한 연속 처리 클러스터링 방법

Country Status (5)

Country Link
US (1) US20080119057A1 (ko)
JP (1) JP2010510677A (ko)
KR (1) KR20090094000A (ko)
CN (1) CN101529599A (ko)
WO (1) WO2008064246A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180103018A (ko) * 2017-03-08 2018-09-18 램 리써치 코포레이션 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR20200033980A (ko) * 2017-08-18 2020-03-30 램 리써치 코포레이션 플라즈마 및/또는 열 처리를 사용하여 산화하프늄 기반 강유전체 재료의 성능을 개선하기 위한 방법들

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008166529A (ja) * 2006-12-28 2008-07-17 Spansion Llc 半導体装置の製造方法
JP2008235397A (ja) * 2007-03-19 2008-10-02 Elpida Memory Inc 半導体装置の製造方法
KR100889550B1 (ko) * 2007-06-14 2009-03-23 주식회사 동부하이텍 반도체 소자 및 그의 제조 방법
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US8313994B2 (en) * 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US8492292B2 (en) * 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
JP5387173B2 (ja) * 2009-06-30 2014-01-15 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
US20110272707A1 (en) * 2010-05-06 2011-11-10 Qs Semiconductor Australia Pty Ltd Substrates and methods of forming film structures to facilitate silicon carbide epitaxy
CN102446963A (zh) * 2010-10-15 2012-05-09 中芯国际集成电路制造(上海)有限公司 复合隧穿介质层及其制作方法以及非易失性存储器
US8420477B2 (en) * 2011-04-27 2013-04-16 Nanya Technology Corporation Method for fabricating a gate dielectric layer and for fabricating a gate structure
US20120276730A1 (en) * 2011-04-27 2012-11-01 Nanya Technology Corporation Methods for fabricating a gate dielectric layer and for fabricating a gate structure
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
KR101934829B1 (ko) 2012-10-23 2019-03-18 삼성전자 주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN103199013B (zh) * 2013-03-14 2016-03-30 上海华力微电子有限公司 提高pmos栅氧负偏压温度不稳定性的方法
TWI552203B (zh) * 2013-12-27 2016-10-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a computer-readable recording medium
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10910481B2 (en) * 2014-11-05 2021-02-02 Cree, Inc. Semiconductor device with improved insulated gate
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
CN108352300B (zh) * 2015-11-13 2022-03-29 应用材料股份有限公司 半导体装置处理方法、系统及设备
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
KR102331718B1 (ko) * 2017-06-08 2021-11-26 삼성전자주식회사 반도체 장치 제조 방법
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN108922846A (zh) * 2018-06-29 2018-11-30 中国科学院微电子研究所 包括氮化硅层的半导体结构的制作方法与mems器件
US11322397B2 (en) * 2018-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices including formation of adhesion enhancement layer
CN111211088B (zh) * 2018-11-21 2023-04-25 台湾积体电路制造股份有限公司 半导体器件及其形成方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4221045A (en) * 1978-06-06 1980-09-09 Rockwell International Corporation Self-aligned contacts in an ion implanted VLSI circuit
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6368919B2 (en) * 1999-01-19 2002-04-09 Micron Technology, Inc. Method and composite for decreasing charge leakage
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US20020168869A1 (en) * 2001-05-10 2002-11-14 Chang Kent Kuohua Method for fabricating an ONO layer
EP1306894A1 (en) * 2001-10-19 2003-05-02 Infineon Technologies AG A method of forming a silicon dioxide layer on a curved Si surface
JP4145066B2 (ja) * 2002-04-09 2008-09-03 オリンパス株式会社 ズームレンズとそれを用いた電子撮像装置
WO2003107399A2 (en) * 2002-06-12 2003-12-24 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US6642117B1 (en) * 2002-08-05 2003-11-04 Taiwan Semiconductor Manufacturing Co. Ltd Method for forming composite dielectric layer
KR100541179B1 (ko) * 2003-02-03 2006-01-11 삼성전자주식회사 유전막 형성 장치 및 방법
KR100480645B1 (ko) * 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
JP2005150228A (ja) * 2003-11-12 2005-06-09 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US20050130448A1 (en) * 2003-12-15 2005-06-16 Applied Materials, Inc. Method of forming a silicon oxynitride layer
TWI252539B (en) * 2004-03-12 2006-04-01 Toshiba Corp Semiconductor device and manufacturing method therefor
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180103018A (ko) * 2017-03-08 2018-09-18 램 리써치 코포레이션 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR20200033980A (ko) * 2017-08-18 2020-03-30 램 리써치 코포레이션 플라즈마 및/또는 열 처리를 사용하여 산화하프늄 기반 강유전체 재료의 성능을 개선하기 위한 방법들

Also Published As

Publication number Publication date
JP2010510677A (ja) 2010-04-02
CN101529599A (zh) 2009-09-09
US20080119057A1 (en) 2008-05-22
WO2008064246A3 (en) 2008-07-10
WO2008064246A2 (en) 2008-05-29

Similar Documents

Publication Publication Date Title
US20080119057A1 (en) Method of clustering sequential processing for a gate stack structure
KR100943113B1 (ko) 실리콘 질화물 화학 기상 증착용 방법
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
US7994070B1 (en) Low-temperature dielectric film formation by chemical vapor deposition
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
KR100849468B1 (ko) 개선된 트랜지스터 제조를 위한 낮은 열적 버짓 실리콘나이트라이드 형성
KR101164688B1 (ko) 게이트 스택 측벽 스페이서들을 제조하기 위한 방법
US6930060B2 (en) Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
KR20200108243A (ko) SiOC 층을 포함한 구조체 및 이의 형성 방법
KR100961805B1 (ko) 산화규소 함유 필름의 형성 방법
US20070065578A1 (en) Treatment processes for a batch ALD reactor
JP2009021608A (ja) 不揮発性メモリデバイス用インターポリ誘電体を形成するための統合スキーム
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
WO2004017378A2 (en) Atomic layer deposition of high k metal silicates
WO2002043115A2 (en) Surface preparation prior to deposition
WO2005045899A2 (en) Low temperature deposition of silicone nitride
KR102375116B1 (ko) 산화물 제거 이후의 질화에 의한 반도체 패시베이션 방법들
JP2011018718A (ja) ジアルキルアミノ基を有する気相成長用シリコン原料及び当該材料を用いたシリコン含有薄膜の製造方法
KR20200073452A (ko) 저온 실리콘 절연막 증착 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid