JP2008235397A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2008235397A
JP2008235397A JP2007069994A JP2007069994A JP2008235397A JP 2008235397 A JP2008235397 A JP 2008235397A JP 2007069994 A JP2007069994 A JP 2007069994A JP 2007069994 A JP2007069994 A JP 2007069994A JP 2008235397 A JP2008235397 A JP 2008235397A
Authority
JP
Japan
Prior art keywords
silicon
layer
film
silicon nitride
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007069994A
Other languages
English (en)
Inventor
Motoyuki Kono
基之 河野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Memory Japan Ltd
Original Assignee
Elpida Memory Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Elpida Memory Inc filed Critical Elpida Memory Inc
Priority to JP2007069994A priority Critical patent/JP2008235397A/ja
Priority to US12/076,406 priority patent/US7816281B2/en
Publication of JP2008235397A publication Critical patent/JP2008235397A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】酸化シリコン膜及び窒化シリコン膜からなる2層構造のゲート絶縁膜を形成する半導体装置の製造方法であって、ゲート電極中のホウ素のシリコン基板への拡散を抑制しつつ、シリコン基板とゲート絶縁膜との界面付近への窒素の拡散を抑制する。
【解決手段】半導体装置の製造方法は、シリコン基板11上に酸化シリコン膜13を形成するステップと、酸化シリコン膜13上に窒化シリコン膜14を形成するステップとを有する。窒化シリコン膜14を形成するステップが、酸化シリコン膜13上に単原子層よりも大きな厚みを有するシリコン層21を成長させる第1ステップと、シリコン層21を窒化して窒化シリコン層24を形成する第2ステップと、シリコン単原子層23を成長させる第3ステップと、シリコン単原子層23を窒化して窒化シリコン層24に形成する第4ステップとをこの順に含む。
【選択図】図2

Description

本発明は、半導体装置の製造方法に関し、更に詳しくは、シリコン基板上にゲート絶縁膜を形成する半導体装置の製造方法に関する。
MISFET(Metal Insulator Semiconductor Field Effect Transistor)は、シリコン基板上にゲート絶縁膜を介して形成されたゲート電極と、ゲート電極に隣接するシリコン基板の表面部分に形成された不純物拡散領域とを有する。ゲート絶縁膜には、例えば酸化シリコンが用いられ、ゲート電極には、シリコン基板又はゲート絶縁膜との反応を避ける観点から、不純物ドープ・多結晶シリコンが用いられる。PチャネルMISFETでは、不純物として例えばホウ素が用いられる。
MISFETを備える半導体装置では、低消費電力化を実現するために、ゲート絶縁膜の薄膜化が要請されている。しかし、酸化シリコンで構成されたゲート絶縁膜を薄膜化すると、ゲート電極にドープされたホウ素が、その活性化処理に際してゲート絶縁膜を通して拡散し、シリコン基板に達するおそれがある。シリコン基板に達したホウ素は、MISFETのしきい値を変動させ、その特性を低下させるため、これを防止する必要がある。
上記に対して、シリコン基板へのホウ素の拡散を防止しつつ、ゲート絶縁膜の薄膜化を実現するために、ゲート絶縁膜に窒素を含ませることが行われている。ゲート絶縁膜に含ませた窒素は、ゲート絶縁膜の誘電率を向上させると共に、膜を貫通してのホウ素の拡散を抑制する。
窒素を含むゲート絶縁膜を形成する工程では、シリコン基板との界面付近への窒素の拡散を抑制する必要がある。これは、シリコン基板との界面付近に達した窒素は、空孔を生じさせ、キャリアの移動度(Mobility)低下などMISFETに様々な特性劣化を引き起こすためである。これに対して、シリコン基板との界面付近への窒素の拡散を防ぐために、シリコン基板上に酸化シリコン膜を形成し、この酸化シリコン膜上に窒化シリコン膜を形成した2層構造のゲート絶縁膜も用いられている。
2層構造のゲート絶縁膜では、ゲート絶縁膜の信頼性向上を目的として、原子層レベルの厚みを有する層の繰返し形成によって所望の厚みの膜を成膜する原子層成長(ALD:Atomic Layer Deposition)法を用いて、窒化シリコン膜を形成する試みがなされている。
図7(a)、(b)は、ALD法を用いて窒化シリコン膜を形成する際の各ステップを示している。ALD法を用いた窒化シリコン膜の形成に際しては、図7(a)に示すように、Siソースガスを用いてシリコン単原子層23を成長させるステップと、図7(b)に示すように、Nソースガスのプラズマを用いてシリコン単原子層23を窒化して、原子層レベルの厚みを有する窒化シリコン層24に形成するステップとを繰り返し、所望の厚みを有する窒化シリコン膜を成膜する。
ALD法では、膜質を精密に制御できる原子層レベルの厚みを有する層の繰返し形成によって、所望の厚みの膜を成膜するため、膜厚や組成の制御性及び面内均一性に優れた良質な膜を形成できる。また、比較的低い処理温度で成膜できるので、不純物プロファイルの膜内変化を抑制できる。酸化シリコン膜及び窒化シリコン膜からなる2層構造のゲート絶縁膜の形成に際して、ALD法を用いて窒化シリコン膜を形成する製造方法については、例えば特許文献1に記載されている。
特開2004−006455号公報
ALD法を用いた窒化シリコン膜の成膜に際しては、窒化ステップに際して、先ず、図8に示すように、島状の窒化シリコン層24aが形成され、次いで、この島状の窒化シリコン層24aが互いに繋がって、図7(b)に示した連続した窒化シリコン層24が形成される。ところが、図8に示した状態で、窒素を拡散させ易い酸化シリコン膜13が露出し、直接に窒化されるため、この酸化シリコン膜13を通して多量の窒素が拡散し、シリコン基板11との界面付近に達する問題があった。
シリコン基板11との界面付近への窒素の拡散を抑制するためには、窒化ステップのプロセス温度の低温化、Nソースガスの流量低減、又は、Nソースガスへの暴露時間の短縮などによって、酸化シリコン膜13の窒化を抑制することが考えられる。しかし、これらの何れの場合でも、窒化シリコン膜中に充分な窒素が供給されなくなり、窒化シリコン膜中のSi−N間の結合力が弱くなって、ホウ素の拡散を充分に抑制できなくなる問題が新たに生じる。
本発明は、上記に鑑み、酸化シリコン膜及び窒化シリコン膜からなる2層構造のゲート絶縁膜を形成する半導体装置の製造方法であって、ゲート電極中のホウ素のシリコン基板への拡散を抑制しつつ、シリコン基板とゲート絶縁膜との界面付近への窒素の拡散を抑制できる半導体装置の製造方法を提供することを目的とする。
上記目的を達成するために、本発明に係る半導体装置の製造方法は、
シリコン基板上に酸化シリコン膜を形成するステップと、該酸化シリコン膜上に窒化シリコン膜を形成するステップとを有する半導体装置の製造方法において、
前記窒化シリコン膜を形成するステップが、
前記酸化シリコン膜上に単原子層よりも大きな厚みを有する第1シリコン層を成長させる第1ステップと、該第1シリコン層を窒化して第1窒化シリコン層を形成する第2ステップと、単原子層の厚みを有する第2シリコン層を成長させる第3ステップと、該第2シリコン層を窒化して第2窒化シリコン層に形成する第4ステップとをこの順に含むことを特徴とする。
本発明によれば、第1ステップに際して、単原子層よりも大きな厚みを有する第1シリコン層を成長させることによって、第2ステップに際して、窒素を拡散させ易い酸化シリコン膜が露出することを抑制できる。また、窒素を拡散させにくいシリコン層が酸化シリコン膜を覆うため、シリコン基板とゲート絶縁膜との界面付近への窒素の拡散を抑制できる。
本発明の好適な態様では、前記第1シリコン層が、0.5〜1.0nmの厚みを有する。第1シリコン層の厚みを0.5nm以上とすることで、酸化シリコン膜の露出を防止できる。第1シリコン層の厚みを1.0nm以下とすることで、シリコン層を充分に窒化でき、Si−N間の結合力を充分に高めて、ホウ素の拡散を抑制できる。
本発明の好適な態様では、第1及び第2ステップに後続して、前記第3及び第4ステップを繰返し有する。第3及び第4ステップを繰返し有することによって、窒化シリコン膜を所望の厚みに形成できる。
本発明では、前記第1及び第3ステップでは、SiソースガスとしてSiHClを供給してもよい。また、前記第2及び第4ステップでは、NソースガスとしてNHを供給してもよい。
以下に、添付図面を参照し、本発明の実施形態を更に詳しく説明する。図1は、本発明の一実施形態に係る半導体装置の製造方法により製造される半導体装置について、PチャネルMISFETの構成を示す断面図である。半導体装置10は、シリコン基板11を備え、シリコン基板11上にはゲート絶縁膜12を介してゲート電極15が形成されている。
ゲート絶縁膜12は、シリコン基板11上に順次に積層された、酸化シリコン膜13及び窒化シリコン膜14から成る2層構造を有している。ゲート電極15は、ホウ素がドープされた多結晶シリコンから成る。ゲート絶縁膜12上には、マスク絶縁膜16が形成されており、ゲート絶縁膜12及びゲート電極15は、マスク絶縁膜16をエッチングマスクとしてパターニングされている。
ゲート絶縁膜12、ゲート電極15、及び、マスク絶縁膜16の双方の側壁には、サイドウォール絶縁膜17が形成されている。ゲート電極15に隣接するシリコン基板11の表面部分には、低濃度不純物拡散領域18が形成され、この低濃度不純物拡散領域18の外側であって、サイドウォール絶縁膜17に隣接するシリコン基板11の表面部分には、低濃度不純物拡散領域18よりも深い高濃度不純物拡散領域19が形成されている。
ゲート電極15と、ゲート絶縁膜12と、ゲート電極15に隣接する低濃度不純物拡散領域18及び高濃度不純物拡散領域19とが、MISFETを構成する。MISFETを覆って全面に層間絶縁膜(図示なし)が堆積され、層間絶縁膜を貫通して高濃度不純物拡散領域19と上層の配線とを接続するコンタクトプラグ(図示なし)が形成されている。
半導体装置10の製造に際しては、先ず、熱酸化法により、シリコン基板11上に酸化シリコン膜13を2〜3nmの厚みに形成する。次いで、ALD法により、酸化シリコン膜13上に窒化シリコン膜14を1〜2nmの厚みに成膜し、これによってゲート絶縁膜12を形成する。
引き続き、ゲート絶縁膜12上に多結晶シリコン膜を形成する。多結晶シリコン膜にホウ素をイオン注入した後、注入したホウ素を活性化させるアニール処理を行い、ホウ素ドープ・多結晶シリコン膜を形成する。更に、多結晶シリコン膜上に窒化シリコン膜を形成する。次いで、公知のフォトリソグラフィ技術を用いて窒化シリコン膜をパターニングして、マスク絶縁膜16を形成する。更に、形成したマスク絶縁膜16を用いたドライエッチングにより、ゲート絶縁膜12及び多結晶シリコン膜をパターニングする。パターニングされた多結晶シリコン膜は、ゲート電極15を構成する。
引き続き、マスク絶縁膜16をマスクとしてシリコン基板11の表面部分に不純物を注入し、ゲート電極15に隣接する低濃度不純物拡散領域18を自己整合的に形成する。次いで、全面に窒化シリコン膜を成膜した後、エッチバックを行い、ゲート絶縁膜12、ゲート電極15、及び、マスク絶縁膜16の双方の側壁にサイドウォール絶縁膜17を形成する。
更に、マスク絶縁膜16及びサイドウォール絶縁膜17をマスクとしてシリコン基板11の表面部分に不純物を注入し、サイドウォール絶縁膜17に隣接する高濃度不純物拡散領域19を自己整合的に形成する。MISFETを覆って全面に層間絶縁膜(図示なし)を堆積した後、層間絶縁膜を貫通して高濃度不純物拡散領域19に接続するコンタクトプラグ(図示なし)を形成することにより、図1に示した半導体装置10を形成する。
ALD法を用いた窒化シリコン膜の成膜に際しては、原子層レベルの厚みを有する窒化シリコン層の形成を繰り返して、所望の厚みを有する窒化シリコン膜を成膜する。この窒化シリコン層は、Siソースガスを供給してシリコン層を成長させるステップ(シリコン層成長ステップ)と、Nソースガスを供給してシリコン層を窒化し窒化シリコン層に形成するステップ(窒化ステップ)とを繰り返す繰返しのサイクルによって形成する。本実施形態において、1回目のサイクルでは、シリコン層成長ステップに際して、シリコン単原子層より大きな0.5〜1.0nmの厚みを有するシリコン層を成長させる。また、2回目以降のサイクルでは、シリコン層成長ステップに際して、シリコン単原子層を成長させる。
図2(a)〜(d)は、窒化シリコン膜を成膜する各ステップを順次に示す断面図である。本実施形態では、1回目のサイクルにおけるシリコン層成長ステップに際して、図2(a)に示すようにシリコン層21をシリコン単原子層よりも大きな0.5nm以上の厚みに成長させるので、後続する窒化ステップに際して、図2(b)に示すように、窒素を拡散させ易い酸化シリコン膜13が露出することを防止できる。
酸化シリコン膜13を覆うシリコン層21又はこのシリコン層21の窒化によって形成される窒化シリコン層22は、窒素を拡散させにくいため、窒素がゲート絶縁膜12とシリコン基板11との界面付近へ拡散することを抑制できる。同図中、窒素25が拡散する様子を模式的に示している。
1回目のサイクルに際して、シリコン層21を1.0nm以内の厚みに成長させるので、後続する窒化ステップに際して、シリコン層21は充分に窒化される。また、2回目以降のサイクルでは、シリコン層成長ステップに際して、図2(c)に示すように、シリコン単原子層23を成長させるため、後続する窒化ステップに際して、図2(d)に示すように、このシリコン単原子層23を容易に窒化できる。
従って、Siリッチな窒化シリコン膜14が形成されることがなく、窒化シリコン膜14中のSi−N間の結合力を充分に高めて、ホウ素の拡散を抑制できる。ホウ素の拡散を抑制する効果については、1回目のサイクルに際してシリコン単原子層23を成長させる製造方法と、同等の効果が得られる。
図3は、ALD法を用いて窒化シリコン膜14を成膜する際に用いる成膜装置の構成を示す断面図である。成膜装置30は、バッチ式の縦型ホットウォール方式のリモートプラズマCVD装置である。成膜装置30は、石英ガラスから成り、上方が閉塞し下方が開口した円筒状のプロセスチューブ31を備える。プロセスチューブ31を覆って、プロセスチューブ31内を加熱するためのヒータ32がプロセスチューブ31と同心円状に配設されている。
プロセスチューブ31の側壁には排気口33があり、図示しない排気装置に接続している。排気口33と排気装置との間には、図示しないスロットルバルブが配設されており、プロセスチューブ31内の圧力を制御できる。半導体装置10が形成されるウエハ40は、プロセスチューブ31内において、ボード39上に鉛直方向に並べて載置される。ボード39は、プロセスチューブ31の下方に配設された図示しないエレベータによって鉛直方向に昇降され、プロセスチューブ31内にウエハ40を出し入れ出来る。
プロセスチューブ31内には、Siソースガス及びNソースガスを供給するためのガス供給管34,35がそれぞれ導入され、ウエハ40の側面に対向して鉛直方向に延びている。ガス供給管34,35の延在方向に複数個の吹出口36が並んでいる。ガス供給管35の内部では、Nソースガスをプラズマ化するために、一対の電極37が対向しており、それぞれ一対の保護管38を通して外部に引き出されている。一対の電極37には、高周波電力としてRF電力を印加する図示しない高周波電源が図示しない整合器を介して電気的に接続されている。高周波電源を介して一対の電極37間に高周波電力を印加することによって、プラズマを生成できる。
窒化シリコン膜14の成膜に際しては、プロセスチューブ31内の温度を例えば550℃とする。シリコン層成長ステップでは、ガス供給管34からSiソースガスとしてSiHCl(ジクロロシラン:DCS)を0.65slmの流量で供給する。また、窒化ステップでは、ガス供給管35からNソースガスとしてNH3(アンモニア)を6slmの流量で供給する。NH3の供給に際しては、一対の電極37間に150〜400Wの高周波電力を印加し、NH3をプラズマ化させる。Siソースガスの供給とNソースガスの供給との切替えに際しては、プロセスチューブ31内をNガスでパージする。
図4(a)、(b)は、1回目及び2回目以降のサイクルをそれぞれ示すタイムチャートである。1回目のサイクルでは、図4(a)に示すように、Siソースガスの供給時間Δtを140secとする。2回目以降のサイクルでは、図4(b)に示すように、Siソースガスの供給時間Δt’を70secとする。Nソースガスの供給時間Δtは何れも175secとし、Nガスのパージ時間Δt,Δtも何れも35secとする。このような手順でサイクルを12回行うことによって、1nmの厚みを有する窒化シリコン膜14を形成できる。
本実施形態によれば、ALD法を用いた窒化シリコン膜14の成膜に際して、1回目のサイクルのシリコン層成長ステップに際して、0.5〜1.0nmの厚みを有するシリコン層21を成長させることによって、後続する窒化ステップに際して、窒素を拡散させ易い酸化シリコン膜13を露出させることが無く、且つ、シリコン層21を充分に窒化できる。従って、ゲート電極15中のホウ素のシリコン基板への拡散を抑制しつつ、シリコン基板11とゲート絶縁膜12との界面付近への窒素の拡散を抑制できる。
実施形態の製造方法に従って半導体装置を実際に製造し、実施例1の半導体装置とした。また、実施形態の製造方法において、窒化シリコン膜14成膜の1回目のサイクルを図4(b)のタイムチャートに従って行い、比較例1の半導体装置とした。酸化シリコン膜13の厚みは3nm程度とし、窒化シリコン膜14の厚みは1nm程度とした。
図5(a)、(b)に、実施例1及び比較例1の半導体装置についてAR−XPS(角度分解X線光電子分光法)により測定した、酸素及び窒素の濃度分布を示す。同図中、窒化シリコン膜14の表面の深さを0nmとして、原子濃度と深さとの関係を示している。
図5(b)に示した比較例1の半導体装置では、シリコン基板11と酸化シリコン膜13との界面付近で窒素濃度が高くなっているのに対して、図5(a)に示した実施例1の半導体装置では、上記界面付近における窒素濃度がほぼバックグラウンドのレベルである。このように、本実施形態の製造方法では、シリコン基板11と酸化シリコン膜13との界面付近への窒素の拡散を効果的に抑制できることが判る。
実施形態の製造方法に従って半導体装置を実際に製造し、実施例2の半導体装置とした。酸化シリコン膜13の厚みは3nm程度とし、窒化シリコン膜14の厚みは1nm程度とした。実施形態の製造方法において、ゲート絶縁膜12として、シリコン基板11上に酸化シリコン膜を3nmの厚みに形成した半導体装置を製造し、比較例2の半導体装置とした。また、ゲート絶縁膜12として、シリコン基板11上に酸化シリコン膜を3nmの厚みに形成した後、プラズマ窒化して酸窒化シリコン膜に形成した半導体装置を製造し、比較例3の半導体装置とした。
実施例2、比較例2、3の半導体装置では、ゲート電極15として多結晶シリコンを100nmの厚みに堆積した後、ホウ素をイオン注入した。また、注入したホウ素をアニール(熱処理)によって拡散させて、ホウ素ドープ・多結晶シリコンとした。
図6に、実施例2及び比較例2、3の半導体装置についてSIMS(二次イオン質量分析法)により測定した、ホウ素の濃度分布を示す。同図より、実施例2の半導体装置では、比較例2、3の半導体装置に比して、シリコン基板11内へのホウ素の拡散が効果的に抑制されている。このように、本実施形態の製造方法では、ホウ素の拡散を効果的に抑制できることが判る。
以上、本発明をその好適な実施形態に基づいて説明したが、本発明に係る半導体装置の製造方法は、上記実施形態の構成にのみ限定されるものではなく、上記実施形態の構成から種々の修正及び変更を施したものも、本発明の範囲に含まれる。
本発明の一実施形態の方法で製造される半導体装置の断面図である。 図2(a)〜(d)は、窒化シリコン膜を成膜する各ステップを順次に示す断面図である。 窒化シリコン膜の成膜に用いる成膜装置の断面図である。 図4(a)、(b)は、窒化シリコン膜の成膜プロセスのタイムチャートである。 図5(a)、(b)は、実施例1及び比較例1の半導体装置について、各原子濃度と深さとの関係をそれぞれ示すグラフである。 実施例2及び比較例2、3の半導体装置について、ホウ素濃度と深さとの関係を示すグラフである。 図7(a)、(b)は、窒化シリコン膜を成膜する各ステップを順次に示す断面図である。 従来の製造方法の問題点を示す断面図である。
符号の説明
10:半導体装置
11:シリコン基板
12:ゲート絶縁膜
13:酸化シリコン膜
14:窒化シリコン膜
15:ゲート電極
16:マスク絶縁膜
17:サイドウォール絶縁膜
18:低濃度不純物拡散領域
19:高濃度不純物拡散領域
21:シリコン層
22:窒化シリコン層
23:シリコン単原子層
24:窒化シリコン層
24a:島状の窒化シリコン層
25:窒素
30:成膜装置
31:プロセスチューブ
32:ヒータ
33:排気口
34:ガス供給管
35:ガス供給管
36:吹出口
37:電極
38:保護管
39:ボード
40:ウエハ

Claims (5)

  1. シリコン基板上に酸化シリコン膜を形成するステップと、該酸化シリコン膜上に窒化シリコン膜を形成するステップとを有する半導体装置の製造方法において、
    前記窒化シリコン膜を形成するステップが、
    前記酸化シリコン膜上に単原子層よりも大きな厚みを有する第1シリコン層を成長させる第1ステップと、該第1シリコン層を窒化して第1窒化シリコン層を形成する第2ステップと、単原子層の厚みを有する第2シリコン層を成長させる第3ステップと、該第2シリコン層を窒化して第2窒化シリコン層に形成する第4ステップとをこの順に含むことを特徴とする半導体装置の製造方法。
  2. 前記第1シリコン層が、0.5〜1.0nmの厚みを有する、請求項1に記載の半導体装置の製造方法。
  3. 第1及び第2ステップに後続して、前記第3及び第4ステップを繰返し有する、請求項1又は2に記載の半導体装置の製造方法。
  4. 前記第1及び第3ステップでは、SiソースガスとしてSiHClを供給する、請求項1〜3の何れか一に記載の半導体装置の製造方法。
  5. 前記第2及び第4ステップでは、NソースガスとしてNHを供給する、請求項1〜4の何れか一に記載の半導体装置の製造方法。
JP2007069994A 2007-03-19 2007-03-19 半導体装置の製造方法 Pending JP2008235397A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007069994A JP2008235397A (ja) 2007-03-19 2007-03-19 半導体装置の製造方法
US12/076,406 US7816281B2 (en) 2007-03-19 2008-03-18 Method for manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007069994A JP2008235397A (ja) 2007-03-19 2007-03-19 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2008235397A true JP2008235397A (ja) 2008-10-02

Family

ID=39795204

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007069994A Pending JP2008235397A (ja) 2007-03-19 2007-03-19 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US7816281B2 (ja)
JP (1) JP2008235397A (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014017461A (ja) * 2012-06-15 2014-01-30 Ps4 Luxco S A R L 半導体装置の製造方法
KR20140052763A (ko) * 2012-10-25 2014-05-07 삼성전자주식회사 게이트 구조체를 갖는 반도체 소자 및 그 제조 방법들
JP2014192485A (ja) * 2013-03-28 2014-10-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法及び基板処理装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002217317A (ja) * 2001-01-16 2002-08-02 Sony Corp 不揮発性半導体記憶装置およびその製造方法
JP2002367990A (ja) * 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
JP2004006455A (ja) * 2002-05-31 2004-01-08 Japan Science & Technology Corp 半導体装置およびその製造方法
JP2005093677A (ja) * 2003-09-17 2005-04-07 Tokyo Electron Ltd 成膜方法及び熱処理装置
JP2005534181A (ja) * 2002-07-19 2005-11-10 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
JP2006135229A (ja) * 2004-11-09 2006-05-25 Elpida Memory Inc 絶縁膜の成膜方法及びその絶縁膜を備えた半導体装置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
JP4477981B2 (ja) * 2004-10-07 2010-06-09 Okiセミコンダクタ株式会社 半導体装置の製造方法
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002217317A (ja) * 2001-01-16 2002-08-02 Sony Corp 不揮発性半導体記憶装置およびその製造方法
JP2002367990A (ja) * 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
JP2004006455A (ja) * 2002-05-31 2004-01-08 Japan Science & Technology Corp 半導体装置およびその製造方法
JP2005534181A (ja) * 2002-07-19 2005-11-10 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
JP2005093677A (ja) * 2003-09-17 2005-04-07 Tokyo Electron Ltd 成膜方法及び熱処理装置
JP2006135229A (ja) * 2004-11-09 2006-05-25 Elpida Memory Inc 絶縁膜の成膜方法及びその絶縁膜を備えた半導体装置

Also Published As

Publication number Publication date
US7816281B2 (en) 2010-10-19
US20080242107A1 (en) 2008-10-02

Similar Documents

Publication Publication Date Title
US7994070B1 (en) Low-temperature dielectric film formation by chemical vapor deposition
US7544996B2 (en) Methods of fabricating a semiconductor device having a metal gate pattern
US7498270B2 (en) Method of forming a silicon oxynitride film with tensile stress
KR101002445B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물 및 옥시나이트라이드 증착 방법
JP4863296B2 (ja) 半導体装置の製造方法
KR20010064414A (ko) 반도체장치의 TaON 게이트절연막 형성방법
CN101290886B (zh) 栅极介质层及栅极的制造方法
US7622402B2 (en) Method for forming underlying insulation film
JP2007281181A (ja) 半導体装置の製造方法
JP4277268B2 (ja) 金属化合物薄膜の製造方法、ならびに当該金属化合物薄膜を含む半導体装置の製造方法
KR20090009283A (ko) 절연막의 형성 방법 및 반도체 장치의 제조 방법
US20150140838A1 (en) Two Step Deposition of High-k Gate Dielectric Materials
WO2010055603A1 (ja) 半導体装置及びその製造方法
US20090275183A1 (en) Method of manufacturing semiconductor device
KR101078498B1 (ko) 절연체 박막의 제조 방법
JP2008244059A (ja) 半導体装置の製造方法
US20210175075A1 (en) Oxygen radical assisted dielectric film densification
JP2012104735A (ja) 半導体装置及びその製造方法
JP2009016500A (ja) 半導体装置の製造方法
JP2008235397A (ja) 半導体装置の製造方法
JP2006073758A (ja) 半導体装置の製造方法
JP3823798B2 (ja) 窒化シリコン膜の形成方法、ゲート絶縁膜の形成方法及びp形半導体素子の形成方法
JP2004165533A (ja) 半導体装置の製造方法
KR100680970B1 (ko) 반도체 소자의 게이트 형성방법
JP5141321B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20100205

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120530

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20130730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130910

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131209

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140310

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141028