TWI675931B - 用於以電漿輔助原子層沉積法形成由至少五種元素構成的多元薄膜的方法 - Google Patents

用於以電漿輔助原子層沉積法形成由至少五種元素構成的多元薄膜的方法 Download PDF

Info

Publication number
TWI675931B
TWI675931B TW105104453A TW105104453A TWI675931B TW I675931 B TWI675931 B TW I675931B TW 105104453 A TW105104453 A TW 105104453A TW 105104453 A TW105104453 A TW 105104453A TW I675931 B TWI675931 B TW I675931B
Authority
TW
Taiwan
Prior art keywords
cycle
precursor
film
surface treatment
deposition
Prior art date
Application number
TW105104453A
Other languages
English (en)
Other versions
TW201638376A (zh
Inventor
深澤篤毅
Atsuki Fukazawa
福田秀明
Hideaki Fukuda
Original Assignee
美商Asm Ip控股公司
Asm Ip Holding B. V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商Asm Ip控股公司, Asm Ip Holding B. V. filed Critical 美商Asm Ip控股公司
Publication of TW201638376A publication Critical patent/TW201638376A/zh
Application granted granted Critical
Publication of TWI675931B publication Critical patent/TWI675931B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

一種在一基板上形成由至少四種元素構成之單相多元薄膜,其係以電漿輔助原子層沉積法(PEALD)進行一或多個製程循環。每一製程循環包括:(i)在一基板上藉由PEALD使用至少一前驅物形成由至少三種元素構成之積體多元素層;及(ii)以反應性氧、氮、及/或碳在不存在用於膜形成之前驅物下處理該積體多元素層之表面,使得可將選自氧、氮、及碳之至少一種新的額外元素併入該積體多元素層內。

Description

用於以電漿輔助原子層沉積法形成由至少五種元素構成的多元薄膜的方法
本發明通常係關於一種以電漿輔助原子層沉積法(PEALD)在一基板上形成由至少四種元素構成之單相多元薄膜之方法。
已將銅線用在邏輯裝置上,且已將介電膜應用在銅線製程,該介電膜係以化學氣相沉積(CVD)或者為旋塗式介電材(SOD)。例如,用作針對阻擋銅之擴散之Cu-罩蓋膜係由諸如SiN之介電材料構成。當進行裝置之小型化時,需要使用一種具有5或更低之介電常數(k值)之介電膜。對於由鑲嵌製法所形成之層結構,此一介電膜不需具有良好之階梯覆蓋或依形性。然而,取決於藉由例如沉積及蝕刻形成之層結構之類型,除了低介電常數要求之外,介電膜還需要具有良好的階梯覆蓋性或依形性以及對化學品之良好抗蝕性。如欲具有以上特性,以CVD法沉積之介電膜是非常困難達到的。
由以上觀點,介電膜係由原子層沉積法(ALD)所沉積,原子層沉積法可適用於沉積具有良好階梯覆蓋之膜,尤其是藉由電漿輔助 ALD(簡稱PEALD),而非加熱式ALD,此乃考量到膜因熱引起的潛在損害。進一步地,由於諸如SiN之二元素膜可能不具有足夠之蝕刻選擇性及良好之介電常數,業界正進行開發由多於兩種元素組成之多元素介電膜。然而,藉由ALD法不易沉積高品質之多元素介電膜,此乃由於使用多種元素之ALD涉及諸如由吸附另一氣體干擾一氣體之吸附之問題。
包含在本揭露中任何與相關技術關聯之問題及解決方案之討論僅單單為提供本發明說明內容之目的,且不應視為承認在作出本發明時知曉任何或全部討論。
在某些具體實施例中,由至少四種元素構成之單相多元薄膜係藉由電漿輔助原子層沉積(PEALD)在一基板上形成,其中藉由在沉積循環後進行之沉積後循環調整或操縱由PEALD所沉積之膜之組成,以賦予該沉積膜層具低介電常數、高階梯覆蓋、及/或高濕式蝕刻抗性。該沉積膜適於用作安置在銅線周圍之保護膜,或用作用於在積體電路中之閘極之絕緣膜,其中線路延遲係一問題。由於該沉積膜具有低介電常數(通常低於5),其可抑制線路延遲。藉由改變製程順序,可形成各種類型之多元素膜。在此揭露中,術語「單相」通常指由一或多個ALD製程循環構成之單一ALD製程所形成之一相,該一或多個ALD製程循環每一者由沉積之重複循環及一或多個沉積後循環組成。術語「多元素」通常指由至少一類金屬元素或金屬元素以及超過一種其它元素組成之元素,或由超過兩種非金屬元素組成之元素。術語「沉積後循環」通常指在每一ALD製程循環於最 後沉積循環之後所進行之非沉積循環。
在某些具體實施例中,該沉積循環使用二或多種前驅物。在某些具體實施例中,該沉積後循環包含:氧化、氮化、及/或碳化該沉積層,其中在進行一次或重覆多次該沉積循環後,進行一次或重複多次該沉積後循環。在某些具體實施例中,該單相多元薄膜包含矽或構成其基質之主要結構之基底金屬且具有5.0或更低之介電常數及良好的階梯覆蓋。在某些具體實施例中,該單相多元薄膜係由SiBCNH、SiBOCNH、SiCNH、SiOCNH、SiOCH、SiPNH、或SiPOCNH構成。在某些具體實施例中,該製程溫度係在0℃至600℃之範圍中。
基於總結本發明之態樣及優於相關技術所達成之優點的目的,在本揭露中描述本發明之某些目的及優點。當然,應了解根據本發明之任何特定具體實施例可不必達成所有此等目標或優點。因此,本領域具通常知識者將認知本發明可以達到或最佳化本文教示之一優點或一群優點之方式下體現或進行,而不必達成如可在本文教示或建議之其它目標或優點。
本發明之其它態樣、特徵、及優點將由以下實施方式變得顯而易見。
1‧‧‧基板
2‧‧‧導電平板電極
3‧‧‧反應室
4‧‧‧導電平板電極
5‧‧‧HRF功率
6‧‧‧排放管
11‧‧‧內部
12‧‧‧電接地
23‧‧‧氣流控制器
24‧‧‧密封氣體流量控制器
31‧‧‧脈衝流控制閥
50‧‧‧LRF功率
本發明之此等及其它特徵現將根據較佳具體實施例之圖式描述,該等較佳具體實施例係意欲說明而非限制本發明。該等圖式基於說明性目的而大幅地簡化且不必按比例繪製。在圖2至圖6中,每一欄之寬 度未必代表實際時間長度,且在每一列中之該線之升高水平代表開啟(ON)狀態,而在每一列中該線之降低水平代表關閉(OFF)狀態。
圖1A係可用在本發明之一具體實施例中用於沉積一介電膜之電漿輔助原子層沉積(PEALD)裝置之示意圖。
圖1B說明可用於本發明之一具體實施例中之切換一惰性氣體流及一前驅物氣體流的示意圖。
圖2說明根據一比較例之一PEALD製程順序。
圖3說明根據本發明之一具體實施例之一PEALD製程順序。
圖4說明根據本發明之另一具體實施例之一PEALD製程順序。
圖5說明根據本發明之再另一具體實施例之一PEALD製程順序。
圖6說明根據本發明之又另一具體實施例之一PEALD製程順序。
在本揭露中,「氣體」可包括固體及/或液體的蒸發態,且可由單一氣體或氣體混合物所構成。在本揭露中,經由噴淋頭引入反應室之製程氣體可廣泛包含、實質上包含、或僅僅包含一前驅物氣體及一添加氣體。該前驅物氣體及該添加氣體通常係以一混合氣體或分別地引入一反應空間中。該前驅物氣體可與諸如一貴重氣體之一載體氣體一起引入。該 添加氣體可廣泛包含、實質上包含、或僅僅包含一反應氣體及諸如一貴重氣體之稀釋氣體。該反應氣體及該稀釋氣體可以一混合氣體或分別地引入該反應空間中。一前驅物可包含二或多種前驅物,且一反應氣體可包含二或多種反應氣體。該前驅物係在一基板上化學吸附之氣體且通常包含構成一介電膜之基質之一主結構之類金屬或金屬元素,及用於沉積之反應氣體係當該氣體經激發時與在一基板上化學吸附之前驅物反應以將一原子層或單層固定在該基板上之氣體。「化學吸附」係指化學飽和吸附。可將除該製程氣體外之氣體(即未通過該噴淋頭引入之氣體)用於例如密封該反應空間,其包括諸如貴重氣體之密封氣體。在某些具體實施例中,「膜」意指實質上無針孔在垂直於厚度方向之方向上連續延伸以覆蓋整個目標或關注表面之一層,或係僅覆蓋目標或關注表面之一層。在某些具體實施例中,「層」意指具有在一表面上形成之某厚度之一結構或膜或非膜結構之同義字。一膜或層可由具有某些特性之不連續單一膜或層,或多個膜或層構成,且相鄰膜或層之間之邊界可或可不為清晰的,且可基於物理、化學、及/或任何其它特性、形成製程或順序,及/或相鄰膜或層之功能或目的建立。
進一步地,在本揭露中,字詞「一」或「一個」係指一種類或包括多種類之一屬,除非另外指明。用語「由...構成」及「具有」在某些具體實施例中獨立地指「通常或廣泛地包含」、「包含」、「基本上由...組成」、或「由...組成」。並且,在此揭露中,任何所定義之意義不必排除在某些具體實施例中之普通及習知之意義。
另外,在本揭露中,任何二個數目之變數可構成變數之可 作用範圍,可作用範圍可基於例行工作確定,且所指出之任何範圍可包括或排除端點。此外,任何所指出之變數之值(無論其等是否係以「約」指出)可係指精確值或近似值,且包括等效值,及在某些具體實施例中可指平均值、中值、代表值、多數等。
在其中未具體指明條件及/或結構之本揭露中,熟悉本技術者考量本揭露作為例行實驗可容易地提供此等條件及/或結構。在所有所揭露之具體實施例中,任何在具體實施例中所用之元件可以針對所欲目的之其任何等效元件替代,包括本文明確地、必要地、或本質上地揭露者。進一步地,本發明可同樣地應用在裝置及方法中。
該等具體實施例將就較佳具體實施例說明。然而,本發明不限於該等較佳具體實施例。
某些具體實施例提供一種用於藉由電漿輔助原子層沉積法(PEALD)在一基板上形成由至少四種元素構成之單相多元素膜之方法,該PEALD係進行一或多個製程循環,每一製程循環包含:(i)藉由PEALD使用至少一前驅物在一基板上形成由至少三種元素構成之積體多元素層;及(ii)以反應性氧、氮、及/或碳在不存在用於膜形成之前驅物下處理該積體多元素層之表面,使得可將選自氧、氮、及碳之至少一種新的額外元素併入該積體多元素層內。該單相多元素膜可由四、五、六、或七種元素構成。在某些具體實施例中,該多種元素係由至少一種用於形成該膜之基質之主結構的類金屬或基底金屬元素(例如:Si、B、As、Al等),以及非金屬元素(例如H、C、N、O、P等)組成,其中該多元素可係SiBNH、SiBONH、SiBCNH、SiBOCNH、SiCNH、SiONH、SiOCNH、SiOCH、 SiPNH、SiPOCNH、BCONH、AsCONH、BCNH、或AsCNH。在某些具體實施例中,該多元素由非金屬元素組成,其中該多元素可係PCONH或PCNH。在本揭露中,「元素」構成膜之基質之一部份且可不包括雜質、並非該膜之化學式之所表達成員的元素、以及以可忽略之量或少於可偵測之量包括在該膜中之元素。因此,該膜可包括未明確地如此表示之此等雜質、可忽略元素、及無法偵測之元素。可偵測量取決於組成分析方法而變化。例如,若碳含量為5原子%或更低時,一元素(諸如在膜中之碳)可不由拉塞福背向散射光譜測定法(Rutherford backscattering Spectrometry,RBS)及氫前向散射法(Hydrogen Forward Scattering,HFS)偵測。然而,二次離子質譜法(Secondary Ion Mass Spectrometry,SIMS)能夠偵測此一低含量之碳。例如,根據RBS/HFS分析視為SiBNH膜之一膜根據SIMS分析可係SiBCNH膜。在此揭露中,除非另外說明,膜之化學式係基於RBS/HFS之組成分析測定。
在某些具體實施例中,該多元素包括二或多種類金屬元素,且在步驟(i)中,該多元素層係使用包含不同類金屬元素之二或多個前驅物沉積。當二或多種類金屬元素構成該多元素時,較佳地使用二或多個前驅物,其每一者含有不同之類金屬元素,因為藉由如此,可容易且獨立地實施對每一類金屬元素之濃度的控制,且可避免吸附干擾之問題。若一前驅物在其分子中包含多種類金屬元素,諸如含有Si、B、C、N、及H之前驅物,則無法獨立地控制該類金屬元素(Si及B)之濃度,且其可引起吸附干擾,例如降低沉積速率。
在某些具體實施例中,在步驟(i)中,用於沉積之反應氣體 包含氫、氮、碳、及/或氧,且引入此一元素至該多元素膜中。在某些具體實施例中,在步驟(i)中,用於沉積之反應氣體係氫氣及氮氣之混合物或NH3,其將N及H引入該多元素膜。可自在步驟(i)中之該前驅物及用於沉積之反應物,及/或在步驟(ii)中之用於表面處理之反應物將碳及氧引入該多元素膜內。
在某些具體實施例中,步驟(i)係在每一製程循環中進行至該積體多元素層之厚度不超過15nm的程度。在某些具體實施例中,在每一製程循環中之積體多元素層之厚度係一原子層(例如:0.2nm)或更大(例如1.0nm或更大),但約3nm或更小、約5nm或更小、約10nm或更小、約15nm或更小、且在任何兩個前述數目之間之範圍中(通常10nm或更小,較佳地5nm或更小,例如2至5nm)之厚度。若在每一製程循環中之積體多元素層之厚度超過10nm或15nm時,不論步驟(ii)之持續時間為何,藉由步驟(ii)引入該多元素膜內之額外元素(或摻雜劑)在厚度方向上可不穿透整個在步驟(i)中形成之積體多元素層。為了將該額外之元素或摻雜劑均勻地分散在整個多元素層,較佳地,該厚度不超過10nm。因此,在某些具體實施例中,步驟(ii)係在每一製程循環中進行至該至少一種新的額外元素擴散整個該積體多元素層之程度。
在某些具體實施例中,在每一製程循環中之步驟(i)係由PEALD之沉積循環構成,且在每一製程循環中之步驟(ii)係由表面處理循環構成,其中在每一製程循環中該沉積循環之數目對該表面處理循環之數目之循環比係1/10至100/1。在某些具體實施例中,該循環比係1/1至60/1(例如:2/1、5/1、10/1、20/1、30/1、40/1、50/1、或任兩個前述數目之間 之值,例如:10/1至30/1)。在某些具體實施例中,該表面處理循環較沉積循環重覆較少次數。在某些具體實施例中,將該表面處理循環重覆超過一次,其中在每一循環後進行清洗,使得可自該基板表面移除反應副產物,增加表面反應之效率。此外,藉由進行數次表面處理循環,調節該多元素層之組成以及厚度方向之額外元素或摻雜劑之分布變得較簡單。在某些具體實施例中,該表面處理循環通常較沉積循環重覆較多次數。在某些具體實施例中,該循環比係1/2至1/5。
在某些具體實施例中,該製程循環之數目係1至1,000,或至少2,或10至100,取決於多元素膜之目標厚度。
在某些具體實施例中,在步驟(ii)中,將額外元素或摻雜劑引入或摻雜進步驟(i)中所得之多元素層內,其在厚度方向上自該表面朝向內側穿透該多元素層並在該多元素層中擴散。在步驟(ii)中,在不存在用於膜形成之前驅物下,使用反應性氧、氮、及/或碳併入至少一種新的額外元素,且在某些具體實施例中,該反應性氧、氮、及/或碳係氧電漿、氮電漿、及/或碳電漿,其可藉由將RF功率施加至用於氧化之氧或臭氧、用於氮化之氮及氫氣之混合物、及/或用於碳化之諸如CH4之烴氣而產生。
在該表面處理循環中,主要發生代替反應,且因此基本上,未改變多元素層之厚度。然而,由於自該多元素層移除或解離反應副產物,多元素層之厚度通常減少約1%至約40%(5%、10%、20%、30%、或任何兩個前述數字之間之值)。然而,若氧過度代替氮,則該多元素層可增大。在某些具體實施例中,在步驟(i)中所得之積體多元素層之厚度未藉由步驟(ii)增加。
在某些具體實施例中,該單相多元素膜具有約5.0或更低(例如4.5或更低、4.0或更低)之介電常數。此外,該膜之階梯覆蓋(在側壁處之厚度與在頂表面上之厚度相較之百分比)可係至少90%(例如:93%至100%)。並且,該膜之濕式蝕刻速率可藉由改變步驟(ii)中之處理條件及順序經調整至所需水準。例如,藉由以更多氮摻雜該膜,增加該膜之濕式蝕刻速率。
在某些具體實施例中,該沉積循環包含:(ia)將一前驟物以一脈衝供應至置放基板之反應區中;(ib)將用於沉積之反應氣體及貴重氣體連續地供應至該反應區中;及(ic)在步驟(ia)後將RF功率以一脈衝施加至該反應區,且該表面處理循環包含:(iia)將該貴重氣體連續地供應至反應區;及(iib)將用於處理之一反應氣體以一脈衝供應至該反應區,其中反應性氧、氮、及/或碳係自用於處理之反應氣體產生,其中在整個表面處理循環中未供應前驅物及用於沉積之反應氣體。
在某些具體實施例中,在步驟(iib)中,該反應性氧、氮、及/或碳係氧電漿、氮電漿、及/或碳電漿,及該表面處理循環進一步包含:(iic)在步驟(iib)期間將RF功率以一脈衝施加至該反應區。
在某些具體實施例中,該前驅物係由第一及第二前驅物構成,且步驟(ia)包含以一脈衝供應該第一前驅物且之後以一脈衝供應該第二前驅物。
在某些具體實施例中,該前驅物係由第一及第二前驅物構成,且該沉積循環進一步包含在使用第一前驅物進行步驟(ia)至(ic)後,使用第二前驅物進行步驟(ia)至(ic)。
在某些具體實施例中,該前驅物係由第一及第二前驅物構成,且該沉積循環進一步包含在使用第一前驅物進行步驟(ia)至(ic)後,使用第二前驅物進行步驟(ia)及(ib)而不進行步驟(ic)。
在某些具體實施例中,該用於處理之反應氣體係由第一及第二反應氣體構成,且該表面處理循環進一步包含在使用第一反應氣體進行步驟(iia)及(iib)後,使用第二反應氣體進行步驟(iia)及(iib)。
在某些具體實施例中,該用於處理之反應氣體係由第一及第二反應氣體構成,且該表面處理循環進一步包含在使用第一反應氣體進行步驟(iia)至(iic)後,使用第二反應氣體進行步驟(iia)至(iic)。
根據具體實施例之製程順序係在以下解釋。熟習技術者將可基於本揭露及例行實驗根據目標多元素膜而修改該等順序。在本揭露中,字詞「連續地」指以下之至少一者:未破壞真空下,未暴露於空氣,未開放一室,為一原位製程,未中斷為順序中之一步驟、未改變製程條件,及在步驟間未引起一基板表面上之化學變化,取決於該具體實施例。在某些具體實施例中,諸如步驟間之延遲或在本內容中無形或非實質之其它步驟之一輔助步驟不算作一步驟,因此,該字詞「連續地」不排除插入之輔助步驟。
圖2說明根據比較例之一PEALD製程順序,其中每一欄之寬度未必代表實際時間長度,且在每一列中之該線之升高水平代表開啟(ON)狀態,而在每一列中該線之降低水平代表關閉(OFF)狀態。在此PEALD製程中,重覆該沉積循環,其由以下步驟依此順序組成:將前驅物1饋送至一反應區、清洗該反應區、饋送前驅物2、清洗該反應區、將RF 功率施加至該反應區、及清洗該反應區,其中在整個沉積循環中將載體氣體、稀釋氣體、及用於沉積之反應氣體持續地供應至該反應區。由於未進行表面處理循環,未預期如此獲得之膜之低介電常數。
圖3說明根據本發明之一具體實施例之一PEALD製程順序。在此PEALD製程中,該製程循環由沉積循環及表面處理循環組成。沉積循環由以下步驟依此順序組成:將前驅物1饋送至一反應區、清洗該反應區、饋送前驅物2、清洗該反應區、將RF功率施加至該反應區、及清洗該反應區,其中在整個沉積循環中將載體氣體、稀釋氣體、及用於沉積之反應氣體連續地供應至該反應區。在該沉積循環中,可將饋送前驅物2、清洗該反應區、將RF功率施加至該反應區、及清洗該反應區之步驟重覆k次(k係1至5,通常1至3之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。亦可重覆沉積循環m次(m係1至60,通常1至30,例如5至20之整數),取決於該多元素膜之目標組成及品質,儘管重覆並非必須的。在沉積循環後連續地進行該表面處理循環,該表面處理循環依此順序由以下步驟組成:將用於表面處理之反應物(圖中之「STR」)饋送至該反應區、將RF功率施加至該反應區、及清洗該反應區,其中在整個表面處理循環中將該載體氣體及稀釋氣體連續地供應至該反應區,但未供應該用於沉積之反應物。可將表面處理循環重覆n次(n係1至10,通常1至3之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。不需重覆該製程循環,但通常重覆數次(例如,1至200次,通常1至20次),取決於該多元素膜之目標厚度、m值等等。
圖4說明根據本發明之另一具體實施例之一PEALD製程順 序。在此PEALD製程中,該製程循環由沉積循環及表面處理循環組成。沉積循環由以下步驟依此順序組成:將前驅物1饋送至一反應區、清洗該反應區、將RF功率施加至該反應區、清洗該反應區、將前驅物2饋送至該反應區、清洗該反應區、將RF功率施加至該反應區、及清洗該反應區,其中在整個沉積循環中將載體氣體、稀釋氣體、及用於沉積之反應氣體連續地供應至反應區。在該沉積循環中,可將饋送前驅物2、清洗該反應區、將RF功率施加至該反應區、及清洗該反應區之步驟重覆k次(k係1至5,通常1至3之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。亦可重覆沉積循環m次(m係1至40,通常1至20,例如4至15之整數),取決於該多元素膜之目標組成及品質,儘管重覆並非必須的。在沉積循環後連續地進行該表面處理循環,該表面處理循環依此順序由以下步驟組成:將用於表面處理之反應物(圖中之「STR」)饋送至該反應區、將RF功率施加至該反應區、清洗該反應區,其中在整個表面處理循環中將該載體氣體及稀釋氣體連續地供應至該反應區,但未供應用於沉積之反應物。可將表面處理循環重覆n次(n係1至10,通常1至3之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。不需重覆該製程循環,但通常重覆數次(例如,1至200次,通常1至20次),取決於該多元素膜之目標厚度、m值等等。
圖5說明根據本發明之再另一具體實施例之一PEALD製程順序。在此PEALD製程中,該製程循環由沉積循環及表面處理循環組成。沉積循環由以下步驟依此順序組成:將前驅物1饋送至一反應區、清洗該反應區、饋送前驅物2至該反應區、清洗該反應區、將RF功率施加至 該反應區、及清洗該反應區,其中在整個沉積循環中將載體氣體、稀釋氣體、及用於沉積之反應氣體連續地供應至反應區。在該沉積循環中,可將饋送前驅物2、清洗該反應區、將RF功率施加至該反應區、及清洗該反應區之步驟重覆k次(k係1至5,通常1至3之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。亦可重覆沉積循環m次(m係1至60,通常1至30,例如5至20之整數),取決於該多元素膜之目標組成及品質,儘管重覆並非必須的。在沉積循環後連續地進行該表面處理循環,該表面處理循環依此順序由以下步驟組成:將用於表面處理之反應物1(圖中之「STR」)饋送至該反應區、將RF功率施加至該反應區、清洗該反應區、饋送用於表面處理之反應物2、將RF功率施加至該反應區、及清洗該反應區,其中在整個表面處理循環中將該載體氣體及稀釋氣體連續地供應至該反應區,但未供應該用於沉積之反應物。在該表面處理循環中,可將用於表面處理之反應物1饋送至該反應區、將RF功率施加至該反應區、及清洗該反應區之步驟重覆p次(p係1至20,通常1至10之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。亦可將用於表面處理之反應物2饋送至該反應區、將RF功率施加至該反應區、及清洗該反應區之步驟重覆q次(q係1至20,通常1至10之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。可將表面處理循環重覆n次(n係1至20,通常1至10之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。不需重覆該製程循環,但通常重覆數次(例如,1至200次,通常1至20次),取決於該多元素膜之目標厚度、m值等等。
圖6說明根據本發明之又另一具體實施例之一PEALD製程 順序。在此PEALD製程中,該製程循環由沉積循環及表面處理循環組成。沉積循環由以下步驟依此順序組成:將前驅物1饋送至一反應區、清洗該反應區、將RF功率施加至該反應區、清洗該反應區、將前驅物2饋送至該反應區、清洗該反應區、將RF功率施加至該反應區、及清洗該反應區,其中在整個沉積循環中將載體氣體、稀釋氣體、及用於沉積之反應氣體連續地供應至反應區。在該沉積循環中,可將饋送前驅物2、清洗該反應區、將RF功率施加至該反應區、及清洗該反應區之步驟重覆k次(k係1至5,通常1至3之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。亦可重覆沉積循環m次(m係1至60,通常1至30,例如5至20之整數),取決於該多元素膜之目標組成及品質,儘管重覆並非必須的。在沉積循環後連續地進行該表面處理循環,該表面處理循環依此順序由以下步驟組成:將用於表面處理之反應物1(圖中之「STR」)饋送至該反應區、將RF功率施加至該反應區、清洗該反應區、饋送用於表面處理之反應物2、將RF功率施加至該反應區、及清洗該反應區,其中在整個表面處理循環中將該載體氣體及稀釋氣體連續地供應至該反應區,但未供應用於沉積之反應物。在該表面處理循環中,可將用於表面處理之反應物1饋送至該反應區、將RF功率施加至該反應區、及清洗該反應區之步驟重覆p次(p係1至20,通常1至10之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。亦可將用於表面處理之反應物2饋送至該反應區、將RF功率施加至該反應區、及清洗該反應區之步驟重覆q次(q係1至10,通常1至3之整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。可將表面處理循環重覆n次(n係1至10,通常1至3,更常係1之 整數),取決於多元素膜之目標組成及品質,儘管重覆並非必須的。不需重覆該製程循環,但通常重覆數次(例如,1至200次,通常1至20次),取決於該多元素膜之目標厚度、m值等等。
在圖3中說明之順序中,在該沉積循環中,在饋送前驅物2後進行一次施加RF功率,且在該表面處理循環中,僅使用一種用於表面處理之反應物,且因此,多元素層係由單相構成,且以來自用於表面處理之反應物之一種元素摻雜。在圖4中說明之順序中,在該沉積循環中,在饋送前驅物1後且在饋送前驅物2後進行施加RF功率,且在該表面處理循環中,僅使用一種用於表面處理之反應物,且因此,由一層狀物構成該多元素層,且以來自用於表面處理之反應物之一種元素摻雜。在圖5中說明之順序中,在該沉積循環中,在饋送前驅物2後進行一次施加RF功率,且在該表面處理循環中,使用兩種用於表面處理之反應物,且因此,由單相構成該多元素層,且以來自用於表面處理之反應物之兩種元素摻雜。在圖6中說明之順序中,在該沉積循環中,在饋送前驅物1後進行一次施加RF功率且在饋送前驅物2後進行一次施加RF功率,以及在該表面處理循環中,使用兩種用於表面處理之反應物,且因此,由一層狀物構成該多元素層,且以來自用於表面處理之反應物之兩種元素摻雜。在圖3-圖6中說明之順序中,用於表面處理之反應物1及/或反應物2可使用遠端電漿單元激發,或可經熱激發。在圖3-圖6中說明之順序中,通常前驅物1(例如:含矽材料)形成一膜之基底基質,且前驅物2(例如:含硼材料)將一摻雜劑引入該膜之基底基質內,且若需要較高含量之摻雜劑時,重覆饋送前驅物2之步驟。然而,在某些具體實施例中,可顛倒饋送前驅物1及前驅物2之 順序。此外,儘管在圖式中涉及前驅物2之步驟係視需要重覆k次,亦可重覆多次涉及前驅物1之步驟(例如:與涉及前驅物2之步驟無關之k次)。在該等順序中,不需連續地供應用於表面處理之反應物1及/或反應物2,而可僅在將RF功率施加至該反應區的同時供應。在某些具體實施例中,可省略針對清洗而專門設計之時段。
在圖2-圖6中所說明之順序中,使用連續供應之載體氣體以一脈衝供應該前驅物。此可藉由例如圖1B所示之氣體供應系統實行。圖1B說明此一切換流系統之示意說明。在圖1B之(a)中,閥V1(X)及V2(R)係關閉的,且閥V1(R)及V2(X)係開啟的,使得一前驅物氣體經由閥V1(R)流向一通氣口,且一惰性氣體經由閥V2(X)流入一反應室。在圖1B之(b)中,藉由同時關閉閥V1(R)及V2(X)並開啟閥V1(X)及V2(R),將該前驅物氣體立即導引流至該反應室,並將該惰性氣體立即導引流入該通氣口,而未實質改變流速同時維持連續流動。例如可將該通氣口設置在一排放口之下游。
在某些具體實施例中,使用二或多種前驅物,其中第一前驅物提供二或三種元素,且第二前驅物提供一或二種元素,而用於表面處理之反應物提供一或二種元素。例如,第一前驅物包括(但不限於)以下之一或多者:含矽鹵化物前驅物,諸如二氯四甲基二矽烷、四氯二甲基二矽烷、及二碘矽烷,其提供Si、Cl、C、H,且第二前驅物包括(但不限於)含硼氣體,諸如三乙基硼及三甲基硼,以及含砷氣體,諸如三乙氧基砷及三甲基胂,其提供B、As、C、H。未限制饋送前驅物1及前驅物2之順序,且任一者可係第一者。另外,在某些具體實施例中,用於表面處理之反應 物包括(但不限於)以下中之一或多者:氮化氣體(諸如:NH3、H2及N2之混合物,及肼化合物)、氧化氣體(諸如氧、臭氧及碳酸)、以及碳化氣體(諸如CH4及己烷)。可自用於表面處理之反應物之以上群組選擇亦可提供元素之用於沉積之反應物。在某些具體實施例中,可自以下中之一或多者選擇載體氣體及稀釋氣體:貴重氣體(諸如:He及Ar),以及其它惰性氣體(諸如:N2)。
在某些具體實施例中,可藉由PEALD進行該沉積循環,其中一個循環係在下表1所示之條件下進行。
可在一載體氣體之輔助下提供該前驅物。由於ALD為自限 制吸附反應製程,所沉積之前驅物分子數係由反應表面位點數決定並與飽和後之前驅物暴露無關,且該前驅物之供應係使得因此每一循環之該等反應表面位點飽和。例如,可於整個沉積循環中連續流動之氨氣中原位產生用於沉積之電漿。在其它具體實施例中,該電漿可遠端地產生並提供至該反應室。
如上所提及,每一沉積循環之每一脈衝或階段較佳地係自限制的。在每一階段中供應過量反應物以飽和該易受影響之結構表面。表面飽和確保反應物佔據所有可得之反應位點(例如,受到物理尺寸或「立體阻礙」限制)且因此確保優異之階梯覆蓋。在某些具體實施例中,可減少一或多個反應物之脈衝時間使得未達成完全飽和且在該基板表面上吸附少於一單層。
在某些具體實施例中,可將在US 2009/0209081 A1及US 2013/0084714 A1(每一揭露以引用方式全文併入本文中)中所揭示之ALD循環用於藉由一沉積循環形成多元素層。
在某些具體實施例中,該多元素層係在下表2中所示之條件下在該表面處理循環中以表面處理氣體(反應物)處理。
可使用例如包括圖1A所示之裝置之任何合適裝置進行該製程循環。圖1A係可用在本發明之某些具體實施例中之PEALD裝置之示意圖,理想上係結合經程式化以進行以下描述之順序之控制。在本圖式中,藉由平行地並彼此相對地在反應室3之內部11中提供一對導電平板電極4、2,向一側施加HRF功率(13.56MHz或27MHz)5及LRF功率(5MHz或更低,400kHz~500kHz)50,及向另一側提供電接地12,在該等電極間激發電漿。在較低之台2(低電極)中提供一溫度調節器,且其上所置之基板1之溫度在所給溫度下保持恆定。高電極4亦用作淋洗板,且反應氣體與稀有氣體經由氣流控制器23、脈衝流控制閥31、及淋洗板引入反應室3中。此外,在反應室3中,提供排放管6,反應室3之內部11中之氣體經由該排放管6排放。此外,反應室提供有密封氣體流量控制器24以將密封氣體引入反應室3之內部11中(本圖式中省略在反應室內部中用於分隔反應區及傳送區之分隔板)。在某些具體實施例中,該多元素膜之沉積及表面處理係 在諸如上述之相同裝置中進行,使得可在未暴露該基板至空氣或其它含氧氣氛下連續地進行所有步驟。在某些具體實施例中,可使用一遠端電漿單元以激發一氣體。
在某些具體實施例中,在圖1A中所示之裝置中,代替該脈衝流控制閥31,可使用圖1B所示之切換一惰性氣體流及一前驅物氣體流之系統(於先前所述)。
熟悉本技術者將了解本裝置包括一或多個控制器(未示出),其經程式化或是經組態以使得進行本文他處所描述之沈積及反應器清潔程序。該(等)控制器與各種電源、加熱系統、幫浦、機器人及反應器之氣體流量控制器或閥連通,如熟悉本技術者所了解。
本發明進一步地參照以下工作實施例解釋。然而,該等實施例未意欲限制本發明。在其中未具體指明條件及/或結構之實施例中,熟悉本技術者考量本揭露作為例行實驗可容易地提供此等條件及/或結構。並且,應用在該特定實施例中之數字在某些具體實施例中可以至少±50%之範圍修正,且該等數字為近似值。
實施例
多元素介電膜係藉由PEALD在具有3之高寬比(35nm之寬度)之溝槽之Si基板(Φ300mm)上形成,其中一循環係在以下表3(沉積循環)及表4(表面處理循環)中所示之常用條件下使用圖1A所示之該PEALD裝置(包括圖1B所示之修改)以表5所示之特定條件及順序進行,其中「DCTDMS」表示二氯四二甲基矽烷,「BT」表示三乙基硼,且「DIS」 表示二碘矽烷。
在表5中,具「*」之實施例數字表示比較例。「沉積對表面處理之循環比」表示所重覆之沉積循環數對所重覆之表面處理循環數之循環比。在沉積循環及表面處理循環期間施加之RF功率係相同的。評估每一所得之膜。表6顯示評估結果。
在表6中,「GPC」表示每循環之生長速率,「RI@633nm」表示633nm波長處之折射率,「K-Value」表示介電常數,「100:1 DHF WERR@TOX」表示使用以相對熱氧化膜濃度之1%濃度之稀釋HF溶液之 濕式蝕刻速率,「階梯覆蓋@AR3」表示在具有3之高寬比之溝槽處之階梯覆蓋,及「膜」表示膜之組成。
在實施例3-12中,進行該表面處理循環以將氧併入由沉積循環所得之SiBNH層內,以製造SiBONH層。例如,經確認由實施例3獲得之多元素膜具有下表7所示之組成,如由拉塞福背向散射光譜測定法(RBS)及氫前向散射法(HFS)分析之組成結果。注意儘管該等膜之組成在上表6中表示為SiBNH或SiBONH,已確認該等膜基於二次離子質譜法(SIMS)亦包含約5原子%(或更低)之量之碳。
以類似於實施例2-12之方式,可形成包含兩種類金屬元素之其它多元素膜。並且,若使用三種前驅物,其每一者提供不同之類金屬元素,則可形成包含三種類金屬元素之多元素膜。熟悉本技術者考量本揭露作為例行實驗可容易地提供用於形成此等多元素膜之條件及/或結構。
此外,在實施例3-10中,預期氧氣已經併入且均勻地擴散整個膜,如由實施例11所得之膜之組成分析所明顯得知。實施例11之膜之由拉塞福背向散射光譜測定法(RBS)及氫前向散射法(HFS)之組成分析結果顯示在下表8。即,該膜以氧自該表面至10nm之深度完全地摻雜,但在較10nm深之深度處未摻雜氧。由實施例3-10中之每一沉積循環所得之層厚度可計算如下(該等數字係近似值):1.7nm(實施例3)、1.1nm(實施例4)、1.9nm(實施例5)、1.5nm(實施例6)、1.6nm(實施例7)、1.9nm(實施例 8)、2.0nm(實施例9)、及1.7nm(實施例10)。因此,預期在實施例3-10中所得之多元素膜實質上由均勻地分布在整個膜之組成構成。
在實施例3及5-10中,所重覆之該等沉積循環數對所重覆之該等表面處理循環數之循環比係20:1。在實施例4中,該循環比例係15:1。藉由減少該循環比(即藉由以相對於沉積循環較少之間隔或更頻繁地進行表面處理循環),可減少該膜之介電常數,如與實施例3(k=4.0)相較由實施例4(k=3.7)明顯得知。此外,藉由調整表面處理循環之持續時間,亦可調整該膜之介電常數。例如,在實施例5中,該持續時間係實施例3中之持續時間之40%,因此與實施例3中之4.0相較,在實施例5中介電常數增加至4.3。另一方面,在實施例6中,該持續時間係實施例3中之持續時間之200%,因此與實施例3之4.0相較,在實施例6中介電常數減少至3.5。
一般而言,當膜具有低介電常數時,該膜傾向具有高濕式蝕刻速率(對化學品較無抗性),即,介電常數及濕式蝕刻速率係權衡關係。然而,藉由以碳摻雜該膜,可顯著地降低濕式蝕刻速率同時避免顯著地增加介電常數。例如,在實施例6中,該膜之介電常數係3.5且相對於熱氧化膜之濕式蝕刻速率係5,但在實施例7中,其中該膜在每一表面處理循 環中藉由使用二乙胺氣體在暴露於氧電漿下以碳摻雜,相對於熱氧化膜之該濕式蝕刻速率大幅地改善至0.3(約較實施例6中之濕式蝕刻速率少約16倍),同時抑制介電常數之增加至約17%或4.1之介電常數。因此,藉由控制表面處理循環(用於該循環之摻雜劑類型、所重覆之循環數、用於該循環之製程條件、及/或該循環之持續時間),可調整多元素膜之組成及性質。
此外,所有該等膜具有90%或更高之階梯覆蓋,表示不發生前驅物之吸附阻礙或吸附干擾。
另外,代替實施例3-10中之氧電漿,在實施例11之表面處理循環中使用氮電漿(無新的元素藉由表面處理循環添加),且在實施例12之表面處理循環中使用烴電漿(藉由表面處理循環添加為碳之新元素)。結果,在實施例11中(氮化),與實施例2相較RI大約增加兩倍,但該介電常數增加至5.5,其超過5.0。在實施例12中(碳化),該RI增加至2.2,且相對於熱氧化膜之濕式蝕刻速率係0.1,而介電常數係4.8,其少於5.0,表示併入該膜之碳濃度明顯地增加。
熟悉本技術之人士應了解可在不背離本發明之精神下進行許多及各種修正。因此,應清楚了解本發明之形式僅作說明之用,且未意欲限制本發明之範疇。

Claims (20)

  1. 一種用於在一基板上形成由至少四種元素構成之單相多元薄膜之方法,其係以電漿輔助原子層沉積法(PEALD)進行一或多個製程循環,每一製程循環包含:(i)在一基板上藉由PEALD使用至少一前驅物形成由至少三種元素構成之積體多元素層;及(ii)以反應性氧、氮、及/或碳在不存在用於膜形成之前驅物下處理該積體多元素層之表面,使得可將選自氧、氮、及碳之至少一種新的額外元素併入該積體多元素層內。
  2. 如申請專利範圍第1項之方法,其中步驟(i)係在每一製程循環中進行至該積體多元素層之厚度不超過15nm的程度。
  3. 如申請專利範圍第1項之方法,其中步驟(ii)係在每一製程循環中進行至該至少一種新的額外元素擴散整個該積體多元素層之程度。
  4. 如申請專利範圍第1項之方法,其中步驟(i)在每一製程循環中係以PEALD之沉積循環構成,且步驟(ii)在每一製程循環中係以表面處理循環構成,其中在每一製程循環中該沉積循環之數目對該表面處理循環之數目之循環比係1/10至60/1。
  5. 如申請專利範圍第1項之方法,其中該多元薄膜係由五種元素構成。
  6. 如申請專利範圍第1項之方法,其中該反應性氧、氮、及/或碳係氧電漿、氮電漿、及/或碳電漿。
  7. 如申請專利範圍第1項之方法,其中該等至少四種元素係選自由類金屬元素及非金屬元素組成之群組。
  8. 如申請專利範圍第7項之方法,其中該類金屬元素係矽。
  9. 如申請專利範圍第7項之方法,其中該至少四種元素包括二或多種類金屬元素,且在步驟(i)中,該多元素層係使用每一者包含不同類金屬元素之二或多個前驅物沉積。
  10. 如申請專利範圍第8項之方法,其中該單相多元素膜係SiBCNH、SiBOCNH、SiCNH、SiOCNH、SiOCH、SiPNH、SiPOCNH、BCONH、PCONH、AsCONH、BCNH、PCNH、AsCNH之膜。
  11. 如申請專利範圍第1項之方法,其中該單相多元素膜具有約5.0或更低之介電常數。
  12. 如申請專利範圍第1項之方法,其中在步驟(i)中所得之積體多元素層之厚度未由步驟(ii)增加。
  13. 如申請專利範圍第1項之方法,其中步驟(i)及(ii)係在約0℃至約600℃之溫度下進行。
  14. 如申請專利範圍第4項之方法,其中:該沉積循環包含:(ia)以一脈衝將前驅物供應至該基板置於其中之反應區中;(ib)將用於沉積之反應氣體及貴重氣體連續地供應至該反應區;及(ic)在步驟(ia)後以一脈衝將RF功率施加至該反應區中,及該表面處理循環包含:(iia)將該貴重氣體連續地供應至反應區;及(iib)將用於處理之一反應氣體以一脈衝供應至該反應區,其中反應性氧、氮、及/或碳係得自用於處理之反應氣體,其中在整個表面處理循環中不供應前驅物及用於沉積之反應氣體。
  15. 如申請專利範圍第14項之方法,其中在步驟(iib)中,該反應性氧、氮、及/或碳係氧電漿、氮電漿、及/或碳電漿,且該表面處理循環進一步包含:(iic)在步驟(iib)期間將RF功率以一脈衝施加至該反應區。
  16. 如申請專利範圍第14項之方法,其中該前驅物係由第一及第二前驅物構成,且步驟(ia)包含以一脈衝供應該第一前驅物且之後以一脈衝供應該第二前驅物。
  17. 如申請專利範圍第14項之方法,其中該前驅物係由第一及第二前驅物構成,且該沉積循環進一步包含在使用第一前驅物進行步驟(ia)至(ic)後,使用第二前驅物進行步驟(ia)至(ic)。
  18. 如申請專利範圍第14項之方法,其中該前驅物係由第一及第二前驅物構成,且該沉積循環進一步包含在使用第一前驅物進行步驟(ia)至(ic)後,使用第二前驅物進行步驟(ia)及(ib)而不進行步驟(ic)。
  19. 如申請專利範圍第14項之方法,其中該用於處理之反應氣體係由第一及第二反應氣體構成,且該表面處理循環進一步包含在使用第一反應氣體進行步驟(iia)及(iib)後,使用第二反應氣體進行步驟(iia)及(iib)。
  20. 如申請專利範圍第15項之方法,其中該用於處理之反應氣體係由第一及第二反應氣體構成,且該表面處理循環進一步包含在使用第一反應氣體進行步驟(iia)至(iic)後,使用第二反應氣體進行步驟(iia)至(iic)。
TW105104453A 2015-04-22 2016-02-16 用於以電漿輔助原子層沉積法形成由至少五種元素構成的多元薄膜的方法 TWI675931B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/693,138 2015-04-22
US14/693,138 US9343297B1 (en) 2015-04-22 2015-04-22 Method for forming multi-element thin film constituted by at least five elements by PEALD

Publications (2)

Publication Number Publication Date
TW201638376A TW201638376A (zh) 2016-11-01
TWI675931B true TWI675931B (zh) 2019-11-01

Family

ID=55920187

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105104453A TWI675931B (zh) 2015-04-22 2016-02-16 用於以電漿輔助原子層沉積法形成由至少五種元素構成的多元薄膜的方法

Country Status (3)

Country Link
US (1) US9343297B1 (zh)
KR (1) KR102629302B1 (zh)
TW (1) TWI675931B (zh)

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN110651064B (zh) * 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102652485B1 (ko) * 2018-10-29 2024-03-28 주성엔지니어링(주) 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7437362B2 (ja) * 2021-09-28 2024-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、基板処理方法及びプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120032311A1 (en) * 2010-08-09 2012-02-09 International Business Machines Corporation Multi component dielectric layer
CN104271797A (zh) * 2012-03-09 2015-01-07 气体产品与化学公司 显示器件的阻隔材料

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120032311A1 (en) * 2010-08-09 2012-02-09 International Business Machines Corporation Multi component dielectric layer
CN104271797A (zh) * 2012-03-09 2015-01-07 气体产品与化学公司 显示器件的阻隔材料

Also Published As

Publication number Publication date
US9343297B1 (en) 2016-05-17
KR102629302B1 (ko) 2024-01-24
TW201638376A (zh) 2016-11-01
KR20160125914A (ko) 2016-11-01

Similar Documents

Publication Publication Date Title
TWI675931B (zh) 用於以電漿輔助原子層沉積法形成由至少五種元素構成的多元薄膜的方法
CN108728824B (zh) 使用斜降流量的反应气体进行等离子体辅助循环沉积的方法
TWI713551B (zh) 以peald形成氮化鋁基膜的方法
TWI631232B (zh) 藉由原子層沉積法形成順應性的氧化、氮化、或碳化介電膜的方法
KR101505970B1 (ko) 금속 실리케이트 막들의 원자층 증착
CN106992114B (zh) 含硅薄膜的高温原子层沉积
US8329599B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
TWI464802B (zh) 藉由化學氣相沉積之低溫介電膜形成
TW202129053A (zh) 氧化矽之拓撲選擇性膜形成之方法
US8563443B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
KR20170017779A (ko) 알루미늄 및 질소 함유 물질의 선택적 퇴적
KR20170044602A (ko) Peald에 의하여 트렌치들 내에 유전체 막을 퇴적하는 방법
TW201629267A (zh) 形成有低電阻及淺接面深度之膜的方法
JP2017147444A (ja) トレンチの側壁又は平坦面に選択的に窒化ケイ素膜を形成する方法
JP2015061075A (ja) プラズマアシストプロセスにより酸化膜を生成する方法
KR102415780B1 (ko) Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체
KR102396170B1 (ko) 반도체 장치의 제조 방법 및 성막 장치
CN107794515B (zh) 通过形成基于烃的超薄膜对层进行保护的方法
US11978625B2 (en) Methods of forming metal nitride films
KR100780605B1 (ko) 탄탈륨지르코늄산화막을 구비한 반도체소자 및 그의 제조방법