TWI464802B - 藉由化學氣相沉積之低溫介電膜形成 - Google Patents

藉由化學氣相沉積之低溫介電膜形成 Download PDF

Info

Publication number
TWI464802B
TWI464802B TW100134827A TW100134827A TWI464802B TW I464802 B TWI464802 B TW I464802B TW 100134827 A TW100134827 A TW 100134827A TW 100134827 A TW100134827 A TW 100134827A TW I464802 B TWI464802 B TW I464802B
Authority
TW
Taiwan
Prior art keywords
gas
process chamber
forming
substrate
film
Prior art date
Application number
TW100134827A
Other languages
English (en)
Other versions
TW201220394A (en
Inventor
Anthony Dip
Kimberly G Reid
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201220394A publication Critical patent/TW201220394A/zh
Application granted granted Critical
Publication of TWI464802B publication Critical patent/TWI464802B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure

Description

藉由化學氣相沉積之低溫介電膜形成
本發明係關於半導體基板處理,尤有關於使用氯化之矽烷與水蒸氣之低溫介電膜沉積之方法。
在半導體基板表面上之積體電路之形成過程中,氧化物或氮氧化物膜頻繁地生長或沉積在晶體基板(例如:矽)之表面上方。舉例來說,用在半導體快閃記憶體與微特徵側壁應用(micro-feature sidewall applications)之高品質氧化矽(SiOx ,x≦2)膜之化學氣相沉積(CVD)之工業標準製程係以二氯矽烷(DCS)與一氧化二氮(N2 O)之高溫反應為基礎。此製程之主要優點包含在批次製程中同時處理多個基板之能力;氧化矽膜之良好電氣性能;以及相較於其他CVD膜,膜之濕蝕刻速率相對低,該CVD膜例如:使用矽酸四乙酯(TEOS,tetraethyl orthosilicate)、雙(叔丁胺基)矽烷(BTBAS,bis(tertiary-butylamino) silane)、與其他前導物所沉積之膜。
然而,有一些關於使用DCS與N2 O來執行氧化矽膜之CVD之缺點。當使氧化矽膜與需要低的熱預算之先進材料結合時,此CVD製程需要可能會限制其使用之相當高之基板溫度(例如:約800℃)。並且,已發現使用N2 O氣體作為氧化氣體會導致不良與普遍不可控制之氮(N)結合到氧化矽膜中。低的膜沉積率被認為是由於氧化膜上之速率限制DCS成核步驟所造成,其因DCS與N2 O間缺乏氣相反應所引起。
高K介電質之需求使製造業者必須藉由將氮結合至氧化物膜中來加強現存之氧化物膜(例如:矽與鍺上之氧化物膜)。在此技藝中眾所皆知,氮結合至氧化物膜會增加所產生氮氧化物膜之介電常數,並且允許薄閘極介電質生長在這些半導體基板材料上。氮氧化矽(SiOx Ny )膜可以有良好的電氣特性,包含半導體應用中之裝置運作所期望之高電子遷移率(electron mobility)與低電子陷阱密度(electron trap density)。氮結合至薄氧化矽膜之更多優點包含:減少硼穿透P摻雜多晶矽閘極、改善之介面平坦度、氮氧化矽膜之介電常數之增加、以及改善之障壁特性以避免金屬氧化物或金屬閘極材料擴散至下方基板。
由於半導體裝置之微型化與先進材料之使用(需要減少之半導體處理方法之熱預算),有新處理方法之需求,該新處理方法提供於控制之深度具高氮結合之低溫氧化矽與氮氧化矽膜沉積作用,同時提供氧化物生長之控制速率。
本發明之一實施例提供藉由使用二氯矽烷(DCS)與水蒸氣在批次式處理系統中之數個基板上低溫CVD氧化矽膜之方法。該方法包含:將數個基板安置在製程腔室中;將製程腔室加熱至400℃與少於650℃間之沉積溫度;將包含水蒸氣之第一製程氣體流動到製程腔室中;將包含二氯矽烷(DCS)之第二製程氣體流動到製程腔室中;在製程腔室中建立少於2 Torr之氣體壓力;以及使第一與第二製程氣體反應,以在數個基板上熱沉積氧化矽膜。另一實施例更包含:在流動第一製程氣體與第二製程氣體時將包含一氧化氮(NO)氣體之第三製程氣體流動到製程腔室中;以及使氧化物膜與第三製程氣體反應,以在基板上形成氮氧化矽膜。
本發明之實施例提供用來形成半導體裝置之介電膜之低溫沉積製程。在一實施例中,提供使用二氯矽烷(DCS)與水蒸氣之氧化矽膜之非電漿CVD方法。在另一實施例中,提供使用DCS、水蒸氣、與一氧化氮(NO,nitric oxide)氣體之氮氧化矽膜之非電漿CVD方法。本發明之實施例達到具有良好材料與電氣特性之二氧化矽與氮氧化矽膜之高沉積速率,同時使用比工業標準高溫氧化物(HTO)製程(依賴基板上之DCS與一氧化二氮(N2 O,nitrous oxide)之反應)更低之沉積溫度。
本發明者了解,以水蒸氣氧化劑與非必要之NO氣體取代N2 O氧化劑來形成氮氧化矽膜,允許沉積溫度降低大於100℃、大於200℃、或甚至大於300℃(例如:高達350℃),同時提供有良好材料特性之氧化矽膜,該良好材料特性包含相較於基線HTO製程之低濕蝕刻速率。此沉積溫度之降低提供先進積體電路所需之熱預算之必要降低,因為受限制之熱預算不會允許基板溫度之增加,而且更長之處理時間在半導體裝置之高量製造中會不符合成本效益。
水蒸氣氧化劑之使用比在相同低沉積溫度下使用N2 O提供更高之沉積速率,同時提供相當之氧化矽與氮氧化矽膜之電氣性能。不像使用N2 O之HTO製程,本發明之實施例提供用來精確控制氮氧化矽膜之N結合之機構。而且,可以選擇性地在高於沉積溫度之溫度下執行後沉積(post-deposition)熱處理,以進一步改善氧化矽與氮氧化矽膜之材料與電氣特性。
雖然不希望受理論拘束,本發明者相信,不像被認為專門發生在基板表面上之DCS與N2 O間之反應,DCS與水蒸氣間之氣相反應(在與基板表面互相作用之前)能使基板表面上發生因DSC裂解或聚合物之形成所產生之DCS物種之改善核化作用。
圖1係橫剖面圖,顯示具有製程腔室12之批次式處理系統10,而數個基板20安置在製程腔室12內。熟悉本技藝者將觀察到,雖然顯示與描述批次式處理系統10,本發明亦可應用於一次處理一個基板之單一式基板處理。圖2A與2B描述在圖1中之基板20上個別形成氧化矽與氮氧化矽膜之製程流程圖。
現在參考圖1與圖2兩者,在方法200之一實施例中,在步驟202中,將數個基板20安置在製程腔室12中。基板20可以安置在可旋轉基板支撐器13上。熟悉本技藝者將觀察到,將基板20安置或裝載到批次式處理系統10內可以包含在基板20插入後透過排氣口15使製程腔室12排氣、以及透過真空口14來排空製程腔室12。此外,將基板20安置於批次式處理系統10內也可以包含使用惰性氣體(例如:氮)來洗滌製程腔室12,以稀釋或減少製程腔室12內之有機污染物之濃度。
在步驟204中,將製程腔室12加熱到400℃與少於650℃之間之沉積溫度。在製程腔室12之加熱期間,加熱速率可以從每分鐘數度C到每分鐘100度C以上。
在加熱之後,在步驟206中,將包含水蒸氣之第一製程氣體透過進氣口16而導引到製程腔室12中。第一製程氣體包含水蒸氣但不是氮化氣體。在步驟208中,將包含DCS與非必要之稀釋氣體之第二製程氣體透過進氣口17而導引到製程腔室12中。在步驟210中,在製程腔室中建立低於2 Torr之製程氣體壓力。在步驟212中,來自水蒸氣之氧與DCS於氣相中反應,並在各基板20上沉積氧化矽膜。
現在參考圖1與圖3兩者,在方法300之另一實施例中,在步驟302中,將數個基板20安置在製程腔室12中。基板20可以安置在可旋轉基板支撐器13上。熟悉本技藝者將觀察到,將基板20安置或裝載到批次式處理系統10內可以包含在基板20插入之後透過排氣口15來使製程腔室12排氣、以及透過真空口14來排空製程腔室12。此外,將基板20安置於批次式處理系統10內也可以包含使用惰性氣體(例如:氮)來洗滌製程腔室12,以稀釋或減少製程腔室12內之有機污染物之濃度。
在步驟304中,接著將製程腔室12加熱到400℃與少於650℃之間之處理溫度。在製程腔室12之加熱期間,加熱速率可以從每分鐘數度C到每分鐘100度C以上。
在加熱之後,在步驟306中,將包含水蒸氣之第一製程氣體透過進氣口16而導引到製程腔室12中。在步驟308中,將包含DCS與非必要之稀釋氣體之第二製程氣體透過進氣口17而導引到製程腔室12中。在步驟310中,將包含NO與非必要之稀釋氣體之第三製程氣體導引到製程腔室中。在步驟312中,在製程腔室中建立低於2 Torr之製程氣體壓力。在步驟314中,來自水蒸氣之氧在氣相中與DCS和NO反應,如此來自NO之氮會結合至氧化矽膜中,藉此在各基板20上形成氮氧化矽膜。
配合可以產生處理環境之第一、第二、與非必要之第三製程氣體之流速,處理環境有一處理壓力。本發明者了解處理壓力可低於2 Torr,以沉積具有良好均勻度與半導體裝置之所需材料與電氣特性之氧化矽與氮氧化矽膜。根據一實施例,處理壓力可以在100 mTorr與少於2 Torr之間、100 mTorr與1 Torr之間、1 Torr與少於2 Torr之間、1 Torr與1.5 Torr之間、或1.5 Torr與少於2 Torr之間。根據本發明之實施例,沉積製程可以使用在400℃與少於650℃之間、400℃與450℃之間、400℃與500℃之間、500℃與550℃之間、500℃與600℃之間、550℃與600℃之間、550℃與少於650℃之間、或600℃與少於650℃之間之沉積溫度。在一實施例中,配合處理溫度來設定處理壓力,以控制氧化矽或氮氧化矽膜之沉積速率。熟悉本技藝者將觀察到,在膜沉積期間,處理壓力與氣體流速隨時會變化。因此,「設定」一詞並不限制於設定處理壓力、氣體流速、或處理溫度之單一動作。更確切地說,設定可以代表任何數目之設定或調整動作,如此係依據來自內部控制、來自產業、或者由客戶所決定之任何品質標準來沉積氧化矽膜或氮氧化矽膜。第一、第二、以及非必要之第三製程氣體之流速可以在從10 sccm(每分鐘標準立方米)到20 slm(每分鐘標準公升)之範圍內,對NO氮化氣體而言為1到5000 sccm,而對稀釋氣體而言為100 sccm到20 slm。
根據本發明之一實施例,在將包含水蒸氣之第一製程氣體流動到製程腔室12中之前,如圖1所顯示,藉由氫氣(H2 )與氧氣(O2 )之燃燒作用以在製程腔室12外部產生水蒸氣。如圖1所描述,產生第一濕製程氣體之一範例為使用Tokyo Electron Ltd.,Nirasaki,Tamanashi,Japan所發展之高稀釋致熱炬18(high-dilution pyrogenic torch)。高稀釋致熱炬燃燒小流量之氫氣與氧氣。致熱炬18因此在製程腔室12外部產生水蒸氣(即蒸氣形式之水蒸氣)。
在本發明之另一實施例中,在處理環境中使用稀釋氣體來稀釋第一與第二製程氣體。稀釋氣體之濃度與第一和第二製程氣體之濃度之比率會影響氧化矽或氮氧化矽膜之沉積速率。因此,可以使用稀釋氣體來控制氧化矽膜生長速率與氮氧化矽膜生長速率。在一實施例中,如圖1所顯示,稀釋氣體包含氮氣(N2 )。然而,可以使用其他非反應性氣體,例如:氬(Ar)。仍舊參考圖1,熟悉本技藝者將容易地了解,可以使用氮稀釋氣體來稀釋包含水蒸氣之第一製程氣體,而不需將NO氣體流動到製程腔室中。
在方法之另一實施例中,一旦氧化矽膜或氮氧化矽膜沉積在各基板20上,便以高於沉積溫度之熱處理溫度來熱處理其上有膜之基板20。在此技藝中眾所皆知,熱處理基板20上之二氧化矽或氮氧化矽膜會更改膜之特性,尤其是膜之電氣特性,因此會變更含膜裝置之電氣特性。根據本發明之實施例,在熱處理期間,可以更改處理環境與處理壓力。舉例來說,在製程腔室12中之膜沉積作用之後,在熱處理前製程腔室12會被真空洗滌一或更多次,以去除包含第一、第二、與非必要之第三製程氣體之處理環境以及稀釋氣體(若有的話)。處理環境一經洗滌,熱處理氣體會被導引並且處理腔室12內會建立熱處理溫度與熱處理壓力,其會需要從沉積壓力提升或降低壓力。或者,其上有氧化矽或氮氧化矽膜之基板20會傳送到不同處理系統以進行熱處理。熱處理壓力可以相似於沉積壓力之範圍。根據一實施例,熱處理氣體包含氮氣(N2 )、一氧化氮(NO)、一氧化二氮(N2 O)、氧氣(O2 )、或水(H2 O)、或其混合物之至少一者。
圖4係顯示根據本發明實施例之為DCS流速的函數之氧化矽沉積速率。膜沉積條件包含100 sccm之H2 氣體流速度與100 sccm之O2 氣體流速度通過致熱炬18、水蒸氣產生器,因此產生水蒸氣。使用200 sccm之N2 稀釋氣體流速來稀釋包含水蒸氣之第一製程氣體。在氧化矽膜之沉積期間建立0.2 Torr之處理壓力,而沉積溫度可以變化於450℃到600℃間。氧化矽膜之厚度小於約100。DCS氣體流速可以變化於10 sccm到20 sccm之間。圖4顯示增加DCS流速會導致增加之氧化矽沉積速率,在450℃與500℃之沉積溫度下從約3-4/min增加到約9-10/min、以及在600℃下從6/min增加到約11/min。此外,為了作比較,圖4顯示在基板表面上使用將DCS與N2 O反應之習知HTO製程於810℃之沉積溫度下之氧化矽膜之沉積速率僅為約2/min,而且沉積速率實質上與DCS流速無關。
圖5係顯示根據本發明實施例之為N2 後沉積熱處理溫度的函數之不同氧化矽膜之濕蝕刻速率。濕蝕刻速率為氧化矽膜之材料品質之量測,其中高品質氧化矽膜濕蝕刻慢於低品質氧化矽膜。在存在N2 氣體之0.5 Torr之處理壓力與不同溫度之(沉積)製程腔室中,經沉積之氧化矽膜接著被熱處理1小時。在熱處理之後,二氧化矽膜接著在稀釋HF(200:1,N2 O:HF)中經歷2.5分鐘之濕蝕刻製程,而且將蝕刻速率對基線HTO氧化矽膜之蝕刻速率正規化,該基線HTO氧化矽膜係於800℃下使用50 sccm之DCS氣體流速與100 sccm之N2 O氣體流速沉積而成。圖5顯示對於相同方式沉積之氧化矽膜而言,更高之沉積溫度或更高之DCS氣體流速會導致更高之濕蝕刻速率。此外,後沉積熱處理溫度越高,使用10 sccm之DCS氣體流速所沉積之氧化矽膜之濕蝕刻速率越低。在一範例中,於600℃之基板溫度下使用低DCS氣體流速(10 sccm)所沉積並接著在N2 氣體中、800℃之基板溫度下經熱處理之氧化矽膜之濕蝕刻速率小於基線HTO氧化矽膜之濕蝕刻速率。
圖6係顯示藉由本發明實施例所形成之氧化矽與氮氧化矽膜之電容-電壓曲線。藉由使用DCS與水蒸氣來沉積氧化矽膜,並且藉由將50 sccm與100 sccm之NO氣體添加到DCS與水蒸氣製程氣體中來沉積氮氧化矽膜。表1與圖6之結果說明NO氣體之添加會增加TOX 、沉積速率、等效氧化物厚度(EOT)、以及介電常數(K)。此外,雖然未顯示於表1中,對於在0到50 sccm間之NO氣體流速而言,介面陷阱密度(Dit )預期會減少至基線氧化矽之介面陷阱密度之約1/100(即從約E12eV-1 cm-2 降低到E10eV-1 cm-2 )。這樣的Dit 之減少會提供具有相對地無電荷陷阱之介面之氮氧化矽膜,並且其本身可以有利地使用作為具有大幅改善之電子/電動遷移率與最高通道驅動電流之金氧半導體場效電晶體(MOSFET,metal oxide semiconductor field effect transistor)裝置之閘介電質。而且'當NO氣體流速增加到大於50 sccm,而氮氧化矽膜中之N濃度進一步增加時,不管Dit 值之增加,預期將改善包含氮氧化矽膜(例如:非揮發性記憶體(NVM,non-volatile memory)應用,如快閃記憶體隧道閘極)之半導體裝置之可靠度。雖然增加之Dit 值表示介面電荷捕獲與MOSFET起始電壓(Vth )之可能偏移之可能性提高,NVM應用之可靠度之改善被認為比因Dit 增加所帶來之任何缺點重要。增加之N濃度被認為有利於將膜內之鬆(懸浮)原子鍵結結合得更緊密。此外,增加之N濃度會提高膜之密度,而且導致對於在上升電壓之電子衝擊(electron bombardment)(常使用於半導體處理期間)之阻力增加。總體而言,結果顯示,不像使用DCS與N2 O之先前技術反應,在使用DCS與H2 O之膜沉積期間添加NO有效於將N結合至氧化矽膜,以形成半導體裝置之氮氧化矽膜。
雖然已藉由其一或多個實施例之描述來說明本發明,以及雖然已相當詳細地說明本實施例,其並不意圖將隨附申請專利範圍限定或以任何方式限制於這些詳述。額外優點與修改將容易地顯露給熟悉本技藝者。本發明因此不限制於顯示與描述之特定細節、代表設備與方法、以及說明範例。因此,在不離開一般發明概念之範圍內,可以變更這些細節。
10...批次式處理系統
12...製程腔室
13...基板支撐器
14...真空口
15...排氣口
16、17...進氣口
18...致熱炬
20...基板
200、300...方法
202、204、206、208、210、212...步驟
302、304、306、308、310、312、314...步驟
隨附之圖示,包含於說明書內且構成說明書的一部分,闡明本發明之實施例,並且連同上述發明之一般說明與上面之詳細說明,得以解釋本發明。
圖1係橫剖面圖,概略地顯示根據本發明一實施例之用來處理數個基板之批次式處理系統;
圖2係在基板上沉積氧化物膜之方法之一實施例之製程流程圖;
圖3係在基板上沉積氮氧化物膜之方法之一實施例之製程流程圖;
圖4係顯示根據本發明實施例之為DCS流速的函數之氧化矽沉積速率;
圖5係顯示根據本發明實施例之為N2 後沉積熱處理溫度的函數之不同氧化矽膜之濕蝕刻速率;
圖6係藉由本發明實施例所形成之氧化矽與氮氧化矽膜之電容-電壓曲線。
10...批次式處理系統
12...製程腔室
13...基板支撐器
14...真空口
15...排氣口
16、17...進氣口
18...致熱炬
20...基板

Claims (16)

  1. 一種在基板上形成介電膜之方法,該方法包含:將數個基板安置在製程腔室中;將該製程腔室加熱至400℃與少於650℃間之沉積溫度;將包含水蒸氣之第一製程氣體流動到該製程腔室中;將包含二氯矽烷(DCS)之第二製程氣體流動到該製程腔室中;在該製程腔室中建立少於2Torr之氣體壓力;以及使該第一與該第二製程氣體反應,以藉由非電漿化學氣相沉積,在該數個基板上熱沉積氧化矽膜。
  2. 如申請專利範圍第1項之在基板上形成介電膜之方法,更包含藉由燃燒氫氣(H2 )與氧氣(O2 )以在該製程腔室外部產生該水蒸氣。
  3. 如申請專利範圍第1項之在基板上形成介電膜之方法,更包含,在形成該氧化矽膜後,在熱處理氣體中熱處理其上有該氧化矽膜之該基板,該熱處理氣體包含氮氣(N2 )、一氧化氮(NO)、一氧化二氮(N2 O)、氧氣(O2 )、或水(H2 O)、或其混合物之至少一者。
  4. 如申請專利範圍第3項之在基板上形成介電膜之方法,其中該熱處理係執行於高於該沉積溫度之溫度下。
  5. 如申請專利範圍第1項之在基板上形成介電膜之方法,更包含在流動該第一製程氣體與該第二製程氣體時將第一稀釋氣體流動到該製程腔室中,以控制該氧化矽膜之生長速率。
  6. 如申請專利範圍第1項之在基板上形成介電膜之方法,更包含在流動該第一製程氣體與該第二製程氣體時將包含一氧化氮(NO)氣體之第三製程氣體流動到該製程腔室中;以及使該氧化矽膜與該第三製程氣體反應,以在該基板上形成氮氧化矽膜。
  7. 如申請專利範圍第6項之在基板上形成介電膜之方法,其中將包含NO氣體之該第三製程氣體在該製程腔室外面添加到該第一製程氣體。
  8. 如申請專利範圍第6項之在基板上形成介電膜之方法,更包含在流動該第一製程氣體與該第二製程氣體時將第一稀釋氣體流動到該製程腔室中,以控制該氧化矽膜之生長速率;以及在流動該第三製程氣體時將第二稀釋氣體流動到該製程腔室中,以控制氮結合到該氧化矽膜。
  9. 如申請專利範圍第6項之在基板上形成介電膜之方法,更包含在形成該氮氧化矽膜後,在熱處理氣體中熱處理其上有該氮氧化矽膜之該基板,該熱處理氣體包含氮氣(N2 )、一氧化氮(NO)、一氧化二氮(N2 O)、氧氣(O2 )、或水(H2 O)、或其混合物之至少一者。
  10. 如申請專利範圍第9項之在基板上形成介電膜之方法,其中該熱處理係執行於高於該沉積溫度之溫度下。
  11. 如申請專利範圍第6項之在基板上形成介電膜之方法,其中該氮氧化矽膜具有比該氧化矽膜更低之介面陷阱密度(Dit )。
  12. 一種在基板上形成介電膜之方法,該方法包含:將數個基板安置在製程腔室中;將該製程腔室加熱至400℃與少於650℃間之沉積溫度;將包含水蒸氣之第一製程氣體流動到該製程腔室中,其中藉由燃燒氫氣(H2 )與氧氣(O2 )以在該製程腔室外面產生該水蒸氣;將包含二氯矽烷(DCS)之第二製程氣體流動到該製程腔室中;在該製程腔室中建立少於2Torr之氣體壓力;使該第一與該第二製程氣體反應,以藉由非電漿化學氣相沉 積,在該數個基板上熱沉積氧化矽膜;以及在形成該氧化矽膜後,在熱處理氣體中熱處理其上有該氧化矽膜之該基板,該熱處理氣體包含氮氣(N2 )、一氧化氮(NO)、一氧化二氮(N2 O)、氧氣(O2 )、或水(H2 O)、或其混合物之至少一者,其中該熱處理係執行於高於該沉積溫度之溫度下。
  13. 如申請專利範圍第12項之在基板上形成介電膜之方法,更包含在流動該第一製程氣體與該第二製程氣體時將第一稀釋氣體流動到該製程腔室中,以控制該氧化矽膜之生長速率。
  14. 一種在基板上形成介電膜之方法,該方法包含:將數個基板安置在製程腔室中;將該製程腔室加熱至400℃與少於650℃間之沉積溫度;將包含水蒸氣之第一製程氣體流動到該製程腔室中;將包含二氯矽烷(DCS)之第二製程氣體流動到該製程腔室中;將包含一氧化氮(NO)之第三製程氣體流動到該製程腔室中;在該製程腔室中建立少於2Torr之氣體壓力;使該第一、該第二、與該第三製程氣體反應,以在該數個基板上熱沉積氮氧化矽膜;以及在形成該氮氧化矽膜後,在熱處理氣體中熱處理其上有該氮氧化矽膜之該基板,該熱處理氣體包含氮氣(N2 )、一氧化氮(NO)、一氧化二氮(N2 O)、氧氣(O2 )、或水(H2 O)、或其混合物之至少一者。
  15. 如申請專利範圍第14項之在基板上形成介電膜之方法,其中該熱處理係執行於高於該沉積溫度之溫度下。
  16. 如申請專利範圍第14項之在基板上形成介電膜之方法,更包含藉由燃燒氫氣(H2 )與氧氣(O2 )以在該製程腔室外面產生該水蒸氣。
TW100134827A 2010-09-30 2011-09-27 藉由化學氣相沉積之低溫介電膜形成 TWI464802B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/894,513 US7994070B1 (en) 2010-09-30 2010-09-30 Low-temperature dielectric film formation by chemical vapor deposition

Publications (2)

Publication Number Publication Date
TW201220394A TW201220394A (en) 2012-05-16
TWI464802B true TWI464802B (zh) 2014-12-11

Family

ID=44350747

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100134827A TWI464802B (zh) 2010-09-30 2011-09-27 藉由化學氣相沉積之低溫介電膜形成

Country Status (5)

Country Link
US (1) US7994070B1 (zh)
JP (1) JP2013545275A (zh)
KR (1) KR20130140696A (zh)
TW (1) TWI464802B (zh)
WO (1) WO2012044622A2 (zh)

Families Citing this family (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140216498A1 (en) * 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) * 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020182342A1 (en) * 2001-04-13 2002-12-05 Luc Ouellet Optical quality silica films
JP2004523885A (ja) * 2000-11-24 2004-08-05 エーエスエム アメリカ インコーポレイテッド 堆積前の表面調整方法
WO2004097897A2 (en) * 2003-04-24 2004-11-11 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085428A (ja) * 1999-09-14 2001-03-30 Hitachi Kokusai Electric Inc 基板処理方法
JP2001338923A (ja) * 2000-05-29 2001-12-07 Tokyo Electron Ltd 酸窒化膜形成方法及び酸窒化膜形成装置
US6884295B2 (en) * 2000-05-29 2005-04-26 Tokyo Electron Limited Method of forming oxynitride film or the like and system for carrying out the same
JP2002289846A (ja) * 2001-03-28 2002-10-04 Sony Corp 半導体装置およびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100471575B1 (ko) 2002-12-26 2005-03-10 주식회사 하이닉스반도체 플래시 메모리 소자의 제조방법
KR100482751B1 (ko) 2002-12-27 2005-04-14 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2005203730A (ja) * 2003-12-18 2005-07-28 Seiko Epson Corp 絶縁膜、半導体素子、電子デバイスおよび電子機器
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR20070099913A (ko) 2006-04-06 2007-10-10 주성엔지니어링(주) 산화막 형성 방법 및 산화막 증착 장치
JP2008159639A (ja) * 2006-12-20 2008-07-10 Seiko Epson Corp ゲート絶縁膜、ゲート絶縁膜の評価方法、半導体素子、電子デバイスおよび電子機器
JP5384852B2 (ja) 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004523885A (ja) * 2000-11-24 2004-08-05 エーエスエム アメリカ インコーポレイテッド 堆積前の表面調整方法
US20020182342A1 (en) * 2001-04-13 2002-12-05 Luc Ouellet Optical quality silica films
WO2004097897A2 (en) * 2003-04-24 2004-11-11 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
JP2006524439A (ja) * 2003-04-24 2006-10-26 エーエスエム アメリカ インコーポレイテッド 高機能粒子構造を有する多結晶膜の堆積方法

Also Published As

Publication number Publication date
JP2013545275A (ja) 2013-12-19
WO2012044622A2 (en) 2012-04-05
TW201220394A (en) 2012-05-16
US7994070B1 (en) 2011-08-09
KR20130140696A (ko) 2013-12-24
WO2012044622A3 (en) 2013-10-31

Similar Documents

Publication Publication Date Title
TWI464802B (zh) 藉由化學氣相沉積之低溫介電膜形成
TWI447257B (zh) 半導體裝置之製造方法,基板處理方法及基板處理裝置
US7795160B2 (en) ALD of metal silicate films
TWI411014B (zh) 半導體裝置之製造方法及基板處理裝置
JP4512098B2 (ja) 半導体装置の製造方法及び基板処理装置
US9349587B2 (en) Method of manufacturing semiconductor device and method of processing substrate and substrate processing apparatus
TWI410513B (zh) 金屬矽化物膜之原子層沈積
KR101639464B1 (ko) 유효 산화물 두께가 감소된 하이-k게이트 스택의 형성 방법
CN104109846B (zh) 半导体器件的制造方法及衬底处理装置
US9741555B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI535881B (zh) 形成矽氧碳氮化物薄膜之方法
JP4916257B2 (ja) 酸化膜の形成方法、酸化膜の形成装置及びプログラム
US20150140838A1 (en) Two Step Deposition of High-k Gate Dielectric Materials
JP2009170439A (ja) ゲート絶縁膜の形成方法
JP2009177161A (ja) 絶縁膜の形成方法
US9234275B2 (en) Method and apparatus of forming metal compound film, and electronic product
JP2011061218A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
KR101577862B1 (ko) 붕소 함유 실리콘산탄질화막의 형성 방법 및 실리콘산탄질화막의 형성 방법
JP2017005016A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2011029478A (ja) 誘電体膜、誘電体膜を用いた半導体装置の製造方法及び半導体製造装置
JP2017022276A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6470468B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP2015216404A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびガス供給系
JP2008235397A (ja) 半導体装置の製造方法
JP4933256B2 (ja) 半導体微細構造物を形成する方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees