KR20130140696A - 화학 기상 증착에 의한 저온 유전체 막 형성 - Google Patents

화학 기상 증착에 의한 저온 유전체 막 형성 Download PDF

Info

Publication number
KR20130140696A
KR20130140696A KR1020137011071A KR20137011071A KR20130140696A KR 20130140696 A KR20130140696 A KR 20130140696A KR 1020137011071 A KR1020137011071 A KR 1020137011071A KR 20137011071 A KR20137011071 A KR 20137011071A KR 20130140696 A KR20130140696 A KR 20130140696A
Authority
KR
South Korea
Prior art keywords
gas
processing
flowing
silicon oxide
chamber
Prior art date
Application number
KR1020137011071A
Other languages
English (en)
Inventor
안소니 딥
킴벌리 지 리드
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20130140696A publication Critical patent/KR20130140696A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 상에 유전체 막을 증착하는 방법은, 복수의 기판을 처리 챔버에 위치 결정하는 단계, 처리 챔버를 400℃와 650℃ 미만 사이의 증착 온도로 가열하는 단계, 수증기를 포함하는 제1 처리 가스를 처리 챔버에 흘리는 단계, 디클로로실란(DCS)을 포함하는 제2 처리 가스를 처리 챔버에 흘리는 단계, 2 Torr 미만의 가스 압력을 설정하는 단계, 및 복수의 기판 상에 실리콘 산화물 막을 열적으로 증착하기 위해 제1 및 제2 처리 가스를 반응시키는 단계를 포함한다. 일 실시예는, 제1 처리 가스와 제2 처리 가스를 흘리는 동안 일산화질소(NO)를 포함하는 제3 처리 가스를 처리 챔버에 흘리는 단계; 및 기판 상에 실리콘 산화질화물 막을 형성하기 위해 산화물 막을 제3 처리 가스와 반응시키는 단계를 더 포함한다.

Description

화학 기상 증착에 의한 저온 유전체 막 형성{LOW-TEMPERATURE DIELECTRIC FILM FORMATION BY CHEMICAL VAPOR DEPOSITION}
본 발명은 반도체 기판 처리에 관한 것으로, 더 상세하게는 염소화 실란과 수증기를 사용한 저온 유전체 막 증착 방법에 관한 것이다.
반도체 기판의 표면의 집적 회로의 형성에서, 실리콘과 같은 결정질 기판의 표면에 산화물 또는 산화질화물 막이 빈번하게 성장하거나 증착된다. 반도체 플래시 메모리와 마이크로 피쳐 측벽 적용(micro-feature sidewall applications)을 위한 고품질 실리콘 산화물(SiOx,x≤2) 막의 화학 기상 증착(CVD)의 산업 표준 처리는, 예를 들어, 디클로로실란(DCS)과 아산화질소(N2O)의 고온 반응에 기초한다. 이러한 처리의 주된 이점은, 배치(batch) 처리에서 복수의 기판을 동시에 처리할 수 있는 능력, 실리콘 산화물 막의 뛰어난 전기적 성능, 및 다른 CVD 막들, 예를 들어, 테트라에틸 오소실리케이트(TEOS), 비스(터셔리-부틸아미노)실란(BTBAS), 및 다른 전구체들을 사용하여 증착된 막들과 비교하여 상대적으로 막의 낮은 습식 에칭률(etch rate)을 포함한다.
그러나, DCS와 N2O를 사용하여 실리콘 산화물 막의 CVD를 수행하는 것과 관련된 몇 가지 단점이 있다. 이러한 CVD 처리는, 낮은 서멀 버짓(thermal budget)을 요구하는 개선된 재료와 실리콘 산화물 막을 집적할 때, 그 사용을 제한할 수 있는 비교적 높은 기판 온도(예를 들어, 800℃ 근처)를 요구한다. 또한, 산화 가스로서 N2O 가스의 사용은, 실리콘 산화물 막으로의 불량하며 일반적으로 제어 불가능한 질소 포함을 야기하는 것으로 밝혀졌다. 낮은 막 증착률은, DCS와 N2O 사이의 기상 반응의 부재로 인한 산화물 막 상의, 증착률을 제한하는 DCS 핵형성 단계 때문인 것으로 생각된다.
하이-k 유전체에 대한 요구는, 질소를 산화물 막에 포함시킴으로써 제조업자들이 기존의 산화물 막(예를 들어, 실리콘과 게르마늄 상의 산화물 막)을 증가시킬 것을 요구하였다. 산화물 막으로의 질소 포함은, 결과적인 산화질화물 막의 유전 상수를 증가시키고 더 얇은 게이트 유전체가 이러한 반도체 기판 재료 상에 성장될 수 있게 한다는 것이 종래 기술에서 알려져 있다. 실리콘 산화질화물(SiOxNy) 막은, 반도체 응용에서 장치 작동을 위해 바람직한 높은 전자 이동도와 낮은 전자 트랩 밀도를 포함하는 양호한 전기적 특성을 가질 수 있다. 얇은 실리콘 산화물 막에서의 질소 포함의 추가적인 장점은: p-도핑된 폴리실리콘 게이트를 통한 붕소 침투의 감소, 향상된 계면 평활도, 실리콘 산화질화물 막의 유전 상수의 증가, 및 금속 산화물이나 금속 게이트 재료의 하부 기판으로의 확산을 막는 장벽 특성의 향상을 포함한다.
반도체 장치의 소형화와 반도체 처리 방법의 감소된 서멀 버짓을 요구하는 진보된 재료의 사용으로 인해, 제어된 산화물 성장률을 제공하면서, 제어된 깊이에서 높은 질소 포함을 갖는 저온 실리콘 산화물 및 실리콘 산화질화물 막 증착을 제공하는 새로운 처리 방법을 필요로 한다.
본 발명의 일 실시예는, 디클로로실란(DCS)과 수증기를 사용한 배치 처리 시스템에서 복수의 기판 상의 실리콘 산화물 막의 저온 CVD에 대한 방법을 제공한다. 상기 방법은, 복수의 기판을 처리 챔버에 위치 결정하는 단계, 400℃와 650℃ 미만 사이의 증착 온도로 처리 챔버를 가열하는 단계, 수증기를 포함하는 제1 처리 가스를 처리 챔버에 흘리는 단계, 디클로로실란(DCS)을 포함하는 제2 처리 가스를 처리 챔버에 흘리는 단계, 처리 챔버에 2 Torr 미만의 가스 압력을 설정하는 단계, 및 복수의 기판 상에 실리콘 산화물 막을 열적으로 증착하기 위해 제1 및 제2 처리 가스를 반응시키는 단계를 포함한다. 다른 실시예는, 제1 처리 가스와 제2 처리 가스를 흘리는 동안, 일산화질소(NO) 가스를 포함하는 제3 처리 가스를 처리 챔버에 흘리는 단계; 및 기판 상에 실리콘 산화질화물 막을 형성하기 위해 산화물 막을 제3 처리 가스와 반응시키는 단계를 더 포함한다.
본 명세서의 일부에 포함되고 이를 구성하는 첨부 도면은, 본 발명의 실시예를 도시하고, 위에 주어진 발명의 일반적인 설명 및 아래에 주어진 상세한 설명과 함께, 본 발명을 설명하기 위해 제공된다.
도 1은 본 발명의 실시예에 따라서 복수의 기판을 처리하도록 구성된 배치 처리 시스템의 단면도를 간략하게 도시한다.
도 2는 기판 상에 산화물 막을 증착하는 방법의 일 실시예의 처리 흐름도를 도시한다.
도 3은 기판 상에 산화질화물 막을 증착하는 방법의 일 실시예의 처리 흐름도를 도시한다.
도 4는 본 발명의 실시예에 따라서 실리콘 산화물 증착률을 DCS 흐름의 함수로서 도시한다.
도 5는 발명의 실시예에 따라서, 상이한 실리콘 산화물 막의 습식 에칭률을 N2 증착후(post-deposition) 열처리 온도의 함수로서 도시한다.
도 6은 본 발명의 실시예에 의해 형성된 실리콘 산화물과 실리콘 산화질화물 막에 대한 커패시턴스-전압 곡선을 도시한다.
본 발명의 실시예는 반도체 장치의 유전체 막을 형성하기 위한 저온 증착 처리를 제공한다. 일 실시예에서, 디클로로실란(DCS)과 수증기를 사용한 실리콘 산화물 막의 비플라즈마 CVD에 대한 방법이 제공된다. 다른 실시예에서, DCS, 수증기, 및 일산화질소(NO) 가스를 사용한 실리콘 산화질화물 막의 비플라즈마 CVD에 대한 방법이 제공된다. 본 발명의 실시예는, 기판 상의 디클로로실란(DCS)과 아산화질소(N2O)의 반응에 의존하는 산업 표준 고온 산화물(HTO) 처리보다 낮은 증착 온도를 이용하면서, 양호한 재료 및 전기적 특성으로 실리콘 이산화물과 실리콘 산화질화물 막의 높은 증착률을 달성한다.
본 발명자는, N2O 산화제를, 수증기로 대체하고, 선택적으로는 실리콘 산화질화물 막을 형성하기 위해 NO 가스로 대체하는 것은, 기준(baseline) HTO 처리와 필적할 만한 낮은 습식 에칭률을 포함하는 양호한 재료 특성을 실리콘 산화물 막에 제공하면서, 증착 온도를 100℃보다 크게, 200℃보다 크게, 또는 심지어 300℃보다 크게(예를 들어, 350℃까지) 저하시키는 것을 허용한다는 것을 인식하였다. 한정된 서멀 버짓이 기판 온도를 증가시키는 것을 허용하지 않을 수도 있고 보다 긴 처리 시간이 반도체 장치의 다량(high volume) 생산에서 비용면에서 효율적이지 않을 수도 있기 때문에, 이러한 증착 온도의 저하는, 개선된 집적 회로에 필요한 서멀 버짓의 요구되는 저하를 제공한다.
수증기 산화제의 사용은, 실리콘 산화물과 실리콘 산화질화물 막의 필적할 만한 전기적 성능을 제공하면서, 동일한 저 증착 온도에서 N2O를 사용할 때보다 더 높은 증착률을 제공한다. N2O를 이용하는 HTO 처리와는 다르게, 본 발명의 실시예는 실리콘 산화질화물 막의 N 포함을 정확하게 제어하는 메커니즘을 제공한다. 또한, 증착 온도보다 높은 온도에서의 증착후(post-deposition) 열처리는, 실리콘 산화물과 실리콘 산화질화물 막의 재료 및 전기적 특성을 더욱 향상시키기 위해 선택적으로 수행될 수도 있다.
이론으로 제한되고자 함은 아니지만, 본 발명자는, 기판 표면에서 배타적으로 발생하는 것으로 생각되는 DCS와 N2O 간의 반응과는 다르게, DCS와 수증기 간의 기상 반응은, 기판 표면과의 상호작용 이전에, DCS 크래킹(cracking) 또는 중합체의 형성으로 인한 기판 표면의 DCS 종(species)의 향상된 핵형성을 가능하게 한다고 생각한다.
도 1은 처리 챔버(12) 내에 복수의 기판(20)이 위치 결정되어 있는 처리 챔버(12)를 갖는 배치 처리 시스템(10)의 단면도를 도시한다. 당업자가 알고 있을 바와 같이, 배치 처리 시스템(10)이 도시되고 설명되었지만, 본 방법은 한 번에 하나의 기판이 처리되는 단일 기판 처리에 또한 적용 가능하다. 도 2와 도 3은, 각각, 도 1에서 기판(20) 상의 실리콘 산화물 및 실리콘 산화질화물 막의 형성에 대한 처리 흐름도를 도시한다.
도 1과 2 모두를 참조하여, 방법(200)의 일 실시예에서, 202에서, 복수의 기판(20)이 처리 챔버(12)에서 위치 결정된다. 기판(20)은 회전 가능한 기판 홀더(13)에 위치 결정될 수도 있다. 당업자가 알고 있을 바와 같이, 기판(20)을 배치 처리 시스템(10) 내에 위치 결정하거나 적재하는 단계는, 배기 포트(15)를 통하여 처리 챔버(12)를 배기하는 단계와, 기판(20)의 삽입 후에 진공 포트(14)를 통하여 처리 챔버(12)를 소개(evacuating)하는 단계를 포함할 수도 있다. 추가로, 기판(20)을 배치 처리 시스템(10) 내에 위치 결정하는 단계는, 처리 챔버(12) 내의 유기 오염물의 농도를 희석하거나 감소시키기 위해, 처리 챔버(12)를 질소와 같은 비활성 가스로 퍼징하는 단계를 또한 포함할 수도 있다.
204에서, 처리 챔버(12)는 400℃와 650℃ 미만 사이의 증착 온도로 가열된다. 처리 챔버(12)를 가열하는 동안, 가열 속도는 분당 섭씨 수 도에서부터 분당 섭씨 100도 이상까지 일 수도 있다.
가열 후에, 206에서, 수증기를 포함하는 제1 처리 가스가 유입 포트(16)를 통해 처리 챔버(12)에 도입된다. 제1 처리 가스는 수증기를 포함하나, 질화 가스를 포함하지 않는다. 208에서, DCS와 선택적으로 희석 가스를 포함하는 제2 처리 가스가 유입 포트(17)를 통해 처리 챔버(12)에 도입된다. 210에서, 2 Torr 밑의 처리 가스 압력이 처리 챔버에 설정된다. 212에서, 수증기로부터의 산소가 DCS와 기상에서 반응하여, 실리콘 산화물 막을 각각의 기판(20) 상에 증착한다.
도 1과 3 모두를 참조하여, 방법(300)의 다른 실시예에서, 302에서, 복수의 기판(20)이 처리 챔버(12)에 위치 결정된다. 기판(20)은 회전 가능한 기판 홀더(13)에 위치 결정될 수도 있다. 당업자가 알고 있을 바와 같이, 기판(20)을 배치 처리 시스템(10) 내에 위치 결정하거나 적재하는 단계는, 배기 포트(15)를 통하여 처리 챔버(12)를 배기시키는 단계와, 기판(20)의 삽입 후에 진공 포트(14)를 통하여 처리 챔버(12)를 소개하는 단계를 포함한다. 추가로, 기판(20)을 배치 처리 시스템(10) 내에 위치 결정하는 단계는, 처리 챔버(12) 내의 유기 오염물의 농도를 희석하거나 감소시키기 위해, 처리 챔버(12)를 질소와 같은 비활성 가스로 퍼징하는 단계를 또한 포함할 수도 있다.
304에서, 다음, 처리 챔버(12)는 400℃와 650℃ 미만 사이의 처리 온도로 가열된다. 처리 챔버(12)를 가열하는 동안, 가열 속도는 분당 섭씨 수 도에서부터 분당 섭씨 100도 이상까지 일 수도 있다.
가열 후에, 306에서, 수증기를 포함하는 제1 처리 가스가 유입 포트(16)를 통해 처리 챔버(12)에 도입된다. 308에서, DCS와 선택적으로 희석 가스를 포함하는 제2 처리 가스가 유입 포트(17)를 통해서 처리 챔버(12)에 도입된다. 310에서, NO와 선택적으로 희석 가스를 포함하는 제3 처리 가스가 처리 챔버에 도입된다. 312에서, 2 Torr 밑의 처리 가스 압력이 처리 챔버에 설정된다. 314에서, 수증기로부터의 산소가 DCS와 기상에서 반응하고, NO가 반응하여 NO로부터의 질소가 실리콘 산화물 막에 포함되어, 이것에 의하여 실리콘 산화질화물 막을 각각의 기판(20) 상에 형성한다.
처리 분위기를 생성하는 제1, 제2, 및 선택적으로 제3 처리 가스의 흐름과 함께, 처리 분위기는 처리 압력을 갖는다. 본 발명자는, 양호한 균일성과 반도체 장치를 위한 요구되는 재료 및 전기적 특성을 갖는 실리콘 산화물과 실리콘 산화질화물 막을 증착하기 위하여, 처리 압력은 2 토르 밑일 수도 있다는 것을 인식하였다. 일 실시예에 따라서, 처리 압력은 100 mTorr와 2 Torr 미만 사이, 100 mTorr와 1 Torr 사이, 1 Torr와 2 Torr 미만 사이, 1 Torr와 1.5 Torr 사이, 또는 1.5 Torr와 2 Torr 미만 사이 일 수 있다. 본 발명의 실시예에 따라서, 증착 처리는 400℃와 650℃ 미만 사이, 400℃와 450℃ 사이, 400℃와 500℃ 사이, 500℃와 550℃ 사이, 500℃와 600℃ 사이, 550℃와 600℃ 사이, 550℃와 650℃ 미만 사이, 또는 600℃와 650℃ 미만 사이의 증착 온도를 이용할 수 있다. 일 실시예에서, 처리 압력은, 실리콘 산화물 또는 실리콘 산화질화물 막의 증착률을 제어하기 위해 처리 온도와 함께 설정된다. 당업자는, 처리 압력과 가스의 유량(flow rate)은 막 증착 동안 언제든지 변할 수도 있음을 알 것이다. 따라서, "설정(set)"이란 용어는, 처리 압력, 가스의 유량, 또는 처리 온도을 설정하는 단일 행위에 국한되지 않는다. 오히려, "설정"은, 실리콘 산화물 막 또는 실리콘 산화질화물 막을 증착하는 단계가, 내부 제어로부터의, 산업으로부터의, 또는 고객에 의해 결정되는 임의의 품질 표준에 따르도록, 임의의 수의 설정 또는 조절을 관한 것일 수도 있다. 제1, 제2, 그리고 선택적인 제3 처리 가스의 유량은 10 sccm(standard cubic centimeters per minute)내지 20 slm(standard liters per minute), NO 질화 가스에 대하여 1 내지 5000 sccm, 그리고 희석 가스에 대하여 100 sccm 내지 20 slm의 범위에 있을 수도 있다.
본 발명의 일 실시예에 따라서, 수증기를 포함하는 제1 처리 가스를 처리 챔버(12)에 흘리는 단계 이전에, 도 1에 도시된 바와 같이, 수소 가스(H2)와 산소 가스(O2)의 연소에 의해, 수증기가 처리 챔버(12) 외부에서 발생된다. 제1 습식 처리 가스를 발생시키는 단계의 일례는, 도 1에 도시된 것처럼, 일본, 야마나시, 니라사키의 Tokyo Electron Ltd.에 의해 개발된 고희석 발열성 토치(high-dilution pyrogenic torch)(18)를 이용한다. 고희석 발열성 토치(18)는 미소 흐름의 수소 가스와 산소 가스를 연소시킨다. 따라서 발열성 토치(18)는 수증기, 즉, 증기 형태의 수증기를 처리 챔버(12)의 외부에 발생시킨다.
본 발명의 다른 실시예에서, 희석 가스는 처리 분위기에서 제1 및 제2 처리 가스를 희석하는데 사용된다. 제1 및 제2 처리 가스의 농도에 대한 희석 가스의 농도의 비는, 실리콘 산화물 또는 실리콘 산화질화물 막의 증착률에 영향을 미칠 수도 있다. 따라서, 희석 가스는 실리콘 산화물 막 성장률과 실리콘 산화질화물 막 성장률을 제어하는데 사용될 수도 있다. 일 실시예에서, 도 1에 도시된 바와 같이, 희석 가스는 질소(N2)를 포함한다. 그러나, 다른 비반응성 가스, 예를 들어 아르곤(Ar)이 사용될 수도 있다. 도 1을 계속 참조하여, 당업자는, 처리 챔버에 NO 가스를 흘리지 않고, 질소 희석 가스가 수증기를 포함하는 제1 처리 가스를 희석하는데 사용될 수도 있다는 것을 용이하게 인식할 것이다.
본 방법의 다른 실시예에서, 실리콘 산화물 막 또는 실리콘 산화질화물 막이 각각의 기판(20) 상에 증착되면, 그 위에 막을 갖는 기판(20)은 증착 온도보다 높은 열처리 온도에서 열처리 된다. 종래 기술에서 알려진 바와 같이, 기판(20) 상의 실리콘 이산화물 또는 실리콘 산화질화물 막을 열처리하는 단계는, 막의 특성, 특히 막의 전기적 특성과, 따라서 막을 포함하는 장치의 전기적 특성을 바꿀 수도 있다. 본 발명의 실시예에 따라, 열처리 동안, 처리 분위기와 처리 압력이 바뀔 수도 있다. 예를 들어, 처리 챔버(12)에서 막 증착 후, 열처리 전에, 처리 챔버(12)는 제1, 제2, 그리고 선택적인 제3 처리 가스와, 만일 있다면 희석 가스를 포함하는 처리 분위기를 제거하기 위해, 1회 이상 진공 퍼지될 수도 있다. 처리 분위기가 퍼지되면, 열처리 가스가 도입될 수도 있고, 열처리 온도와 열처리 압력이 처리 챔버(12) 내에 설정될 수도 있고, 이것은 증착 압력으로부터 압력을 상승 또는 감소시킬 것을 요할 수도 있다. 또는, 그 위에 실리콘 산화물 또는 실리콘 산화질화물 막을 갖는 기판(20)은 열처리를 위한 상이한 처리 시스템으로 전달될 수도 있다. 열처리 압력은 증착 압력과 유사한 범위를 가질 수도 있다. 일 실시예에 따라서, 열처리 가스는, 질소(N2), 일산화질소(NO), 아산화질소(N2O), 산소(O2), 또는 물(H2O), 또는 그 조합들 중 적어도 하나를 포함한다.
도 4는 본 발명의 실시예에 따라 실리콘 산화물 증착률을 DCS 흐름의 함수로서 도시한다. 막 증착 조건은, 발열성 토치(18)인 수증기 발생기를 통하여 100 sccm의 H2 가스 유량과 100 sccm의 O2 가스 유량을 포함하여, 수증기를 발생시킨다. 200 sccm의 N2 희석 가스 유량이 수증기를 포함하는 제1 처리 가스를 희석하기 위해 사용되었다. 실리콘 산화물 막의 증착 동안 0.2 Torr의 처리 압력이 설정되었고, 증착 온도는 450℃에서 600℃까지 변화되었다. 실리콘 산화물 막 두께는 약 100Å 미만이었다. DCS 가스 유량은 100 sccm에서 20 sccm까지 변하였다. 도 4는, DCS 유량의 증가가, 450℃와 500℃의 증착 온도에서 약 3-4Å/min부터 약 9-10Å/min까지이고, 600℃에서 6Å/min부터 약 11Å/min까지인 증가된 실리콘 산화물 증착률을 야기하였음을 나타낸다. 또한, 비교를 위해, 도 4는, 실리콘 산화물 막의 증착률이 기판 표면에서 DCS를 N2O와 반응시키는 종래의 HTO 처리를 사용하여 810℃의 증착 온도에서 단지 약 2Å/min이고, 증착률은 DCS 유량에 실질적으로 독립적이라는 것을 나타낸다.
도 5는 본 발명의 실시예에 따라 상이한 실리콘 산화물 막의 습식 에칭률을 N2 증착후 열처리 온도의 함수로써 도시한다. 습식 에칭률은 실리콘 산화물 막의 재료 품질의 척도로, 고품질 실리콘 산화물 막은 저품질 실리콘 산화물 막보다 느리게 습식 에칭한다. 증착된 실리콘 산화물 막은 그 후에 1시간 동안 상이한 온도의 (증착)처리 챔버에서 N2 가스의 존재 하에 0.5 Torr의 처리 압력에서 열처리 되었다. 열처리 후에, 실리콘 이산화물 막은 희석된 HF(200:1, H2O:HF)에서 2.5분 동안 습식 에칭 처리를 받았고, 에칭률은 50 sccm의 DCS 가스 유량과 100 sccm의 N2O 가스 유량을 사용하여 800℃에서 증착된 기준 HTO 실리콘 산화물 막의 에칭률에 정규화되었다. 도 5는, 증착된(as-deposited) 실리콘 산화물 막에 대하여, 더 높은 증착 온도 또는 더 높은 DCS 가스 흐름이 더 높은 습식 에칭률을 야기하였음을 나타낸다. 또한, 증착후 열처리 온도가 높을수록, 10 sccm의 DCS 가스 유량을 사용하여 증착된 실리콘 산화물 막의 습식 에칭률은 더 낮아졌다. 일례에서, 600℃의 기판 온도에서 낮은 DCS 가스 유량(10 sccm)을 사용하여 증착되고, 그 뒤에 N2 가스에서 800℃의 기판 온도로 열처리 된 실리콘 산화물 막의 습식 에칭률은, 기준 HTO 실리콘 산화물 막의 습식 에칭률보다 작았다.
도 6은 본 발명의 실시예에 의해 형성된 실리콘 산화물 및 실리콘 산화질화물 막에 대한 커패시턴스-전압 곡선을 도시한다. 실리콘 산화물 막은 DCS와 수증기를 사용하여 증착되었고, 실리콘 산화질화물 막은 50 sccm 및 100 sccm의 NO 가스를 DCS와 수증기 처리 가스에 추가함으로써 증착되었다. 표 1의 결과와 도 6은, NO 가스의 추가가, Tox, 증착률, 등가 산화물 두께(EOT), 그리고 유전 상수(K)를 증가시킨다는 것을 도시한다. 표 1에 나타나지 않지만, 또한, 0 내지 50 sccm의 NO 가스 흐름에 대하여, 계면 트랩 밀도(Dit)는 기준 실리콘 산화물의 계면 트랩 밀도보다 대략 100배 감소, 즉, 대략 E12eV-1cm-2에서 대략 E10eV-1cm-2으로 저하될 수도 있다는 것이 예측된다. 이러한 Dit 감소는 실리콘 산화질화물 막에 전하 트랩으로부터 비교적 자유로운 계면을 제공할 것이고, 그것으로서 전자/홀 이동도 및 피크 채널 구동 전류에서 큰 개선이 있는 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET) 장치의 게이트 유전체로서 유리하게 사용될 수도 있다. 또한, NO 가스 유량이 50 sccm보다 크게 증가되고, 실리콘 산화질화물 막의 N 농도가 더욱 증가함에 따라, 실리콘 산화질화물 막(예컨대, 플래시 터널 게이트와 같은 비휘발성 메모리(NVM) 적용)을 포함하는 반도체 장치의 신뢰성이 Dit 값의 증가에도 불구하고 향상될 것으로 예측된다. 증가된 Dit 값은 계면 전하 트래핑에 대한 전위 증가와 MOSFET 임계 전압(Vth)에서의 가능한 시프트를 나타내지만, NVM 적용에 대한 신뢰성의 향상은 Dit 증가로 인한 어떠한 단점보다 훨씬 더 중요하다고 생각된다. 증가된 N 농도는 막 내에 느슨한(단글링(dangling)) 원자 결합을 더욱 단단히 묶는데 도움이 된다고 생각된다. 또한, 증가된 N 농도는, 막의 밀도를 증가시키고, 반도체 처리 동안 흔히 사용되는 상승된 전위에서의 전자 충격에 대한 증가된 저항을 야기한다. 결국, 상기 결과는, 종래 기술의 DCS와 N2O의 반응을 사용하는 경우와 달리, DCS와 H2O를 사용한 막 증착 동안 NO를 추가하는 단계가, 반도체 장치의 실리콘 산화질화물 막을 형성하기 위해 N을 실리콘 산화물 막에 포함시키는데 효과적이다라는 것을 나타낸다.
Figure pct00001
본 발명은 그 하나 이상의 실시예의 설명에 의해 설명되었고, 실시예는 상세히 설명되었으나, 그것은 첨부된 청구항의 범위를 그러한 세부 사항에 한정하거나 어떤 방식으로 제한하는 것으로 의도되지 않았다. 추가적인 이점과 수정이 당업자에게 용이하게 나타날 것이다. 그러므로 본 발명은 특정한 세부 사항, 도시되고 설명된 대표 장치와 방법과 예시적인 예에 한정되지 않는다. 따라서, 일반적인 발명의 개념의 범위를 벗어나지 않고 그러한 세부 사항으로부터 변형이 가해질 수도 있다.

Claims (18)

  1. 기판 상에 유전체 막을 형성하는 방법으로서,
    처리 챔버에 복수의 기판들을 위치 결정하는 단계;
    상기 처리 챔버를 400℃와 650℃ 미만 사이의 증착 온도로 가열하는 단계;
    수증기를 포함하는 제1 처리 가스를 상기 처리 챔버에 흘리는 단계;
    디클로로실란(DCS)을 포함하는 제2 처리 가스를 상기 처리 챔버에 흘리는 단계;
    상기 처리 챔버에 가스 압력을 2 Torr 밑으로 설정하는 단계; 및
    상기 복수의 기판들 상에 실리콘 산화물 막을 열적으로 증착하기 위해 상기 제1 및 제2 처리 가스들을 반응시키는 단계
    를 포함하는 유전체 막 형성 방법.
  2. 제1항에 있어서, 수소(H2) 가스와 산소(O2) 가스를 연소시켜 상기 처리 챔버의 외부에서 상기 수증기를 발생시키는 단계를 더 포함하는 유전체 막 형성 방법.
  3. 제1항에 있어서, 상기 실리콘 산화물 막을 형성한 후에, 그 위에 상기 실리콘 산화물 막을 갖는 상기 기판을, 질소(N2), 일산화질소(NO), 아산화질소(N2O), 산소(O2), 또는 물(H2O), 또는 그 조합들 중 적어도 하나를 포함하는 열처리 가스에서 열처리하는 단계를 더 포함하는 유전체 막 형성 방법.
  4. 제3항에 있어서, 상기 열처리하는 단계는 상기 증착 온도보다 높은 온도에서 수행되는 것인 유전체 막 형성 방법.
  5. 제1항에 있어서, 상기 실리콘 산화물 막의 성장률을 제어하기 위해, 상기 제1 처리 가스와 상기 제2 처리 가스를 흘리는 동안 제1 희석 가스를 상기 처리 챔버에 흘리는 단계를 더 포함하는 유전체 막 형성 방법.
  6. 제1항에 있어서,
    상기 제1 처리 가스와 상기 제2 처리 가스를 흘리는 동안 일산화질소(NO) 가스를 포함하는 제3 처리 가스를 상기 처리 챔버에 흘리는 단계; 및
    상기 기판 상에 실리콘 산화질화물 막을 형성하기 위해 상기 실리콘 산화물 막을 상기 제3 처리 가스와 반응시키는 단계
    를 더 포함하는 유전체 막 형성 방법.
  7. 제6항에 있어서, NO 가스를 포함하는 상기 제3 처리 가스는 상기 처리 챔버 외부에서 상기 제1 처리 가스에 추가되는 것인 유전체 막 형성 방법.
  8. 제6항에 있어서,
    상기 실리콘 산화물 막의 성장률을 제어하기 위해, 상기 제1 처리 가스와 상기 제2 처리 가스를 흘리는 동안 제1 희석 가스를 상기 처리 챔버에 흘리는 단계; 및
    상기 실리콘 산화물 막에의 질소 포함을 제어하기 위해, 상기 제3 처리 가스를 흘리는 동안 제2 희석 가스를 상기 처리 챔버에 흘리는 단계
    를 더 포함하는 유전체 막 형성 방법.
  9. 제6항에 있어서, 상기 실리콘 산화질화물 막을 형성한 후에, 그 위에 상기 실리콘 산화질화물 막을 갖는 상기 기판을, 질소(N2), 일산화질소(NO), 아산화질소(N2O), 산소(O2), 또는 물(H2O), 또는 그 조합들 중 적어도 하나를 포함하는 열처리 가스에서 열처리하는 단계를 더 포함하는 유전체 막 형성 방법.
  10. 제9항에 있어서, 상기 열처리하는 단계는 상기 증착 온도보다 높은 온도에서 수행되는 것인 유전체 막 형성 방법.
  11. 제6항에 있어서, 상기 실리콘 산화질화물 막들은 상기 실리콘 산화물 막보다 낮은 계면 트랩 밀도(Dit)를 갖는 것인 유전체 막 형성 방법.
  12. 기판 상에 유전체 막을 형성하는 방법으로서,
    처리 챔버에 복수의 기판들을 위치 결정하는 단계;
    상기 처리 챔버를 400℃와 650℃ 미만 사이의 증착 온도로 가열하는 단계;
    수소 가스와 산소 가스를 연소시켜 상기 처리 챔버 외부에서 생성되는 수증기를 포함하는 제1 처리 가스를 상기 처리 챔버에 흘리는 단계;
    디클로로실란(DCS)을 포함하는 제2 처리 가스를 상기 처리 챔버에 흘리는 단계;
    상기 처리 챔버에 2 Torr 미만의 가스 압력을 설정하는 단계;
    상기 복수의 기판들 상에 실리콘 산화물 막을 열적으로 증착하기 위해 상기 제1 및 제2 처리 가스들을 반응시키는 단계; 및
    상기 실리콘 산화물 막을 형성한 후에, 그 위에 상기 실리콘 산화물 막을 갖는 상기 기판을, 질소(N2), 일산화질소(NO), 아산화질소(N2O), 산소(O2), 또는 물(H2O), 또는 그 조합들 중 적어도 하나를 포함하는 열처리 가스에서 열처리하는 단계로서, 상기 열처리는 상기 증착 온도보다 높은 온도에서 수행되는 것인 상기 열처리하는 단계
    를 포함하는 유전체 막 형성 방법.
  13. 제12항에 있어서, 상기 실리콘 산화물 막의 성장률을 제어하기 위해, 상기 제1 처리 가스와 상기 제2 처리 가스를 흘리는 동안 제1 희석 가스를 상기 처리 챔버에 흘리는 단계를 더 포함하는 유전체 막 형성 방법.
  14. 기판 상에 유전체 막을 형성하는 방법으로서,
    처리 챔버에 복수의 기판들을 위치 결정하는 단계;
    상기 처리 챔버를 400℃와 650℃ 미만 사이의 증착 온도로 가열하는 단계;
    수증기를 포함하는 제1 처리 가스를 상기 처리 챔버에 흘리는 단계;
    디클로로실란(DCS)을 포함하는 제2 처리 가스를 상기 처리 챔버에 흘리는 단계;
    일산화질소(NO)를 포함하는 제3 처리 가스를 상기 처리 챔버에 흘리는 단계;
    상기 처리 챔버에 2 Torr 미만의 가스 압력을 설정하는 단계;
    상기 복수의 기판들 상에 실리콘 산화질화물 막을 열적으로 증착하기 위해 상기 제1, 제2, 및 제3 처리 가스들을 반응시키는 단계; 및
    상기 실리콘 산화질화물 막을 형성한 후에, 그 위에 상기 실리콘 산화질화물 막을 갖는 상기 기판을, 질소(N2), 일산화질소(NO), 아산화질소(N2O), 산소(O2), 또는 물(H2O), 또는 그 조합들 중 적어도 하나를 포함하는 열처리 가스에서 열처리하는 단계
    를 포함하는 유전체 막 형성 방법.
  15. 제14항에 있어서, 상기 열처리는 상기 증착 온도보다 높은 온도에서 수행되는 것인 유전체 막 형성 방법.
  16. 제14항에 있어서, 수소(H2) 가스와 산소(O2) 가스를 연소시켜 상기 처리 챔버 외부에서 상기 수증기를 생성하는 단계를 더 포함하는 유전체 막 형성 방법.
  17. 제14항에 있어서,
    상기 실리콘 산화물 막의 성장률을 제어하기 위해 상기 제1 처리 가스와 상기 제2 처리 가스를 흘리는 동안 제1 희석 가스를 상기 처리 챔버에 흘리는 단계; 및
    상기 실리콘 산화물 막에의 질소 포함을 제어하기 위해 상기 제3 처리 가스를 흘리는 동안 제2 희석 가스를 상기 처리 챔버에 흘리는 단계
    를 더 포함하는 유전체 막 형성 방법.
  18. 제14항에 있어서, NO 가스를 포함하는 상기 제3 처리 가스는 상기 처리 챔버 외부에서 상기 제1 처리 가스에 추가되는 것인 유전체 막 형성 방법.
KR1020137011071A 2010-09-30 2011-09-27 화학 기상 증착에 의한 저온 유전체 막 형성 KR20130140696A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/894,513 2010-09-30
US12/894,513 US7994070B1 (en) 2010-09-30 2010-09-30 Low-temperature dielectric film formation by chemical vapor deposition
PCT/US2011/053503 WO2012044622A2 (en) 2010-09-30 2011-09-27 Low-temperature dielectric film formation by chemical vapor deposition

Publications (1)

Publication Number Publication Date
KR20130140696A true KR20130140696A (ko) 2013-12-24

Family

ID=44350747

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137011071A KR20130140696A (ko) 2010-09-30 2011-09-27 화학 기상 증착에 의한 저온 유전체 막 형성

Country Status (5)

Country Link
US (1) US7994070B1 (ko)
JP (1) JP2013545275A (ko)
KR (1) KR20130140696A (ko)
TW (1) TWI464802B (ko)
WO (1) WO2012044622A2 (ko)

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140216498A1 (en) * 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) * 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085428A (ja) * 1999-09-14 2001-03-30 Hitachi Kokusai Electric Inc 基板処理方法
TW578214B (en) * 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
JP2001338923A (ja) * 2000-05-29 2001-12-07 Tokyo Electron Ltd 酸窒化膜形成方法及び酸窒化膜形成装置
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP2002289846A (ja) * 2001-03-28 2002-10-04 Sony Corp 半導体装置およびその製造方法
US20020182342A1 (en) * 2001-04-13 2002-12-05 Luc Ouellet Optical quality silica films
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100471575B1 (ko) 2002-12-26 2005-03-10 주식회사 하이닉스반도체 플래시 메모리 소자의 제조방법
KR100482751B1 (ko) 2002-12-27 2005-04-14 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
JP2005203730A (ja) * 2003-12-18 2005-07-28 Seiko Epson Corp 絶縁膜、半導体素子、電子デバイスおよび電子機器
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR20070099913A (ko) 2006-04-06 2007-10-10 주성엔지니어링(주) 산화막 형성 방법 및 산화막 증착 장치
JP2008159639A (ja) * 2006-12-20 2008-07-10 Seiko Epson Corp ゲート絶縁膜、ゲート絶縁膜の評価方法、半導体素子、電子デバイスおよび電子機器
JP5384852B2 (ja) 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置

Also Published As

Publication number Publication date
US7994070B1 (en) 2011-08-09
WO2012044622A2 (en) 2012-04-05
WO2012044622A3 (en) 2013-10-31
TWI464802B (zh) 2014-12-11
JP2013545275A (ja) 2013-12-19
TW201220394A (en) 2012-05-16

Similar Documents

Publication Publication Date Title
US7994070B1 (en) Low-temperature dielectric film formation by chemical vapor deposition
KR100877100B1 (ko) 비휘발성 메모리 소자 제조 방법
KR101164688B1 (ko) 게이트 스택 측벽 스페이서들을 제조하기 위한 방법
KR100961805B1 (ko) 산화규소 함유 필름의 형성 방법
US8637921B2 (en) Nitridation oxidation of tunneling layer for improved SONOS speed and retention
US7498270B2 (en) Method of forming a silicon oxynitride film with tensile stress
US8524589B2 (en) Plasma treatment of silicon nitride and silicon oxynitride
US8071452B2 (en) Atomic layer deposition of hafnium lanthanum oxides
JP2007515786A (ja) 高誘電率誘電体膜の窒化方法
KR20090094000A (ko) 게이트 스택 구조물에 대한 연속 처리 클러스터링 방법
JP2009158927A (ja) Ald法又はcvd法による金属含有膜の調製
US20150140838A1 (en) Two Step Deposition of High-k Gate Dielectric Materials
KR101078498B1 (ko) 절연체 박막의 제조 방법
JP2002217317A (ja) 不揮発性半導体記憶装置およびその製造方法
US20080128833A1 (en) High-Dielectric-Constant Film, Field-Effect Transistor and Semiconductor Integrated Circuit Device Using the Same, and Method for Producing High-Dielectric-Constant Film
JP2008235397A (ja) 半導体装置の製造方法
US7659214B2 (en) Method for growing an oxynitride film on a substrate
JP2008258614A (ja) 基板上への酸窒化物薄膜の成長方法
JP4933256B2 (ja) 半導体微細構造物を形成する方法
JP2006190801A (ja) 成膜方法及び半導体装置の製造方法
KR100650758B1 (ko) 반도체 소자의 게이트 형성방법
KR100650757B1 (ko) 반도체 소자의 게이트 형성방법
KR100650756B1 (ko) 반도체 소자의 게이트 형성방법
KR20070027274A (ko) 플래쉬 메모리 소자의 터널 산화막 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application