KR20160127171A - 디스플레이 디바이스를 위한 배리어 물질 - Google Patents

디스플레이 디바이스를 위한 배리어 물질 Download PDF

Info

Publication number
KR20160127171A
KR20160127171A KR1020167029844A KR20167029844A KR20160127171A KR 20160127171 A KR20160127171 A KR 20160127171A KR 1020167029844 A KR1020167029844 A KR 1020167029844A KR 20167029844 A KR20167029844 A KR 20167029844A KR 20160127171 A KR20160127171 A KR 20160127171A
Authority
KR
South Korea
Prior art keywords
group
silicon
layer
linear
aryl
Prior art date
Application number
KR1020167029844A
Other languages
English (en)
Other versions
KR102029286B1 (ko
Inventor
로버트 고르돈 리지웨이
앤드류 데이비드 존슨
안누파마 말리카르주난
레이몬드 니콜라스 브르티스
신지안 레이
마크 레오나르드 오'네일
만차오 시아오
지안헹 리
마이클 티. 사보
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20160127171A publication Critical patent/KR20160127171A/ko
Application granted granted Critical
Publication of KR102029286B1 publication Critical patent/KR102029286B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • H01L29/78693Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate the semiconducting oxide being amorphous
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • H01L29/247Amorphous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors

Abstract

하나 이상의 규소-함유 층 및 금속 산화물 층을 포함하는 장치가 본원에 개시된다. 또한, 예를 들어, 디스플레이 디바이스에서 부동태화 층으로서 사용되는 하나 이상의 규소-함유 층을 형성시키기 위한 방법이 본원에 제공된다. 한 가지 특정 양태에서, 장치는 투명한 금속 산화물 층, 산화규소 층 및 질화규소 층을 포함한다. 이러한 또는 다른 양태에서, 장치는 350℃ 또는 그 미만의 온도에서 증착된다. 본원에 기재된 규소-함유 층은 다음 특성들 중 하나 이상을 포함한다: 약 1.9g/cm3 또는 그 초과의 밀도; 약 4×1022cm-3 또는 그 미만의 수소 함량; 및 UV-가시광선 분광기에 의해 측정하는 경우, 400 내지 700나노미터에서 약 90% 또는 그 초과의 투과율.

Description

디스플레이 디바이스를 위한 배리어 물질{BARRIER MATERIALS FOR DISPLAY DEVICES}
관련 출원에 대한 상호 참조
본 특허 출원은 2012년 3월 9일자 출원된 선행의 미국 가특허 출원 일련 번호 제61/609,045호의 우선권을 주장한다.
디스플레이 디바이스는 광범위한 전자 적용, 예컨대, 평면 화면 텔레비젼(TV), 평면 모니터, 휴대폰, MP3 플레이어, 전자책 또는 이북 리더(eBook reader), 및 개인 휴대용 정보 단말기(personal digital assistant: PDA) 등을 위해 생산되고 있다. 디스플레이 디바이스는, 두 기판 사이의 갭을 충전시키고, 유전장의 세기를 제어하는 이방성 유전 상수를 지니는 액정에 전기장을 가함으로써 요망되는 영상을 생성시키기 위해 고안되었다. 기판을 통해 투과되는 광의 양을 조절함으로써, 광 및 영상 강도, 화질, 및/또는 전력 소비가 효율적으로 제어될 수 있다.
평판 디스플레이용 박막 트랜지스터(thin film transistor: TFT)는 현재 사용되는 기판 또는 유리보다 더 밝고 비용이 덜 드는 대안적인 기판이 사용될 수 있도록 더 낮은 가공 온도(예, 350℃ 또는 그 미만)가 유리하다. 다양한 디스플레이 디바이스, 예컨대, 능동 매트릭스형 액정 디스플레이(active matrix liquid crystal display: AMLCD) 또는 능동 매트릭스형 유기 발광 다이오드(active matrix organic light emitting diode: AMOLED)는 터치 스크린 패널을 사용하는 디스플레이 디바이스를 위한 광원으로서 사용될 수 있다. 비정질 산화물 반도체(Amorphous oxide semiconductor: AOS), 투명한 비정질 산화물 반도체(transparent amorphous oxide semiconductor: TAOS) 또는 금속 산화물 물질은 보다 낮은 온도에서 가공가능한 장치의 전기적 성능을 개선시키는 유리보다 높은 성능을 제공하는 TFT용 대체물로서 급부상하고 있다. TFT용 대체물로서 여겨지는 AOS, 투명한 비정질 산화물 반도체(TAOS) 또는 금속 산화물 물질의 예에는 인듐 갈륨 아연 산화물(IGZO), a-IGZO(비정질 갈륨 인듐 아연 산화물), 인듐 주석 아연 산화물(ITZO), 알루미늄 인듐 산화물(AlInOx), 아연 주석 산화물(ZTO), 아연 옥시니트라이드(ZnON), 마그네슘 아연 산화물, 산화아연(ZnO) 및 이들의 변형예가 포함된다. 통상의 물질과 비교되는 이들의 이점에도 불구하고, 이러한 물질은 약 350℃ 또는 그 미만의 온도 가공 제한치를 지닌다. 또한, 이러한 필름은 약 200℃로 이들의 온도 가공 제한치를 저하시키는 플라스틱 기판 상에 증착될 수 있다. 추가로, 특정 AOS, TAOS, 또는 금속 산화물 물질은 투명한 비정질 산화물 반도체(TAOS) 또는 금속 산화물 물질과 반응함으로써 인접한 부동태화(passivation) 층, 게이트 절연 층, 또는 이 둘 모두에서 수소 원자의 존재에 의해 손상되어 누전 또는 다른 유형의 디바이스 파괴를 초래할 수 있다.
참조 문헌["Influence of Passivation Layers on Characteristics of a-InGaZnO Thin-Film Transistors", Liu et al., Electron Device Letters, IEEE, Vol. 32(2), (20110, pp. 161-63 ("Liu et al.")]에서는 a-InGaZnO TFT의 문턱 전압(Vt) 상에서 산화규소와 질화규소로 이루어진 이중 부동태화 층의 증착 조건의 영향이 연구되었다. Liu 등이 사용한 시험 구조물은 게이트 전극으로서 역할을 하는 실리콘 기판을 지니는 p-형 규소 웨이퍼, 게이트 절연체 층으로서 작용하는 200나노미터(nm) 두께의 열 성장 이산화규소 층, 50nm 두께의 a-IGZO 채널 층에 인접한 45nm 두께 공급원/유도(Al) 전극으로 이루어졌다. Al 전극 및 a-IGZO 층 위에는 30nm의 산화규소 층과 180nm 두께의 질화규소 층으로 이루어진 이중 부동태화 층이 덮여있었다. 산화규소와 질화규소 필름은 각각 200℃에서 SiH4/N2O/N2을 사용하여 그리고 250℃에서 SiH4/NH3/N2를 사용하여 플라즈마 강화 화학적 기상 증착(plasma enhanced chemical vapor deposition: PECVD)에 의해 증착되었다. TFT의 문턱 전압(VT)은 상기 부동태화 층에 의해 유도된 기계적 응력의 결과로 현저히 달라진다. 부동태화 공정 동안 질화규소 상부 층의 증착 파라미터를 조절함으로써, TFT의 성능이 조정될 수 있다. 이중 부동태화 후에 최적화된 a-InGaZnO TFT는 다음 특징을 나타냈다: 11.35cm2/V·s의 전계-효과 이동도, 2.86V의 문턱 전압, 0.5V의 문턱전압이하 스윙, 및 108의 점멸비.
참조 문헌["Impact of Hydrogenation of ZnO TFTs by Plasma-Deposited Silicon Nitride Gate Dielectric" Remashan et al., IEEE Transactions on Electronic Devices, Vol. 55, No. 10 (Oct. 2008), pp. 2736-43]에는 하단 게이트 형태를 지니는 산화아연(ZnO) TFT 상에 게이트 유전 층으로서 사용하기 위한 가변 굴절률을 지니는 질화규소 층을 PECVD에 의해 증착시키는 효과가 개시되어 있다. 저자는 수소가 ZnO 물질에서 결함 부동태화제 및 얕은 n-형 도펀트로서 작용하기 때문에 수소화가 ZnO TFT의 성능을 개선시킬 수 있는 방법 중 하나라고 명시하였다. Remashan 등에서는 4개의 질화규소 필름이 650mTorr의 압력, 300℃의 온도, 및 30W의 전력에서 그러나 암모니아 및 질소에 대한 실란의 상이한 몰비를 이용하여 PECVD를 통해 증착되어 상이한 굴절률(예, 2.39, 2.26, 1.92, 및 1.80) 및 유전 상수(7.9, 8.4, 6.7, 및 6.1)를 지니는 질화규소 필름을 제공하였다. 저자는 모든 TFT 중에서, 더 높은 굴절률의 질화규소 필름 또는 SiN_2.39를 지니는 디바이스가 전계-효과 이동도, 문턱전압이하 기울기, 및 최대 계면 상태 밀도 면에서 가장 우수한 성능을 나타냈음을 발견하였다. 이차 이온 질량 분광기(secondary ion mass spectroscopy: SIMS) 데이터의 분석은 SiN_2.39를 사용하는 TFT 구조물의 경우에 ZnO/절연체 계면 및 ZnO 채널에 존재하는 수소의 양이 SiN_1.80를 사용하는 그러한 구조물보다 훨씬 더 많다는 것을 보여주었다. 따라서, 저자는 SiN_2.39 필름을 사용하는 TFT의 향상된 성능이 SiN_2.39로부터 ZnO 채널 및 ZnO/절연체 계면으로의 수소의 혼입으로 인한 것으로 결론지었다.
참조 문헌["Circuits Using Uniform TFTs Based on Amorphous In-Ga-Zn-O", Ryo Hayashi et al., Journal of the Society for Information Display, Vol. 15(11), 2007, pp. 915-92]에는 채널 층 및 게이트 절연체 층으로서 각각 비정질 인듐-갈륨-아연-산화물(IGZO) 필름 및 비정질-이산화규소 필름을 사용하여 제작된 하단-게이트 구조물을 지니는 고성능 및 탁월한-균일성 박막 트랜지스터(TFT)가 개시되어 있다. 1cm2의 면적으로 제작된 모든 94 TFT는 다음과 같이 거의 동일한 이동 특징을 나타낸다: 0.11cm2/(V-sec)의 작은 표준 편차로 14.6cm2/(V-sec)의 평균 포화 이동도. 이러한 TFT로 구성된 5-스테이지 링-오실레이터는 18V의 입력 전압에서 410kHz로 작동된다. 이러한 TFT를 기반으로 한 픽셀-구동 회로가 또한 동일한 기재 상에 하나로 통합된 유기 발광 다이오드(OLED)로 제작된다. OLED 전지로부터의 발광은 120-Hz ac 신호 입력에 의해서 변환되고 조정될 수 있는 것으로 입증되었다. 비정질-IGZO-기반 TFT는 대면적 OLED-디스플레이 전자장치의 빌딩 블록을 위한 중요한 후보물질이다.
참조 문헌["Stability and High-Frequency Operation of Amorphous In-Ga-Zn-O Thin-Film Transistors with Various Passivation Layers", Kenji Nomura et al., Thin Solid Films, doi:10.1016/j.tsf.2011.10.068 (2011)]에서는 부동태화 층 물질(Y2O3, Al2O3, HfO2, 및 SiO2)과 열적 어닐링(thermal annealing)의 효과에 초점을 맞춘 비정질 In-Ga-Zn-O(a-IGZO) 박막 트랜지스터(TFT)의 안정성이 연구되었다. 정 바이어스 정전류 응력(constant current stress: CCS), 광 조사가 없는 부 바이어스 응력(negative bias stress without light illumination: NBS) 및 부 바이어스 광 조사 응력(negative bias light illumination stress: NBLS)을 검사하였다. 이러한 연구에서, 채널이 부동태화 형성 전에 어닐링되고(증착후 어닐링), 부동태화 층이 250℃에서 어닐링되는 경우(제작후 어닐링), Y2O3은 모든 안정화 시험 면에서 가장 우수한 부동태화 층 물질인 것으로 밝혀졌다. Y2O3 부동태화 층의 제작후 열적 어닐링은 CCS 및 NBS 응력에 대하여 매우 안정한 TFT를 생성시켰고, 2.9eV의 광자 에너지까지 서브갭 광반응을 제거하였다. 2.7eV의 광자를 지니는 NBLS의 경우에도, 문턱 전압 이동은 3시간의 시험 후에 -4.4V로 잘 억제된다. 이 결과는 다음 정보를 제공한다: (i) 부동태화는 a-IGZO에서 표면의 깊은 서브갭 결함을 제거하고 서브갭 광반응을 제거하지만, (ii) a-IGZO에서의 벌크 결함은 부동태화 공정 전에 제거되어야 한다. Y2O3-부동태화된 TFT는 이러한 응력 조건에 대하여 안정할 뿐만 아니라, 91kHz의 전류 이득 차단 주파수를 지니는 고주파 작동과 호환되는데, 이는 정특성과 일치한다.
US 공보 제2012/045904호(" '904 공보")에는 TFT 디바이스에서 수소 비함유 규소 함유 층을 형성시키는 방법이 개시되어 있다. 수소 비함유 규소 함유 층은 TFT 디바이스, 포토다이오드, 반도체 다이오드, 발광 다이오드(LED), 또는 유기 발광 다이오드(OLED) 또는 다른 적합한 디스플레이 적용에서 부동태화 층, 게이트 유전 층, 식각 중지 층(etch stop layer), 또는 다른 적합한 층으로서 사용될 수 있다. 한 가지 구체예에서, 박막 트랜지스터에서 수소 비함유 규소 함유 층을 형성시키는 방법은, SiF4, SiCl4, Si2Cl6로 이루어진 군으로부터 선택되는 수소 비함유 규소 함유 가스를 포함하는 가스 혼합물을 공급하고, 플라즈마 강화 화학적 기상 증착 챔버에서 가스를 반응시키고, 가스 혼합물의 존재하에서 기판 상에 수소 비함유 규소 함유 층을 형성시킴을 포함한다.
US 공보 제2010/059756호("' 756 공보")에는 박막 트랜지스터(TFT)가 개시되어 있다. TFT는 채널과 공급원 및 드레인 사이에 중간층을 포함할 수 있다. TFT의 드레인 영역에 발생할 수 있는 증가된 누설 전류는 비정질 규소(a-Si), 폴리-Si, 게르마늄(Ge), 또는 규소-게르마늄(SiGe)으로 형성된 중간 층으로 인해 저하된다.
따라서, 다음 이점들 중 하나 이상을 제공하는 디스플레이 디바이스 및 이를 제조하는 방법이 필요하다: 우수한 전기적 특성(가공 후에 이의 반도체 성질을 유지함을 의미); 낮은 가공 온도(예, 350℃ 또는 그 미만); 저하된 수소 함량; 개선된 전기적 성능; 및 장기간 안정성.
하나 이상의 규소-함유 층 및 투명한 금속 산화물을 포함하는 장치가 본원에 기재된다. 또한, 예를 들어, 디스플레이 디바이스에서 부동태화 층으로서 사용되는 하나 이상의 규소-함유 층을 형성시키는 방법이 본원에 기재된다.
저온 규소-함유 필름은 다음 특성들 중 적어도 하나 이상을 지닌다: 입방 센티미터 당 약 1.9그램(grams per cubic centimeter: g/cm3 또는 g/cc) 또는 그 초과의 밀도; 4×1022cm-3 또는 그 미만의 수소 함량; UV-가시광선 분광기에 의해 측정하는 경우 400-700nm에서 90% 또는 그 초과의 투과율; 및 이들의 조합. 한 가지 특정 구체예에서, 규소-함유 필름은 질화규소 또는 실리콘 옥시니트라이드이며, 다음 특성들 중 적어도 하나 이상을 지닌다: 약 2.2g/cm3 또는 그 초과의 밀도; 약 4×1022cm-3 또는 그 미만의 수소 함량; 및 UV-가시광선 분광기에 의해 측정하는 경우 400-700nm에서 90% 또는 그 초과의 투과율. 한 가지 양태에서, 금속 산화물 층을 포함하는 기판; 및 금속 산화물의 적어도 일부 상에 증착되는 질화규소 층을 포함하는 장치로서, 질화규소 층이 약 2.4g/cm3 또는 그 초과의 밀도 및 푸리에 변환 적외선 분광기(Fourier transform infrared spectroscopy: FT-IR), 러더포드 후방산란 분광기(Rutherford Backscattering Spectrometry: RBS) 또는 수소 전방 산란(hydrogen forward scattering: HFS) 또는 그 밖의 방법과 같은 분석 기술에 의해 측정하는 경우, 약 4×1022cm-3 또는 그 미만의 수소 함량을 포함하는 장치가 제공된다. 이러한 또는 다른 구체예에서, 질화규소 층은 UV-가시광선 분광기에 의해 측정하는 경우, 400-700나노미터에서 약 90% 또는 그 초과의 투과율을 지닌다.
추가의 양태에서, 금속 산화물 층을 포함하는 기판; 금속 산화물의 적어도 일부 상에 증착된 질화규소 층; 및 금속 산화물 층과 질화규소 층 사이에 층착된 하나 이상의 산화규소 층을 포함하는 장치로서, 상기 질화규소 층이 약 2.4g/cm3 또는 그 초과의 밀도, 4×1022cm-3 또는 그 미만의 수소 함량, 및 UV-가시광선 분광기에 의해 측정하는 경우, 400-700나노미터에서 약 90% 또는 그 초과의 투과율을 포함하고, 상기 산화규소 층이 약 2.2g/cm3 또는 그 초과의 밀도를 포함하는 장치가 제공된다.
또 다른 추가의 양태에서,
반응 챔버에 기판의 하나 이상의 표면을 제공하는 단계;
규소 전구체를 반응 챔버에 도입하는 단계;
산소 공급원, 질소-함유 공급원, 또는 이들의 조합물로부터 선택된 공급원을 반응 챔버에 도입하는 단계; 및
약 25℃ 내지 350℃ 범위의 하나 이상의 온도에서 기판의 하나 이상의 표면 상에 규소-함유 박층을 기상 증착 공정을 통해 증착시키는 단계
를 포함하는, 금속 산화물을 포함하는 기판의 하나 이상의 표면 상에 규소-함유 필름을 증착시키는 방법으로서,
상기 규소 전구체가
a. 트리실릴아민(TSA);
b. R1R2NSiH3의 화학식을 지니는 디알킬아미노실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않음);
c. R1 nR2 mSiH4 -m-n의 화학식을 지니는 알킬실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않고; m은 0, 1, 2, 3, 4이며; n은 1, 2, 3임);
d. R1 n(OR2)mSiH4 -m-n의 화학식을 지니는 알킬알콕시실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않고; m은 1, 2, 3, 또는 4이며; n은 0, 1, 2 또는 3임);
e. (R1R2N)nSiH4 -n의 화학식을 지니는 오가노아미노실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않으며; n은 2, 3, 또는 4임);
f. 테트라(이소시아네이토)실란 및 트리(이소시아네이토)실란으로 이루어진 군으로부터 선택된 이소시아네이토실란;
g. R1R2R3SiN3의 화학식을 지니는 알킬아자이도실란(상기 식에서, R1, R2, 및 R3은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택됨);
h. (R1R2R3Si)2(CH2)n의 화학식을 지니는 알킬브릿지드 디실란(상기 식에서, R1, R2, 및 R3는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; n = 1, 2, 3임);
i. Si(OR1)4의 화학식을 지니는 알콕시실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기, 및 이들의 조합으로 이루어진 군으로부터 독립적으로 선택됨)
로 이루어진 군으로부터 선택되고,
상기 기상 증착 공정이 화학적 기상 증착(CVD), 플라즈마 강화 화학적 기상 증착(PECVD), 사이클릭 화학적 기상 증착(CCVD), 플라즈마 강화 사이클릭 화학적 기상 증착(PECCVD), 원자층 증착(ALD), 및 플라즈마 강화 원자층 증착(PEALD)으로 이루어진 군으로부터 선택되는 방법이 제공된다.
도 1은 실시예 1 및 비교예 2 내지 7에 제공되어 있는 규소 기판 상에 증착된 다양한 규소-함유 필름에 대한 밀도(g/cm3)와 수소(H) 함량(XPS에 의해 측정하는 경우)의 관계를 나타낸 것이다. 도 1은 가장 높은 밀도 층이 TSA 전구체에 얻어졌음을 나타내고 있다.
도 2는 상이한 온도 범위(150-325℃)에서 TSA 전구체를 사용하여 증착된 필름에 대한 밀도와 H-함량의 관계를 나타낸 것이며, 도면 상의 다이아몬드는 밀도에 관한 것이고, 도면 상의 정사각형은 수소 함량에 관한 것이다.
도 3은 실시예 1에서 전구체로서 TSA를 사용하여 300℃에서 증착된 필름에 대한 밀도와 H-함량의 관계를 나타낸 것이며, 정사각형은 LF 전력을 사용하여 얻어진 데이터를 나타낸 것이고, 다이아몬드는 LF 전력 없이 얻어진 데이터를 나타낸 것이다.
도 4는 다음 전구체로부터 증착된 100nm 두께의 규소-함유 필름에 대한 수분 배리어 성능의 비교를 제공한 것이다: (A) (도면 상에 다이아몬드로 나타나 있음) 트리실릴아민 및 NH3(밀도 = 2.36g/cm3); (B) (도면 상에 삼각형으로 나타나 있음) 디-이소프로필아미노실란 및 NH3(밀도 = 2.11g/cm3) (C) (도면 상에 정사각형으로 나타나 있음) 디메틸디에톡시실란 및 H2(밀도 = 1.95g/cm3); 및 (D) (도면 상에 원형으로 나타나 있음) 트리메틸실란 및 NH3(밀도 = 1.88g/cm3).
도 5는 TEOS 및 O2(또는 밀도 = 2.25g/cm3를 지니는 웨이퍼 16 및 17) 또는 트리실릴아민 및 NH3(또는 밀도 = 2.52g/cm3를 지니는 웨이퍼 6, 7, 및 8)로 증착된 각각 82nm 두께 및 100nm 두께의 필름에 대한 수분 배리어 성능의 비교를 제공한 것이다.
도 6은 실시예 9에서 표면 재결합 속도에 대한 영향을 평가하기 위해 사용된 예시적인 디바이스 구조물을 제공한 것이다.
도 7은 실시예 9에 기재된, TSA + NH3 질화물로 부동태화된 고저항 플로트 구역 규소에 대하여 관찰된 표면 재결합 속도 및 규소 기판과 질화규소 사이에 증착된 TEOS + O2 PECVD 산화규소의 가변 두께를 제공한 것이다.
도 8a 내지 8e는 실시예 10에 기재된, IGZO 저항에 대한 부동태화 층의 영향을 측정하는데 사용된 예시적인 구조물을 제공한 것이다.
도 9a 및 9b는 본원에 기재된 장치의 단일 부동태화 층 및 이중 부동태화 층 구체예의 예를 제공한 것이다.
도 10은 상이한 예시적인 장치들 사이에서 메가파스칼(megapascal)로 측정된 응력과 측정된 시간(시)의 관계를 제공한 것이다.
예를 들어, IGZO-기반 TFT와 같은 투명한 금속 산화물을 포함하는 장치는 모바일 디스플레이를 위해 구현되고 있다. 투명한 금속 산화물의 조성이 IGZO을 포함하는 한 가지 특정 구체예에서, 장치에 가해질 수 있는 가공 온도의 상한치와 관련된 열 비용에는 하나 이상의 부동태화 필름이 300℃ 또는 그 미만의 하나 이상의 온도에서 증착되는 것이 필요하다. 이러한 또는 다른 구체예에서, 하나 이상의 부동태화 층은, 입방 센티미터 당 약 2.4그램(g/cm3 또는 g/cc) 또는 그 초과의 밀도 및 4 × 1022cm-3 또는 그 미만 또는 2 × 1022cm-3 또는 그 미만의 수소 함량을 지님으로써, 제한 없이, 기체, 액체 또는 이들의 조합과 같은 유체에 대해 불침투성인 것으로 본원에 기재되는 우수한 기밀성을 제공한다. 선행 기술에는 실란 가스(SiH4)가 SiN:H 및 SiO2 필름을 형성시키는데 사용되어 a-Si TFT 하부 구조물을 부동태화시키는 이중 층 구조물이 개시되어 있다. 이러한 SiN:H 및 SiO2 필름은 300℃ 미만의 온도에서 형성될 수 있지만, 이러한 필름의 밀도 및 H-함량은 300℃ 미만의 온도에서 증착되는 경우에 아래에 있는 투명한 금속 산화물 층을 부동태화시키는데 필요한 요망되는 특성을 달성하지 않는다. 이와 관련하여, 디스플레이 디바이스에서 금속 산화물 층을 위한 하나 이상의 부동태화 층으로서 사용될 수 있는 규소 함유 필름에 요망되는 특성은 다음 중 하나 이상을 포함한다: 약 350℃ 또는 그 미만의 증착 온도; 약 2.4g/cm3 또는 그 초과의 밀도; 약 2×1022cm-3 또는 그 미만의 수소 함량; UV-Vis 분광기에 의해 측정하는 경우, 400-700nm로부터 약 90%의 투과율; 및 이들의 조합.
하나 이상의 규소-함유 층 및 하나 이상의 투명한 금속 산화물 층을 포함하는 디스플레이 디바이스를 위한 하나 이상의 부동태화 층으로서 사용될 수 있는 규소 함유 필름을 증착시키는 방법이 본원에 제공된다. 용어 부동태화 층은 다른 디스플레이 적용과 같은 디스플레이 디바이스, 예컨대, TFT 장치, OLED 장치, LED 장치 또는 다른 디스플레이 적용에서, 제한 없이, 부동태화 층, 게이트 유전 층, 식각 중지 층, 또는 다른 적합한 층을 의미할 수 있다. 본원에서 사용되는 용어 규소-함유 필름은 규소, 비정질 규소, 결정질 규소, 미세결정질 규소, 다결정질 규소, 화학량론적 또는 비-화학량론적 질화규소, 또는 비-화학량론적 산화규소, 탄소 도핑된 산화규소, 규소 카보-니트라이드, 및 규소 옥시니트라이드 필름을 의미할 수 있다. 상기 중에서, 하나 이상의 규소-함유 필름은 산화규소, 질화규소, 규소 옥시니트라이드, 규소 카복사이드, 및 규소 카복시니트라이드를 포함한다. 용어 "금속 산화물"은 디스플레이 디바이스에 사용하기에 적합한 장치 내의 하나 이상의 층을 의미한다. 이와 관련하여, 금속 산화물 층은 하나 이상의 다음 특성들을 나타낸다: 디스플레이 디바이스에 사용하기 위한 필수적인 투과율을 지니고, 높은 전자 이동도를 나타내며, 낮은 가공 온도(예, 350℃ 또는 그 미만 또는 300℃ 또는 그 미만)에서 제조될 수 있음. 금속 산화물의 예에는 인듐 갈륨 아연 산화물(IGZO), a-IGZO(비정질 인듐 갈륨 아연 산화물), 인듐 주석 아연 산화물(ITZO), 알루미늄 인듐 산화물(AlInOx), 아연 주석 산화물(ZTO), 아연 옥시니트라이드(ZnON), 마그네슘 아연 산화물, 아연 산화물(ZnO), InGaZnON, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuAlO, SrCuo, LaCuOS, GaN, InGaN, AlGaN 또는 InGaAlN 및 이들의 조합물이 포함되지만, 이로 제한되지 않는다.
하나 이상의 부동태화 층 및 금속 산화물 층에 더하여, 디스플레이 디바이스는, 제한 없이, 게이트 절연 층, 게이트 전극 층(들), 공급원 드레인 층(들), 및 다른 층을 추가로 포함할 수 있다. 본원에 기재된 장치 및 방법은 기판의 적어도 일부 상에 하나 이상의 규소-함유 및 금속 산화물 층을 증착시키는데 사용될 수 있다. 적합한 기판의 예에는 유리, 플라스틱, 스테인리스 스틸, 유기 또는 폴리머 필름, 규소, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예컨대, 구리, 알루미늄, 크로뮴, 몰리브덴 및 게이트 전극, 예컨대, 이로 제한되지는 않지만, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, WN, ITO 또는 다른 게이트 전극이 포함되지만, 이로 제한되지 않는다. 규소-함유 층은 예를 들어 화학 기계적 평탄화(chemical mechanical planarization: CMP) 및 이방성 에칭 공정과 같은 다양한 후속 가공 단계와 호환된다. 특정의 구체예에서, 본원에 기재된 규소-함유 층은 약 4.0 내지 약 5.5 또는 약 4.0 내지 약 4.5의 범위의 유전 상수를 지닌다.
본원에 기재되고 도 9a에 나타나 있는 장치의 한 가지 구체예(10)에서, 규소-함유 필름은 예를 들어, 디스플레이 디바이스에 사용될 수 있는 금속 산화물(20)의 적어도 일부 상에 단일 부동태화 층(30)으로서 증착된다. 본원에 기재되고 도 9b에 나타나 있는 장치의 대안적인 구체예(100)에서, 규소-함유 필름은, 이중 부동태화 층 구조물 또는 다중-적층된 부동태화 층 구조물을 제공하기 위하여, 도 9b 상의 부동태화 층(2) 또는 (140), 및 도 9b 상의 부동태화 층(1), 또는 (130)으로서 나타나 있는, 금속 산화물 층(120) 위의 하나 이상의 규소-함유 필름 상에 증착된다. 한 가지 구체예에서, 이중 부동태화 또는 다중-적층된 부동태화에서 규소-함유 필름은 상이한 유형의 규소-함유 필름이다. 대안적으로, 이중 또는 다중-적층된 구조물에서 규소-함유 필름은 동일한 유형의 규소-함유 필름이지만, 제한 없이, SixOy, SiwNz, SixOy, 및 SiwNz; SixOy, SixOy, 및 SiwNz; SixOy, SiwNz, 및 SiwNz; 및 이들의 다양한 조합과 같은 다양한 방식으로 교대될 수 있다. 도 9a 및 9b에 나타나 있는 예시적인 구조물이 금속 산화물 필름의 적어도 일부 상에 증착된 하나 이상의 부동태화 층을 나타내고 있지만, 하나 이상 층은 도 9a 및 9b에 도시되어 있는 층의 배열로 제한되지 않으며, 금속 산화물 층 위에 또는 아래에 있을 수 있거나, 끼워지거나, 내장되거나, 둘러싸여진 하나 이상의 부동태화 층(들)이 규소-함유 또는 서로에 대해 어떠한 다른 공간적 관계가 아닌 개입 층(intervening layer)을 지닐 수 있으며, 이는 추후에 이로 제한되지 않음이 이해된다.
한 가지 특정 구체예에서, 디스플레이 디바이스는 금속 산화물 층 상에 증착된 둘 이상의 부동태화 층, 예컨대, 부동태화 층이 부동태화 층(2)으로서 산화규소 또는 층(140) 및 층 (130) 또는 부동태화 층(1)으로서 질화규소를 포함하는 도 9b에 나타나 있는 층을 포함한다. 9b에 나타나 있는 장치의 한 가지 특정 구체예에서, 금속 산화물 층은 IGZO를 포함하고, 둘 이상의 부동태화 층은 IGZO 필름 후속 처리의 저항에 어떠한 큰 중요한 영향을 미치지 않으면서 대기의 불순물(예, 밀폐된)의 확산으로부터 IGZO 필름을 보호하는 배리어로서 역할을 한다. 이러한 특정 구체예에서, 장치는 부동태화 층(1)으로서 고밀도 질화규소 필름(예를 들어, 2.4g/cm3 또는 그 초과의 밀도를 지님)을 포함하고, 약 80℃ 내지 약 400℃의 범위인 하나 이상의 온도에서 전구체 트리실릴아민(TSA) 및 암모니아(NH3)에 의해 증착된다. 디바이스는 질화규소에 함유된 활성 수소가 산화물 아래에 위치된 IGZO로 확산되는 것을 막는 부동태화 층(2)으로서 산화규소 필름을 추가로 포함한다. 산화규소 필름은 80℃ 내지 400℃ 범위의 하나 이상의 온도에서 증착될 수 있다. 선택된 전구체 및 증착 공정 조건은 IGZO와 같은 금속 산화물 층과 반응할 수 있는 최소의 수소, 하이드록실 기, 또는 다른 부분, 예컨대, 탄소, 탄화수소 또는 다른 작용기를 제공하는 것이 바람직하다. 한 가지 특정 구체예에서, 도 9b에서의 부동태화 층(2 또는 140)은, 트리에틸실란, 디에틸실란, 또는 테트라에톡시실란으로부터 증착되고 다음 특징들 중 하나 이상을 지니는 저온 증착된(예, 300℃ 또는 그 미만) 산화규소 필름이다: 약 2nm 내지 약 200nm의 두께, 약 2.2g/cm3 또는 그 초과의 밀도, 및 약 5 원자 퍼센트 또는 그 미만의 수소 함량. 이러한 또는 다른 구체예에서, 도 9b에서의 부동태화 층(2 또는 140)은 Si-H 기를 함유하지 않는 규소-함유 전구체로부터 증착되는데, 그 이유는 Si-H가 금속 산화물과 반응할 수 있어서 금속 산화물 층의 전기적 특성을 손상시키는 것으로 알려져 있기 때문이다. 이론으로 국한시키려 하는 것은 아니지만, 산화규소 및 질화규소를 포함하는 둘 이상의 부동태화 층을 지니는 장치를 위하여, 본 출원인은 산화규소 전구체 및 이의 증착 파라미터 및 산화규소 및 이의 증착 파라미터의 선택이, 하나 이상의 부동태화 층의 성질이 금속 산화물 층의 저항에 불리하게 영향을 미치는 않는 것을 보장하는데 중요하다고 여긴다.
한 가지 특정 구체예에서, 본원에 기재된 디스플레이 디바이스의 장치는 전구체 트리실릴아민(TSA)을 사용하여 증착되고 질화규소 또는 규소 옥시니트라이드 필름인 하나 이상의 부동태화 층을 포함한다. 이러한 구체예에서, 부동태화 층은 트리실릴아민 TSA을 사용함으로써 300℃의 증착 온도에서 PECVD 공정을 사용하여 증착되고, 2.5g/cm3 또는 그 초과의 필름 밀도 및 2×1022cm-3 또는 그 미만의 수소 함량을 제공한다. 추가의 구체예에서, 더욱 더 낮은 증착 온도 또는 200℃에서 PECVD를 통해 증착되고 약 2.4g/cm3 또는 그 초과의 밀도를 지니는 TSA-증착된 질화규소 필름을 포함하는 장치가 본원에 기재된다. 상기 구체예들 둘 모두에서, TSA-증착된 질화규소 필름은 UV-가시광선 분광기에 의해 측정하는 경우 400-700nm로부터 90% 또는 그 초과의 투과율의 디스플레이 디바이스 적용에 적합한 투과율 요건을 제공한다. 추가로, 이러한 구체예들 둘 모두에서, 장치는 금속 산화물 층, 예컨대, IGZO를 포함하는 금속 산화물 층이 반도체인 저항을 지니게 하는(예를 들어, 1×104 내지 1×105 Ohms/square(Ω/□)의 저항을 지님) 적어도 하나 이상의 부동태화 층을 지닌다. 본원에 기재된 장치는 고온 및 고습 또는 85℃ 및 85% 습도 사이클에 노출된 후에도 이러한 저항 범위를 지니거나 반도체를 유지한다.
상기 언급된 바와 같이, 질화규소 부동태화 층에 더하여, 본원에 기재된 장치의 한 가지 구체예에서, 장치는 추가로 산화규소 층을 포함한다. 질화규소 층과 유사하게 이러한 산화규소 층은 다음 특성들 중 적어도 하나 이상을 지닌다: 약 2nm 내지 약 200nm의 두께, 약 2.2g/cm3 또는 그 초과의 밀도, 및 약 5 원자 퍼센트 또는 그 미만의 수소 함량. 특정의 구체예에서, 산화규소 필름을 증착시키는데 사용되는 전구체는 테트라알콕시실란(TEOS)과 같은 Si-H 결합을 지니지 않는다.
하나 이상의 규소-함유 필름(들) 또는 층(들) 및 금속 산화물 층(들)을 형성시키는데 사용되는 방법은 본원에서 증착 공정이라 일컬어진다. 본원에 개시된 방법에 적합한 증착 공정의 예는 화학적 기상 증착(CVD), 사이클릭 CVD(CCVD), MOCVD (금속 유기 CVD), 열화학적 기상 증착, 플라즈마 강화 화학적 기상 증착 ("PECVD"), 고밀도 PECVD, 광자 보조된 CVD, 플라즈마-광자 보조된 ("PPECVD"), 극저온 화학적 기상 증착, 화학 보조된 기상 증착, 고온-필라멘트 화학적 기상 증착, 액체 폴리머 전구체의 CVD, 초임계 유체로부터의 증착, 및 저에너지 CVD (LECVD)를 포함하지만, 이로 제한되지 않는다. 특정의 구체예에서, 필름은 원자층 증착(ALD), 플라즈마 강화 ALD(PEALD) 또는 플라즈마 강화 사이클릭 CVD(PECCVD) 공정을 통해 증착된다. 본원에서 사용된 용어 "화학적 기상 증착 공정"은 기판 표면상에서 반응하고/거나 분해되어 요망되는 증착을 생성시키는 하나 이상의 휘발성 전구체에 기판을 노출시키는 임의의 공정을 지칭한다. 본원에서 사용된 용어 "원자층 증착 공정"은 물질의 필름을 다양한 조성의 기판상에 증착시키는 자기-제한적(예를 들어, 각각의 반응 사이클에서 증착되는 필름 물질의 양이 일정함), 연속적 표면 화학을 지칭한다. 본원에서 사용된 전구체, 시약 및 공급원은 때때로 "가스상"으로서 기재될 수 있으나, 전구체는 불활성 가스를 이용하거나 이용하지 않고 직접 증발, 기포발생 또는 승화를 통해 반응기로 운반되는 액체 또는 고체일 수도 있는 것으로 이해된다. 일부 경우에, 증발된 전구체는 플라즈마 발생기를 통해 지나갈 수 있다. 한 가지 구체예에서, 하나 이상의 필름은 ALD 공정을 이용하여 증착된다. 또 다른 구체예에서, 하나 이상의 필름은 CCVD 공정을 이용하여 증착된다. 추가의 구체예에서, 하나 이상의 필름은 열적 CVD 공정을 이용하여 증착된다. 본원에서 사용된 용어 "반응기"는, 제한 없이, 반응 챔버 또는 증착 챔버를 포함한다.
특정의 구체예에서, 본원에 기재된 방법은 전구체를 반응기에 도입하기 전에 및/또는 동안에 전구체를 분리시키는 ALD 또는 CCVD 방법을 이용함에 의해 전구체의 사전-반응(pre-reaction)을 방지한다. 이와 관련하여, ALD 또는 CCVD 공정과 같은 증착 기법을 규소-함유 필름을 증착시키는데 이용된다. 한 가지 구체예에서, 기판 표면을, 대안적으로 하나 이상의 규소-함유 전구체, 산소 공급원, 질소-함유 공급원, 또는 다른 전구체 또는 시약에 노출시킴으로써 ALD 공정을 통해 필름을 증착시킨다. 필름 성장은 표면 반응의 자기-제한적 제어, 각각의 전구체 또는 시약의 펄스 길이, 및 증착 온도에 의해 진행된다. 그러나, 일단 기판의 표면이 포화되면, 필름 성장은 중단된다.
하나 이상의 규소-함유 필름 또는 층을 증착시키는데 사용되는 규소-함유 전구체는
a. 트리실릴아민(TSA);
b. R1R2NSiH3의 화학식을 지니는 디알킬아미노실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않음);
c. R1 nR2 mSiH4 -m-n의 화학식을 지니는 알킬실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않고; m은 0, 1, 2, 3, 4이며; n은 1, 2, 3임);
d. R1 n(OR2)mSiH4 -m-n의 화학식을 지니는 알킬알콕시실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않고; m은 1, 2, 3, 또는 4이며; n은 0, 1, 2 또는 3임);
e. (R1R2N)nSiH4 -n의 화학식을 지니는 오가노아미노실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않으며; n은 2, 3, 또는 4임);
f. 테트라(이소시아네이토)실란 및 트리(이소시아네이토)실란으로 이루어진 군으로부터 선택된 이소시아네이토실란;
g. R1R2R3SiN3의 화학식을 지니는 알킬아자이도실란(상기 식에서, R1, R2, 및 R3은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택됨);
h. (R1R2R3Si)2(CH2)n의 화학식을 지니는 알킬브릿지드 디실란(상기 식에서, R1, R2, 및 R3는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; n = 1, 2, 3임);
i. Si(OR1)4의 화학식을 지니는 알콕시실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기, 및 이들의 조합으로 이루어진 군으로부터 독립적으로 선택됨)
로 이루어진 군으로부터 선택된다.
또 다른 구체예에서, 하나 이상의 규소-함유 층(들)은,
a. R1R2NSiH3의 화학식을 지니는 디알킬아미노실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않음);
b. R1 nR2 mSiH4 -m-n의 화학식을 지니는 알킬실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않고; m은 0, 1, 2, 3, 4이며; n은 1, 2, 3임);
c. R1 n(OR2)mSiH4 -m-n의 화학식을 지니는 알킬알콕시실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않고; m은 1, 2, 3, 또는 4이며; n은 0, 1, 2 또는 3임);
d. (R1R2N)nSiH4 -n의 화학식을 지니는 오가노아미노실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않으며; n은 2, 3, 또는 4임);
e. 모노클로로실란, 디클로로실란, 트리클로로실란, 테트라클로로실란, 및 헥사클로로실란으로 이루어진 군으로부터 선택된 할로실란;
f. (R1R2)NSiR3OR4OR5의 화학식을 지니는 알콕시아미노실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2 및 R3는 수소, C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R4 및 R5는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않으며; R4와 R5는 연결되어 고리를 형성하거나 R4와 R5는 연결되지 않아서 고리를 형성하지 않음);
g. 테트라(이소시아네이토)실란 및 트리(이소시아네이토)실란으로 이루어진 군으로부터 선택된 이소시아네이토실란;
h. R1R2R3SiN3의 화학식을 지니는 알킬아자이도실란(상기 식에서, R1, R2, 및 R3은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택됨); 및
i. (R1R2R3Si)2(CH2)n의 화학식을 지니는 알킬브릿지드 디실란(상기 식에서, R1, R2, 및 R3는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; n = 1, 2, 3임);
j. Si(OR1)4의 화학식을 지니는 알콕시실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기, 및 이들의 조합으로 이루어진 군으로부터 독립적으로 선택됨)
로 이루어진 군으로부터 선택된 규소-함유 전구체 중 하나 이상, 및 트리실릴아민(TSA)을 포함하는 조성물로부터 본원에 기재된 증착 공정을 이용하여 증착된다.
트리실릴아민 및 하나 이상의 규소-함유 전구체를 포함하는 조성물에 대한 상기 구체예에서, 조성물 중의 트리실릴아민의 백분율은 증착된 규소-함유 필름 또는 부동태화 필름이 표적으로 하는 디스플레이 디바이스의 요건을 충족시킬 수 있는지의 여부에 따라 0.5% 내지 99%의 범위이다. 한 가지 바람직한 구체예는, 증착된 필름이 적용 요건을 충족시키도록 조정될 수 있는 디-이소-프로필아미노실란과 트리실릴아민의 혼합물이다. 또 다른 바람직한 구체예는 디에틸실란과 트리실릴아민의 혼합물인데, 이 둘 모두의 비점은 서로 비슷하며, 이들은 액체 형태로 혼합될 수 있으며, 직접적인 액체 주입을 통해 전달될 수 있다.
상기 규소-함유 전구체 중에서, 예시적인 디알킬아미노실란은 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 및 2,6-디메틸피페리디노실란을 포함하지만, 이로 제한되지 않는다. 예시적인 알킬실란은 디에틸실란(2ES), 디(3차-부틸)실란, 디(이소-프로필)실란, 디(2차-부틸)실란, 디(이소-부틸)실란, 디(3차-아밀)실란, 트리에틸실란(3ES), 트리(3차-부틸)실란, 트리(이소-프로필)실란, 트리(2차-부틸)실란, 트리(이소-부틸)실란, 트리(3차-아밀)실란, 3차-부틸디에틸실란, 3차-부틸디프로필실란, 디에틸이소프로필실란, 사이클로펜틸실란, 및 페닐실란을 포함하지만, 이로 제한되지 않는다. 예시적인 알킬알콕시실란은 테트라에톡시실란(TEOS), 디에톡시디메틸실란, 및 테트라에톡시실란을 포함하지만, 이로 제한되지 않는다. 예시적인 오가노아미노실란은 트리(디메틸아미노)실란, 디-이소프로필아미노실란, 및 비스(3차-부틸아미노)실란을 포함하지만, 이로 제한되지 않는다. 예시적인 알킬아자이도실란 전구체는 Me3SiN3 및 Et3SiN3를 포함하지만, 이로 제한되지 않는다. 예시적인 알킬브릿지드 실란은 1,4-디실라부탄을 포함하지만, 이로 제한되지 않는다.
상기 화학식 및 명세서 전반에 걸쳐서, 용어 "알킬"은 1개 내지 10개, 또는 1개 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 작용기를 의미한다. 예시적인 알킬기는 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, 2차-부틸, 3차-부틸, n-펜틸, 이소-펜틸, 3차-펜틸, 헥실, 이소헥실, 및 네오헥실을 포함하지만, 이로 제한되지 않는다. 특정의 구체예에서, 알킬기는 이에 결합된 하나 이상의 작용기, 예컨대, 비제한적으로, 알콕시기, 디알킬아미노기 또는 이들의 조합을 지닐 수 있다. 다른 구체예에서, 알킬기는 이에 결합된 하나 이상의 작용기를 지니지 않는다.
상기 화학식에서 그리고 명세서 전반에 걸쳐서, 용어 "환형 알킬"은 3개 내지 12개 또는 4개 내지 10개의 탄소 원자를 지니는 환형 작용기를 의미한다. 예시적인 환형 알킬 기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 그리고 명세서 전반에 걸쳐서, 용어 "아릴"은 6개 내지 12개의 탄소 원자를 지니는 방향족 환형 작용기를 의미한다. 예시적인 아릴기는 페닐, 벤질, 클로로벤질, 톨릴, 및 o-자일릴을 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 그리고 명세서 전반에 걸쳐서, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 지니고 2개 내지 12개 또는 2개 내지 6개 의 탄소 원자를 지니는 기를 의미한다. 예시적인 알케닐 기는 비닐, 또는 알릴 기를 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 그리고 명세서 전반에 걸쳐서, 용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 지니고 2개 내지 12개 또는 2개 내지 6개의 탄소 원자를 지니는 기를 의미한다.
상기 화학식에서 그리고 명세서 전반에 걸쳐서, 용어 "알콕시"는 산소 원자에 연결되며(예, R-O), 1개 내지 12개 또는 1개 내지 6개 탄소 원자를 지닐 수 있는 알킬기를 의미한다. 예시적인 알콕시 기는 메톡시(-OCH3), 에톡시(-OCH2CH3), n-프로폭시(-OCH2CH2CH3), 및 이소-프로폭시(-OCHMe2)를 포함하지만, 이로 제한되지 않는다.
특정의 구체예에서, 상기 화학식에서 알킬 기, 알케닐 기, 알키닐 기, 알콕시 기, 및/또는 아릴 기 중 하나 이상은 치환되거나, 예를 들어, 수소 원자 대신에 치환된 하나 이상의 원자들 또는 원자들의 기를 가질 수 있다. 예시적인 치환체는 산소, 황, 할로겐 원자(예, F, Cl, I 또는 Br), 질소, 및 인을 포함하지만, 이로 제한되지 않는다. 다른 구체예에서, 화학식 중의 알킬 기, 알케닐 기, 알키닐 기, 알콕시 기, 및/또는 아릴 기 중 하나 이상은 비치환될 수 있다.
특정의 구체예에서, 치환체 R1과 R2 또는 치환체 R4와 R5(존재 시)는 상기 화학식에서 연결되어 고리 구조를 형성시킨다. 특정의 구체예에서, 상기 화학식 중의 R1과 R2 및/또는 R4와 R5(존재 시)는 함께 연결되어 고리를 형성시킬 수 있다. 당업자는, R1과 R2가 함께 연결되어 고리를 형성시키고, R1이 R2에 대한 연결을 위한 결합(수소 치환체 대신에)을 포함할 것이고, R2도 그러함을 이해할 것이다. 따라서, 예에서, 상기 R1은 선형 또는 분지형 C1 내지 C10 알킬렌 부분, C2 내지 C12 알케닐렌 부분, C2 내지 C12 알키닐렌 부분, C4 내지 C10 환형 알킬 부분, 및 C6 내지 C10 아릴렌 부분으로부터 선택될 수 있다. 이러한 구체예에서, 고리 구조는 예를 들어, 환형 알킬 고리와 같이 불포화되거나 예를 들어, 아릴 고리와 같이 포화될 수 있다. 이러한 구체예에서, 고리 구조는 또한 치환되거나 비치환될 수 있다. 다른 구체예에서, 치환체 R1와 R2 및 치환체 R4와 R5(존재 시)는 연결되지 않는다.
특정의 구체예에서, 본원에 기재된 방법을 이용하여 증착된 규소-함유 필름 또는 층은 산소를 포함하는 산소 공급원, 시약 또는 전구체를 사용하여 산소의 존재하에 형성된다. 도 9b에 도시된 것과 같은 한 가지 특정 구체예에서, 규소 함유 필름(140) 또는 부동태화 층(2)은 산화규소를 포함하고, 상기 기재된 방법을 이용하여 증착되며, 산소를 포함하는 산소 공급원, 시약 또는 전구체를 사용하여 산소의 존재하에 형성된다. 산소 공급원은 하나 이상의 산소 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에서 사용된 다른 전구체에 부수적으로 존재할 수 있다. 적합한 산소 공급원 가스에는 예를 들어, 물(H2O)(예, 탈이온수, 정수기 물 및/또는 증류수), 산소(O2), 산소 플라즈마, 오존(O3), NO, N2O, 일산화탄소(CO), 이산화탄소(CO2), 및 이들의 조합물이 포함될 수 있다. 특정의 구체예에서, 산소 공급원은 약 1 내지 약 2000sccm(분당 표준 입방 센티미터) 또는 약 1 내지 약 1000sccm 범위의 유량으로 반응기에 도입되는 산소 공급원 가스를 포함한다. 산소 공급원은 약 0.1 내지 약 100초 범위의 시간 동안 도입될 수 있다. 한 가지 특정 구체예에서, 산소 공급원은 10℃ 또는 그 초과의 온도를 지니는 물을 포함한다. 필름이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01초 초과인 펄스 기간을 지닐 수 있고, 산소 공급원은 0.01초 미만인 펄스 기간을 지닐 수 있으며, 물 펄스 기간은 0.01초 미만인 펄스 기간을 지닐 수 있다. 추가의 또 다른 구체예에서, 펄스들 사이의 퍼지 기간은 0초만큼 낮을 수 있거나, 이들 사이의 퍼지 없이 연속적으로 펄스화된다. 산소 공급원 또는 시약은 증착된 유전체 필름에서 적어도 일부의 탄소가 보유되도록 규소 전구체에 대해 1 미만:1 비율의 분자량으로 제공된다.
특정의 구체예에서, 규소-함유 필름은 규소 및 질소를 포함한다. 이러한 구체예에서, 본원에 기재된 방법을 이용하여 증착된 규소-함유 필름은 질소-함유 공급원의 존재 하에 형성된다. 도 9b에 도시된 것과 같은 한 가지 특정 구체예에서, 규소-함유 필름(130) 또는 부동태화 층(1)은 질화규소를 포함하고, 상기 기재된 방법을 사용하여 증착되며, 질소, 질소를 포함하는 시약 또는 전구체를 사용하여 질소의 존재하에 형성된다. 질소-함유 공급원은 적어도 하나의 질소 공급원의 형태로 반응기에 도입될 수 있고/있거나 증착 공정에서 사용되는 다른 전구체에 부수적으로 존재할 수 있다. 적합한 질소-함유 공급원 가스는 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, NF3 및 이들의 혼합물을 포함할 수 있다. 한 가지 특정 구체예에서, NF3는 생성된 필름에서 수소 함량을 저하시키는데 사용되는데, 그 이유는 수소가 금속 산화물과 반응하여 디스플레이 디바이스의 성능에 불리하게 영향을 미칠 수 있기 때문이다. 특정의 구체예에서, 질소-함유 공급원은 약 1 내지 약 2000sccm(분당 표준 입방 센티미터) 또는 약 1 내지 약 1000sccm 범위의 유량으로 반응기에 도입되는 암모니아 플라즈마 또는 수소/질소 플라즈마 공급원 가스를 포함한다. 질소-함유 공급원은 약 0.1 내지 약 100초 범위의 시간 동안 도입될 수 있다. 필름이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01초 초과인 펄스 기간을 지닐 수 있고, 질소-함유 공급원은 0.01초 미만인 펄스 기간을 지닐 수 있으며, 물 펄스 기간은 0.01초 미만인 펄스 기간을 지닐 수 있다. 추가의 또 다른 구체예에서, 펄스들 사이의 퍼지 기간은 0초만큼 낮을 수 있거나, 이들 사이의 퍼지 없이 연속적으로 펄스화된다.
본원에 개시된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 상기 퍼지 가스는 미소비되는 반응물 및/또는 반응 부산물을 퍼징해 내는데 사용되는 것으로, 전구체와 반응하지 않는 불활성 가스이다. 예시적인 퍼지 가스는 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2), 및 이들의 혼합물들을 포함하지만, 이로 제한되지 않는다. 특정의 구체예에서, Ar과 같은 퍼지 가스는 약 0.1초 내지 1000초 동안 약 10sccm 내지 약 2000sccm의 범위의 유량으로 반응기에 공급됨으로써, 반응기에 남아 있을 수 있는 미반응된 물질 및 어떠한 부산물을 퍼징한다.
전구체, 산소 공급원, 질소-함유 공급원 및/또는 다른 전구체, 공급원 가스, 및/또는 시약들을 공급하는 개개 단계는 생성된 유전체 필름의 화학량론적 조성을 변화시키기 위하여 이러한 것들을 공급하는 시간을 변경함으로써 수행될 수 있다.
에너지는 반응을 유도하고 기판 상에 규소-함유 필름 또는 코팅을 형성시키기 위하여 규소-함유 전구체, 산소-함유 공급원, 질소-함유 공급원, 환원제, 다른 전구체 또는 이들의 조합 중 적어도 하나에 적용된다. 이러한 에너지는 열, 플라즈마, 펄스화된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자, 원격 플라즈마 방법, 및 이들의 조합에 의해 제공될 수 있지만, 이로 제한되지 않는다. 특정의 구체예에서, 2차 RF 주파수 소스는 기판 표면에서 플라즈마 특징을 변경시키기 위해 사용될 수 있다. 증착이 플라즈마를 수반하는 구체예에서, 플라즈마-발생 공정은 플라즈마가 반응기에서 직접 발생되는 직접 플라즈마-발생 공정, 또는 대안적으로 플라즈마가 반응기의 외부에서 발생되고 반응기로 공급되는 원격 플라즈마-발생 공정을 포함할 수 있다.
규소-함유 전구체는 다양한 방식으로 CVD 또는 ALD 반응기와 같은 반응 챔버로 전달될 수 있다. 한 가지 구체예에서, 액체 전달 시스템이 사용될 수 있다. 대안적인 구체예에서, 예를 들어 MSP Corporation(Shoreview, MN)에 의해 제작된 터보 증발기와 같은 조합된 액체 전달과 플래시 증발 공정 유닛이 사용되어 저휘발성 물질이 용량 측정적으로 전달되게 할 수 있으며, 이는 전구체의 열 분해 없이 재현 가능한 이동 및 증착을 야기한다. 액체 전달 포뮬레이션에서, 본원에 기재된 전구체는 순수한 액체 형태로 전달될 수 있거나, 대안적으로, 용매 포뮬레이션 또는 이를 포함하는 조성물에 사용될 수 있다. 따라서, 특정의 구체예에서, 전구체 포뮬레이션은 기판 상에 필름을 형성시키기 위하여 주어진 최종 용도 적용에서 요망될 수 있고 유리할 수 있는 바, 적합한 특징의 용매 성분(들)을 포함할 수 있다.
특정의 구체예에서, 전구체 캐니스터에서부터 반응 챔버로 연결하는 가스 라인은 공정 요건에 따라 하나 이상의 온도로 가열되며, 하나 이상의 규소-함유 전구체의 용기는 기포발생을 위하여 하나 이상의 온도에서 유지된다. 다른 구체예에서, 하나 이상의 규소-함유 전구체를 포함하는 용액은 직접 액체 주입을 위해 하나 이상의 온도로 유지되는 증발기에 주입된다.
통상적인 ALD 또는 CCVD 공정에서, 산화규소 기판과 같은 기판은 기판의 표면 상에서 복합물을 화학적으로 흡착시키기 위해 초기에 규소-함유 전구체에 노출되는 반응 챔버에서의 가열기 스테이지 상에서 가열된다. 아르곤 퍼지와 같은 퍼지 가스는 공정 챔버로부터 흡착되지 않은 과량의 복합물을 퍼징해 낸다. 충분히 퍼징한 후에, 질소-함유 공급원은 흡착된 표면과 반응하기 위해 반응 챔버로 도입되고 이후에 챔버로부터 반응 부산물을 제거하기 위해 다른 가스 퍼지가 도입될 수 있다. 공정 사이클은 요망되는 필름 두께를 달성하기 위해 반복될 수 있다.
규소-함유 필름의 증착 속도는 분당 0.1nm 내지 5000nm의 범위일 수 있다. 속도는 다음 비-제한적인 파라미터 중 어느 하나를 달리함으로써 제어될 수 있다: 증착 온도, 증발기 온도, LFC의 흐름, O2 가스의 반응 유량 및/또는 CVD 반응기에서의 압력. 전구체의 선택이 또한 증착 속도를 결정할 수 있다.
생성되는 필름 또는 코팅은 비제한적으로 플라즈마 처리, 화학적 처리, 자외선 광 노출, 전자 빔 노출, 및/또는 필름의 하나 이상의 특성에 영향을 미치는 기타 처리와 같은 증착후 처리에 노출될 수 있다.
본원에 기재된 방법에서, 본원에 기재된 방법의 단계는 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 또 다른 단계의 적어도 일부 동안), 및 이들의 임의의 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 질소-함유 공급원 가스를 공급하기 위한 개개 단계는 생성된 유전체 필름의 화학량론적 조성을 변화시키기 위하여 이러한 것들을 공급하는 기간을 변경함으로써 수행될 수 있다.
특정의 구체예에서, 각각 (130 및 140)으로 도 9b에 나타나 있는 부동태화 층(1 및 2)은 동일한 규소-함유 전구체를 사용하여 증착된다. 부동태화 층(1)을 위한 규소-함유 필름은 규소, 및 질소-함유 공급원의 존재하에 형성된 질소를 포함한다. 질소-함유 공급원은 하나 이상의 질소 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에 사용되는 다른 전구체에 부수적으로 존재할 수 있다. 부동태화 층(2)을 위한 규소-함유 필름은 규소, 및 산소를 포함하는 산소 공급원, 시약 또는 전구체를 사용하여 산소의 존재하에 상기 기재된 방법을 이용하여 증착된 산소를 포함한다.
특정의 구체예에서, 하부에서 SiCO 또는 SiO2 및 상부에서 SiNC 또는 Si3N4를 포함하는 상부 내지 하부에서 그라디에이팅(gradiating)된 그라디에이팅된 층 또는 이중 층을 증착시키는 것이 유리할 수 있다. 이러한 구체예에서, 그라디에이팅된 층은 규소-함유 전구체 및 산소 함유 전구체, 예컨대, 트리실릴아민 및 O2, 오존, 또는 N2O를 포함하는 첫 번째 시약 혼합물로부터 증착된 후 산소 함유 가스의 유동이 질소 함유 가스, 예컨대, N2, 암모니아, 또는 하이드라진으로 교체된다. 규소 함유 전구체가 질소를 이미 함유하는 경우, 두 번째 단계는 단지 불활성 가스 또는 수소를 사용하여 수행될 수 있다. 산소를 질소 함유 또는 불활성 가스로 변화시키는 것은 점진적으로 또는 신속하게 그라디에이팅된 층 또는 이중 층 구조물을 야기할 수 있다. 그러한 그라디에이팅된 층 또는 이중 층은, SiOC 층이 SiCN 층의 증착 동안 발생된 수소로부터 하부 층을 보호하면서, SiCN 층이 최종 디바이스에서 수분 배리어로서 역할을 하기 때문에 유리하다. 이러한 구체예를 위한 규소 전구체는 산소를 함유하지 않을 것인데, 그 이유는 전구체로부터의 산소는 SiCN 또는 Si3N4 필름으로의 도입을 방지하기가 어렵기 때문이다.
증착을 위한 반응기 또는 증착 챔버의 온도는 다음 종말점 중 하나로부터의 범위일 수 있다: 주위 온도 25℃; 50℃; 75℃; 100℃; 125℃; 150℃; 175℃; 200℃; 225℃; 250℃; 300℃; 325℃; 350℃; 375℃; 400℃; 및 이들의 임의의 조합. 이와 관련하여, 증착을 위한 반응기 또는 증착 챔버의 온도는 주위 온도 25℃ 내지 약 400℃, 100℃ 내지 370℃, 150℃ 내지 325℃, 또는 100℃ 내지 300℃, 또는 본원에 기재된 온도 종말점의 임의의 조합의 범위일 수 있다.
반응기 또는 증착 챔버의 압력은 약 0.1Torr 내지 약 1000Torr의 범위일 수 있다. 전구체 및 산소 공급원, 및/또는 다른 전구체, 공급원 가스, 및/또는 시약을 공급하기 위한 개개 단계는 생성된 유전체 필름의 화학량론적 조성을 변화시키기 위하여 이러한 것들을 공급하는 시간을 변경함으로써 수행될 수 있다.
하기 실시예는 본원에 기재된 유전체 필름을 제조하는 방법을 예시한 것이고, 어떠한 방식으로 이를 제한하고자 의도된 것이 아니다.
실시예
일반적인 증착 조건
중간 저항(8-12Ωcm) 단결정 규소 웨이퍼 기판 상에 규소 함유 필름을 증착시켰다. 특정의 실시예에서, 기판은 증착전 처리, 예컨대, 이로 제한되지는 않지만, 플라즈마 처리, 화학적 처리, 자외선 광 노출, 전자 빔 노출, 및/또는 필름의 하나 이상의 특성에 영향을 미치는 다른 처리에 노출될 수 있다. 예를 들어, IGZO 필름이 N2O, O2, 또는 O3 플라즈마 처리 또는 O3 화학적 처리에 주어지게 하여 IGZO의 완전한 산화를 보장하는 것이 유리할 수 있다. 이는 반도체 특성이 필름 증착 전에 보호되거나 향상되게 한다.
실란 또는 TEOS 공정 키트를 사용하여 Astron EX 원격 플라즈마 발생기가 장착된 200mm DXZ 챔버에서 Applied Materials Precision 5000 시스템으로 모든 증착을 수행하였다. PECVD 챔버에는 직접 액체 주입 전달 능력이 구비된다. 실란을 제외하고, 모든 전구체는 전구체의 비점에 따라 전달 온도를 지니는 액체였다. 전형적인 액체 전구체 유량은 100mg/min 내지 800mg/min의 범위이고, 플라즈마 전력 밀도는 0.75W/cm2 내지 2.5W/cm2의 범위이고, 압력 범위는 0.75torr 내지 8torr였다. 필름의 두께 및 632nm에서의 굴절률(RI)을 반사율 시험기에 의해 측정하였다. 모든 상기 분석을 위한 전형적인 필름 두께는 100nm 내지 1000nm의 범위였다. 일반적으로, RI은 이러한 연구에서 필름 특성의 민감한 지표가 아니었다. 규소-함유의 결합 특성을 Nicolet 투과율 푸리에 변환 적외선 분광법(FTIR) 기기로 분석하였다. 모든 밀도 측정을 X-선 반사율(X-ray reflectivity: XRR)을 사용하여 수행하였다. 필름 조성을 측정하기 위해 X-선 광전자 분광기(X-ray Photoelectron Spectroscopy: XPS) 및 러더포드 후방산란 분광기(RBS)를 수행하였다. 습식 에칭 속도(Wet etch rate: WER)를 10:1 완충된 산화물 에칭(Buffered Oxide Etch: BOE) 용액에서 측정하였다. 유전 상수, 전기 누설 및 항복 전장이 나타나는 모든 필름 측정을 위해 수은 프로브를 사용하였다. Sinton WCT-120 Quasi Steady State Photoconductive Decay 기기를 사용하여 5×1014cm-3 및 1×1015cm-3의 소수 캐리어 농도로 플로트 구역 고저항 규소에서 소수 캐리어 수명을 측정하였다.
다음과 같이 요약된 실험(DOE)의 설계 방법을 이용하여 규소 전구체를 선별하였다: 전구체 흐름 100mg/min 내지 800mg/min; NH3/He 흐름 100sccm 내지 1000sccm, 압력 0.75torr 내지 8torr; RF 전력(13.56MHz) 400W 내지 1000W; 저주파수(LF) 전력 0W 내지 100W; 및 증착 온도 범위 150℃ 내지 350℃. 어떠한 공정 파라미터가 디스플레이 디바이스에서 부동태화 층으로서 사용하기에 최적의 필름을 생성시키는지를 결정하기 위하여 DOE 실험을 이용하였다.
100℃ 미만의 웨이퍼 온도로 Kurt Lesker Sputtering 시스템을 이용하여 IGZO 표적으로부터 스퍼터링(sputtering)함으로써 IGZO 필름을 제조하였다. 스퍼터링 압력은 가스 혼합물로서 10% 산소와 90% 아르곤으로 약 6mTorr였다. 그 후에, 웨이퍼를 N2 주위 대기에서 2시간 동안 350℃로 어닐링하였다. Signatone 4-점 프로브를 사용하여 어닐링 후 시트 저항을 추정하였다. 어닐링 전과 후의 시트 저항을 Keithley 6517A 전위계 & 8009 피쳐(동심 링 전극 접점으로)에 의해 측정하였다.
실시예 1: 트리실릴아민(TSA) 및 암모니아(NH3)를 사용한 규소-함유 필름의 증착
어떠한 필름이 밀도 및 수소 함량 면에서 적합한 부동태화 층일지를 알아보기 위하여 다수의 규소-함유 필름을 8인치 규소 기판 상에 전구체로서 트리실릴아민(TSA)을 사용하여 증착시켰다. XPS 및 RBS/HFS에 의해 필름의 조성을 측정하였는데, 이는 필름이 SixNy:Hz(규소, 질소, 및 수소의 양 또는 x, y, 및 z의 원자 퍼센트는 필름에 따라 변화됨)를 포함하였음을 보여주었다. 도 1은 TSA 전구체를 사용하여 증착된 이러한 배리어 필름에 대한 밀도 대 H-함량 관계를 보여주는 것이다.
TSA에 의해 증착되고 도 1에 나타나 있는 필름 중에서, TSA를 사용하여 가장 높은 밀도와 가장 낮은 수소 함량을 지니는 규소-함유 필름을 증착시키는데 이용된 공정 조건은 다음과 같았다: TSA 흐름(100-200mgm), NH3 흐름(100sccm), He(1000sccm), 압력(2torr), RF(400W), LF(0-100W), 및 온도(300℃). 도 1에 나타나 있는 필름 중에서, 데이터 세트에서 가장 우수한 TSA 필름을 생성시킨 공정 조건은 각각 2.4 - 2.5g/cm3 및 2.0×1022 내지 2.2×1022cm-3의 밀도 및 수소 함량을 가졌다.
도 1에 나타나 있는 그러한 데이터 값에 대하여, 도 2는 200℃ 내지 300℃ 범위의 온도에서 증착된 다양한 TSA 증착된-필름에 대한 밀도(좌측 x-축), 증착 온도(y-축), 및 H-함량(우측 x-축) 사이의 관계를 나타낸 것이다. 정사각형 데이터 값은 3개의 상이한 증착 온도(예, 200℃, 250℃ 및 300℃)에서 증착된 각각의 필름에 대한 H-함량을 나타낸 것이고, 다이아몬드 데이터 값은 밀도를 나타낸 것이다. 도 2는 일반적으로 H-함량이 증가함에 따라서 밀도가 감소됨을 보여준다.
도 3은 모두 300℃에서 증착된 다양한 TSA-증착된 필름에 대한 밀도와 H-함량의 관계를 나타낸 것이다. 다이아몬드와 정사각형으로 표시된 데이터 값은 상이한 공정 조건을 나타낸다. 다이아몬드 데이터 값은 LF 전력을 지니지 않는 반면, 정사각형 데이터 값은 가해진 LF 전력을 지녔다. 데이터는 LF 전력이 가해진 증착이 일반적으로 더 낮은 H 함량을 가졌음을 보여준다.
비교예 2: 디메틸디에톡시실란(DMDES)을 사용한 규소-함유 필름의 증착
전구체로서 디메틸디에톡시실란(DMDES)을 사용하여 규소-함유 필름을 증착시켰다. 필름의 조성을 XPS에 의해 측정하였는데, 이는 필름이 SixCyOa:Hz(규소, 탄소, 산소 및 수소의 양 또는 x, y, a 및 z의 원자 퍼센트는 필름에 따라 변화됨)를 포함하였음을 보여주었다. 도 1은 DMDES 전구체를 사용하여 증착된 이러한 규소-함유 필름에 대한 밀도 대 H-함량 관계를 나타낸 것이다.
DMDES 전구체를 사용하여 도 1에 나타나 있는 필름의 가장 높은 밀도 및 가장 낮은 수소 함량을 생성시킨 공정 파라미터는 다음과 같았다: DMDES 흐름(200mgm), H2 흐름(1000sccm), He(300sccm), 압력(2torr), RF(400W), LF(100W), 및 온도(300℃). 이러한 조건하에서 이러한 필름에 대한 밀도 및 H-함량은 각각 2.0g/cm3 및 1.6×1022cm- 3였다. DMDES 증착된 필름은 TSA 증착된 필름과 비교해 볼 때, 금속 산화물 층을 포함하는 디스플레이 디바이스를 위한 최적의 부동태화 층에 필요한 밀도 또는 수소 함량을 지니지 않았다.
비교예 3: 디-이소프로필아미노실란(DIPAS)을 사용한 규소-함유 필름의 증착
전구체로서 디-이소프로필아미노실란(DIPAS)을 사용하여 규소-함유 필름을 증착시켰다. 필름을 XPS에 의해 분석하였는데, 이는 필름이 SixCyNa:Hz(규소, 탄소, 질소 및 수소의 양 또는 x, y, a 및 z의 원자 퍼센트는 필름에 따라 변화됨)을 포함하였음을 보여주었다. 도 1은 DIPAS 전구체를 사용하여 증착된 이러한 규소-함유 필름에 대한 밀도 대 H-함량 관계를 나타낸 것이다.
DIPAS 전구체를 사용하여 도 1에 나타나 있는 필름의 가장 높은 밀도 및 가장 낮은 수소 함량을 생성시킨 공정 파라미터는 다음과 같았다: DIPAS 흐름(200mgm), NH3 흐름(500ccm), He(300sccm), 압력(2torr), RF(800W), LF(0W), 및 온도(300℃). 이러한 조건하에서 SiCNH 필름에 대한 밀도와 H-함량은 각각 2.3g/cm3 및 3.1×1022cm- 3였다. DIPAS 증착된 필름은 TSA 증착된 필름과 비교해 볼 때, 금속 산화물 층을 포함하는 디스플레이 디바이스를 위한 최적의 부동태화 층에 필요한 밀도 또는 수소 함량을 지니지 않았다.
비교예 4: 1,4-디실라부탄을 사용한 규소-함유 필름의 증착
전구체로서 1,4-디실라부탄을 사용하여 규소-함유 필름을 증착시켰다. 필름을 XPS에 의해 분석하였는데, 이는 필름이 SixCyNa:Hz(규소, 탄소, 질소, 및 수소의 양 또는 x, y, a 및 z의 원자 퍼센트는 필름에 따라 변화됨)을 포함하였음을 보여주었다. 도 1은 1,4-디실라부탄 전구체를 사용하여 증착된 이러한 규소-함유 필름에 대한 밀도 대 H-함량 관계를 나타낸 것이다.
1,4-디실라부탄 전구체를 사용하여 도 1에 나타나 있는 필름의 가장 높은 밀도 및 가장 낮은 수소 함량을 생성시킨 공정 파라미터는 다음과 같았다: 1,4-디실라부탄 흐름(200mgm), NH3 흐름(500sccm), He(300sccm), 압력(2torr), RF(1000W), LF(100W), 및 온도(300℃). 이러한 조건하에서 SiCNH 필름에 대한 밀도와 H-함량은 각각 2.3g/cm3 및 2.95E22cm- 3였다. 1,4-디실라부탄 증착된 필름은 TSA 증착된 필름과 비교해 볼 때, 금속 산화물 층을 포함하는 디스플레이 디바이스를 위한 최적의 부동태화 층에 필요한 밀도 또는 수소 함량을 지니지 않았다.
비교예 5: TSA와 트리-디메틸아미노실란(tDMAS)의 혼합물을 사용한 규소-함유 필름의 증착
전구체로서 0, 0.60, 1.00 및 1.67의 다른 비율로 TSA와 트리-디메틸아미노실란(tDMAS)의 혼합물을 사용하여 규소-함유 필름을 증착시켰다. 필름을 XPS에 의해 분석하였는데, 이는 필름이 SixCyNa:Hz(규소, 탄소, 질소, 및 수소의 양 또는 x, y, a 및 z의 원자 퍼센트는 필름에 따라 변화됨)을 포함하였음을 보여주었다. 도 1은 TSA와 tDMAS 전구체의 혼합물을 사용하여 증착된 이러한 규소-함유 필름에 대한 밀도 대 H-함량 관계를 나타낸 것이다.
TSA-tDMAS 혼합물을 사용하여 도 1에 나타나 있는 필름의 가장 높은 밀도 및 가장 낮은 수소 함량을 생성시킨 공정 파라미터는 다음과 같았다: TSA 흐름(150mgm), tDMAS 흐름(250mgm), H2 흐름(300sccm), He(1000sccm), 압력(4torr), RF(600W), LF(0W), 및 온도(300℃). 이러한 조건하에서 SiCNH 필름에 대한 밀도와 H-함량은 각각 1.9g/cm3 및 3.7×1022cm- 3였다. 도 1을 참조해 볼 때, TSA 증착된 필름 및 tDMAS-H2 증착된 필름과 비교해 볼 때 TSA-tDMAS 증착된 필름은 금속 산화물 층에 대하여 가장 낮은 밀도 및 가장 높은 수소 함량을 가졌다. 또한, tDMAS-NH3 필름은 더 높은 밀도를 지니고, 이의 수소 함량은 또한 비교적 더 높았다.
비교예 6: 트리-디메틸아미노실란(tDMAS) 및 희석제로서 암모니아를 사용한 규소-함유 필름의 증착
상기 기재된 일반적인 증착 조건을 이용함으로써 전구체로서 트리-디메틸아미노실란(tDMAS) 및 희석제로서 NH3를 사용하여 규소-함유 필름을 증착시켰다. 필름을 XPS에 의해 분석하였는데, 이는 필름이 SixCyNa:Hz(규소, 탄소, 질소, 및 수소의 양 또는 x, y, a 및 z의 원자 퍼센트는 필름에 따라 변화됨)을 포함하였음을 보여주었다. 도 1은 tDMAS 전구체와 희석제로서의 NH3의 혼합물을 사용하여 증착된 이러한 규소-함유 필름에 대한 밀도 대 H-함량 관계를 나타낸 것이다. 도 1을 참조하면, tDMAS-NH3 증착된 필름은 TSA 증착된 필름과 비교해 볼 때, 금속 산화물 층을 포함하는 디스플레이 디바이스를 위한 최적의 부동태화 층에 필요한 밀도 또는 수소 함량을 지니지 않았다.
비교예 7: 트리-디메틸아미노실란(tDMAS) 및 희석제로서 수소를 사용한 규소-함유 필름의 증착
상기 기재된 일반적인 증착 조건을 이용함으로써 전구체로서 트리-디메틸아미노실란(tDMAS) 및 희석제로서 H2의 혼합물을 사용하여 규소-함유 필름을 증착시켰다. 필름을 XPS에 의해 분석하였는데, 이는 필름이 SixCyNa:Hz(규소, 탄소, 질소, 및 수소의 양 또는 x, y, a, 및 z의 원자 퍼센트는 필름에 따라 변화됨)을 포함하였음을 보여주었다. 도 1은 tDMAS 전구체와 희석제로서 H2의 혼합물을 사용하여 증착된 이러한 규소-함유 필름에 대한 밀도 대 H-함량 관계를 나타낸 것이다. 도 1을 참조하면, tDMAS-H2 증착된 필름은 TSA 증착된 필름과 비교해 볼 때, 금속 산화물 층을 포함하는 디스플레이 디바이스를 위한 최적의 부동태화 층에 필요한 밀도 또는 수소 함량을 지니지 않았다. 또한, tDMAS-H2 증착된 필름은 tDMAS-NH3 증착된 필름과 마찬가지로 잘 수행되지 않았다.
실시예 8: TEOS 및 TSA 부동태화 층을 사용하여 증착된 규소 함유 층에 대한 수분 배리어 성능의 비교
상기 실시예에서 증착된 규소-함유 필름의 상대적인 수분 배리어 성능을 평가하기 위하여, 시험을 이러한 특성을 측정하도록 진행시켰다. 이러한 시험에서, 덜 조밀한 이산화규소(SiO2) 층을 먼저, 필름을 수분에 대해 민감하게 만드는 공정 조건 하에서 규소 웨이퍼 상에 250℃에서 TEOS을 사용하여 증착시켰다. 그러한 필름이 대기 수분에 노출되는 경우, 또는 대안적으로 85℃에서 85% 습도의 대기를 이용하는 가속화된 시험의 이러한 비교 시험의 경우, 필름 응력은 늘어진 상태에서 압축된 상태로 변하였다. 이러한 실시예 및 도 6에서, 조밀한 TEOS 및 조밀한 TSA 필름을 비교하여 이들의 상대적인 수분 배리어 성능을 평가하였다. 이러한 필름들 둘 모두를 덜 조밀한 TEOS 산화물 필름 상에 증착시켰다.
배리어 성능을 측정하기 위하여, 얇은 예시적인 규소-함유 필름 층을 수분 민감성 SiO2 층의 상부 상에 증착시키고, 필름 스택의 응력을 가속화된 85% 습도, 85℃ 및 이후 대기 조건(예, 공기)에 대한 노출의 간격으로 측정하였다. 웨이퍼를 85% 습도 및 85℃ 오븐에 넣었다. 응력 측정을 공기 중에서 실시하였다. 도 5에 나타난 바와 같이, TSA 및 NH3로부터 증착된 필름 또는 웨이퍼(6, 7, 및 8) 모두는 2.52g/cm3의 필름 밀도를 지니고, 가장 우수한 부동태화 또는 배리어 층을 제공함으로써 필름 스택의 응력이 임의로 변하는 경우 조금 입증된 바와 같이 아래에 있는 층에 어떠한 수분도 거의 허용하지 않을 것이다.
도 4는 다음을 포함하는 100nm 두께의 부동태화 층에 대한 (MPa)로 측정된 응력과 시간(시)의 비교를 제공한 것이다: (A) (도면 상에 다이아몬드로 나타나 있음) 트리실릴아민 및 NH3(밀도 = 2.36g/cm3); (B) (도면 상에 삼각형으로 나타나 있음) 디-이소프로필아미노실란 및 NH3; (C) (도면 상에 정사각형으로 나타나 있음) 디메틸디에톡시실란 및 H2(밀도 = 1.95g/cm3); 및 (D) (도면 상에 원형으로 나타나 있음) 트리메틸실란 및 NH3(밀도 = 1.88g/cm3). 도면 상에 선 (D)로서 나타나 있는 트리메틸실란 및 암모니아로부터 증착되고 1.88g/cm3의 밀도를 지니는 SiCN 필름은 필름 응력의 급격한 하강(배리어 없이 첫 번째 1시간 내에 250에서부터 -100MPa로 필름 응력이 하강한 지점)에 의해 입증되는 바와 같이 TSA만큼 우수한 배리어 성능을 나타내지 않았다. 디메틸디에톡시실란 및 H2로부터 증착된 SiOC 배리어 필름은 1.95g/cm3의 밀도를 지니고, 도면 상의 선 (C)와 같이 나타나 있으며, 배리어 성능 면에서 TSA 필름과 DMDES 필름 사이로 떨어진다. DIPAS 및 NH3로부터 증착된 SiCN 필름은 DMDES 및 H2로부터 증착된 필름과 유사한 배리어 성능을 나타내고, 2.11g/cm3의 밀도를 지녔다. TEOS를 사용하여 증착된 산화규소 층과 TSA를 사용하여 증착된 질화규소 층을 포함하는 이중 부동태화 층 구조물을 포함하는 추가의 시험 구조물은 도 6에 나타나 있는 구조물과 같다. TEOS-증착된 산화규소 층의 두께는 850nm였고, TSA-증착된 질화규소 층의 두께는 50nm 또는 100nm였다. 50nm TSA-증착된 질화규소를 지니는 시험 웨이퍼(3)에 대한 증착 조건은 800W의 전력, 2Torr의 압력, TSA 100(mg/min.)의 유량, 1,000sccm의 헬륨 유량, 100sccm의 암모니아 유량, 및 100℃의 증착 온도였다. 시험 구조물(3)의 TSA 층의 밀도는 2.342g/cm3였다. 모든 시험 구조물을 50nm 및 100nm로 동일한 방식으로 증착시켰는데, 도 10에 나타나 있는 동일한 밀도를 지녔다. 구조물을 이러한 실시예에 기재된 바와 같은 기밀성의 지표인 촉진 내후 시험(accelerated weather testing)에 주어지게 하였고, 그 결과는 도 10에 제공되어 있다. 도 10은 보다 두꺼운 질화규소 부동태화층 또는 100nm 층을 지니는 시험 구조물이 더 얇거나 50nm 층을 지니는 구조물에 비해 안정하고, 그에 따라서, 보다 우수한 기밀성을 가졌다는 것을 보여준다.
실시예 9: 산화규소 층에 질화규소 층이 추가로 놓여진 In-Ga-Zn-O(IGZO) 금속 산화물 필름 상의 PECVD 산화규소 두께의 영향에 대한 평가
도 6은 2.36g/cm3 밀도의 질화규소를 제공하는 IGZO 금속 산화물 층(610), 테트라에톡시실란(TEOS)에 의해 증착된 플라즈마-강화 화학적 기상 증착(PECVD) 산화규소 층(630), 및 TSA 및 NH3에 의해 증착된 질화규소 층(640)을 포함하는 디스플레이 디바이스의 예시적인 구조물을 제공한 것이다. 산화규소 층(630)의 두께는 0 내지 250나노미터(예, 15nm, 60nm, 115nm, 185nm, 200nm, 및 250nm)로 달리하여 소수 캐리어 수명에 대한 이의 영향을 결정하였다. TSA 질화규소 층의 두께는 약 100nm였다. 필름을 상기 기재된 일반적인 증착 조건 및 다음 공정 조건에 따라 증착시켰다: (1) TEOS: 전력=910W, 압력=8.2Torr, TEOS 흐름=1000mg/min, O2 흐름=1000sccm, He 흐름=1000sccm; 및 (2) TSA: 전력=400W, 압력=4Torr, TSA 흐름=200mg/min, NH3 흐름=100sccm, He 흐름=1000sccm.
도 7은 두 개의 상이한 수준의 캐리어 주입기에서 측정함으로써 도 7에 나타나 있는 데이터의 두 선을 생성시킨 플로트 구역 고저항 규소(1000Ω-cm)에서 소수 캐리어 수명에 대한 필름 두께의 영향을 나타낸 것이다(예, 1.00 × 10-15 및 5.00 × 10-14 cm- 3). 산화규소 두께가 얇거나 없는 곳에서, 질화규소 필름으로부터의 수소는 규소 기판의 표면에 확산되고, 표면 결함을 부동태화시킴으로써 표면 재결합 속도를 저하시키고, 이에 의해서 소수 캐리어 수명을 증가시켰다. 산화규소 층의 두께가 증가함에 따라서, 산화물 필름을 통한 수소 확산은 저하되고, 소수 캐리어 수명이 이어서 저하된다. 도 7은 도면 상의 곡선이 150nm 또는 그 초과의 두께인 경우에 평평해지므로, 산화규소 필름을 통한 수소 확산을 최소화시키는 바람직한 두께가 약 150nm 내지 약 200nm의 범위임을 보여준다. 이러한 두께는 필름을 통한 수소 확산을 막기에 충분하다. 너무 두꺼운 필름은 최종 사용자 관점에서 바람직하지 않을 수 있다.
실시예 10: IGZO 저항에 대한 부동태화 층 구조물의 비교
질화규소로부터의 수소 확산에 대한 배리어로서 작용하는 산화규소의 능력을 도 8a 내지 8f에 나타나 있는 바와 같은 다양한 부동태화 층 구조물을 비교함으로써 금속 산화물 또는 IGZO 기판에 대하여 평가하였다. 일반적인 증착 조건에서 상기 기재된 바와 같이 적층된 구조물은 규소 기판 상에 질화규소 필름을 증착시키고, 이어서 산화규소를 증착시킨 후, 약 50nm의 IGZO를 스퍼터링시키는 것으로 구성되었다. 필름 스택을 본원에 기재된 바와 같이 불활성 대기에서 350℃로 열적 어닐링에 2시간 동안 노출시켰다. IGZO 금속 산화물의 저항이 줄어든 정도를 결정하기 위하여 필름의 그 다음 저항을 측정하였다. 표 I은 도 8a 내지 8e에 도시되고 실시예 10a 내지 10f로 언급되어 있는 적층된 구조물에 대한 비교 데이터를 제공한 것이다.
실시예 10a: 도 8a에 도시된 바와 같이 Si 웨이퍼 기판(810) 위에 100nm의 열적 산화물(820)을 성장시키고, 이어서, 이의 표면 상에 50nm의 비정질 IGZO(830)를 스퍼터링시키고, 불활성(N2) 분위기하에 2시간 동안 350℃에서 어닐링시켰다. 후속 어닐링 저항을 측정하였고, 1.1×105Ω/□로 결정되었다.
실시예 10b: 도 8b에 나타난 바와 같이, Si 웨이퍼 기판(810) 위에 200nm의 PECVD 산화규소 층(822)을 TEOS를 사용하여 증착시키고, 이어서, TSA 전구체로부터의 2.52g/cm3의 밀도를 지니는 100nm PECVD 질화규소 층(825)을 증착시키고, 이어서, 이의 표면 상에 50nm의 비정질 IGZO(830)를 스퍼터링시키고, 불활성(N2) 분위기하에 2시간 동안 350℃에서 어닐링시켰다. 후속 어닐링 저항을 측정하였고, 1.9×103Ω/□로 결정되었다.
실시예 10c: 도 8c에 나타난 바와 같이, Si 웨이퍼 기판(810) 위에 200nm의 PECVD 산화규소 층(822)을 TEOS를 사용하여 증착시키고, 이어서, TSA 전구체로부터의 2.52g/cm3의 밀도를 지니는 100nm PECVD 질화규소 층(825), 이어서, TEOS 전구체를 사용하여 100nm의 PECVD 산화규소 층(828)을 증착시키고, 이의 표면 상에 50nm의 비정질 IGZO(830)를 스퍼터링시키고, 불활성(N2) 분위기하에 2시간 동안 350℃에서 어닐링시켰다. 후속 어닐링 저항을 측정하였고, 3.9×103Ω/□로 결정되었다.
실시예 10d: 도 8c에 나타난 바와 같이, Si 웨이퍼 기판(810) 위에 200nm의 PECVD 산화규소 완충물(822)을 증착시키고, 이어서, TSA 전구체로부터의 2.52g/cm3의 밀도를 지니는 100nm PECVD 질화규소 층(825), 이어서, TEOS 전구체를 사용하여 200nm의 PECVD 산화규소(828) 층을 증착시키고, 이어서, 이의 표면 상에 50nm의 비정질 IGZO(830)를 스퍼터링시키고, 불활성(N2) 분위기하에 2시간 동안 350℃에서 어닐링시켰다. 후속 어닐링 저항을 측정하였고, 1.1×104Ω/□로 결정되었다. 실시예 10d는 실시예 10c와 PECVD 산화규소 층(828)이 실시예 10c에서 비슷한 층(828)의 두께의 2배이거나 200nm인 점이 다르다.
실시예 10e: 도 8d에 나타난 바와 같이, Si 웨이퍼 기판(810) 위에 200nm의 PECVD 산화규소 층(822)을 400℃에서 TEOS를 사용하여 증착시키고, 이어서, 200nm의 PECVD TEOS 기반 산화규소(828)를 증착시키고, 이어서, 이의 표면 상에 50nm의 비정질 IGZO(830)을 스퍼터링시키고, 불활성(N2) 분위기하에 2시간 동안 350℃에서 어닐링시켰다. 후속 어닐링 저항을 측정하였고, 1.1×104Ω/□로 결정되었다.
실시예 10f: 도 8e에 나타난 바와 같이, Si 웨이퍼 기판(810) 위에 200nm의 PECVD 산화규소 층(822)을 증착시키고, 이어서, 100nm의 실란 기반 PECVD 질화규소 층(840), 이어서, 200nm의 PECVD 실란 기반 산화규소(848)를 증착시키고, 이어서, 이의 표면 상에 50nm의 비정질 IGZO(830)을 스퍼터링시키고, 불활성(N2) 분위기하에 2시간 동안 350℃에서 어닐링시켰다. 후속 어닐링 저항을 측정하였고, 3.9×103Ω/□로 결정되었다.
표 I을 참조하면, 실시예 10a, 또는 도 8a에 나타나 있는 구조물은 열적 산화규소 단독을 보여주고 있으며, 2.0에 가까운 규소에 대한 산소의 비율을 함유하는 가장 높은 순도의 산화규소 또는 충분한 화학량론적 SiO2 필름의 경우를 나타낸다. 이러한 산화물은 IGZO 필름의 저항에 가장 낮은 영향을 미쳤다. 산화규소 부동태화 층을 지니지 않는 구조물, 또는 실시예 10b(도 8b에 도시된 구조물)는 1.1 × 105에서부터 1.9 × 103Ω/□로 IGZO 시트 저항의 가장 큰 감소를 지니는 것으로 밝혀졌다. 100nm 또는 200nm의 산화규소 두께를 지니는 필름 또는 실시예 10c 및 10d는 IGZO 저항의 감소를 나타냈다. PECVD 산화규소를 지니고 질화규소 부동태화 층을 지니지 않는 필름 또는 실시예 10e(도 8d에 도시된 구조물)는 200nm 산화규소/질화규소 필름 스택과 비슷한 저항 감소를 나타냈는데, 이는 수소 확산에 대한 효과적인 배리어를 시사하는 것뿐만 아니라, 열적 산화물에 대해 PECVD 산화규소 필름으로부터의 IGZO 저항 감소에 일부 기여함을 나타내는 것이다. 표 1은 또한, 현재 산업 표준이고, 예를 들어, 본원에 기재된 Liu 등의 참조 문헌에 이용된 실시예 10f(도 8e에 도시된 구조물)에서 산화규소와 질화규소 둘 모두에 대한 전구체로서 실란 가스를 둘 모두 사용하여 얻어진 결과를 제공한 것이다. 실시예 10f는 금속 산화물이 디스플레이 디바이스로서 효과적인 반도체 상태(예를 들어, 1×104 내지 1×105(Ω/□)의 저항 측정치를 지님)로 존재하지 않기 때문에 부동태화 층(들)의 선택이 중요하다는 것을 보여준다. 결과는 300℃에서 실란 기반 산화물에 대해 최적화된 오가노실란 기반 산화물의 경우 IGZO 저항에 대한 더 적은 영향을 나타냈다.
표 I: IGZO 시트 저항에 대한 필름 스택 조성의 영향
Figure pat00001
실시예 11: 고밀도를 지니는 트리에틸실란(3ES)을 사용한 얇은 SiO2 필름의 증착
3ES 산화규소 필름에 대한 공정 조건을 다음과 같이 요약된 실험(DOE)의 설계를 이용하여 선별하였다: 100sccm 내지 800sccm의 전구체 흐름; 20sccm 내지 100sccm의 O2/He 흐름, 0.75torr 내지 10torr의 압력; RF 전력(13.56MHz) 0.5-3W/cm2; 저주파수(LF) 전력 0W 내지 100W; 및 100℃ 내지 150℃ 범위의 증착 온도. 어떠한 공정 파라미터가 디스플레이 디바이스에서 게이트 절연 층으로서 사용하기 위한 최적의 필름을 생성시는지를 결정하기 위하여 DOE 실험을 이용하였다.
그 후에, 상기 기재된 더 낮은 증착 온도, 예컨대, 100℃, 125℃ 및 150℃에서 전구체 3ES를 사용하여 SiO2 필름을 증착시켰다. 공정 파라미터, 예컨대, 전구체 흐름, 챔버 압력 및 전력 밀도 등을 최적화시킴으로써 고밀도의 얇은 SiO2 필름을 얻었다. 표 II는 상이한 온도 100℃, 125℃ 및 150℃에서 증착된 3ES 필름에 대하여 이용된 세 가지 공정 조건뿐만 아니라, 일반적인 증착 조건에서 본원에 기재된 방법을 이용하여 측정된 특정의 필름 특성, 예컨대, 두께, k 값 및 밀도의 요약을 나타낸 것이다. 일반적으로, 3ES를 사용하여 증착된 필름은 200nm 미만의 두께, 4 내지 5의 k 값, 및 2.2g/cm3 또는 그 초과의 밀도를 지녔다. 이러한 실시예는 3ES가 예를 들어, 도 9b에 예시된 그러한 구체예와 같은 TSA-증착된 질화규소 부동태화 층과 함께 예를 들어, 추가의 부동태화 층으로서 사용될 수 있는 고밀도 산화규소 층을 제공하기에 적합한 전구체 후보물질임을 보여준다.
표 II: 상이한 온도 100℃, 125℃ 및 150℃에서 증착된 3ES 필름에 사용된 공정 조건 및 필름 특성의 요약
Figure pat00002
실시예 12: 고밀도를 지니는 디에틸실란(2ES)을 사용한 얇은 SiO2 필름의 증착
2ES 산화규소 필름에 대한 공정 조건을 하기 요약된 실험(DOE) 방법의 설계를 이용하여 200℃ 미만의 온도에서 선별하였다: 전형적인 전구체 유량은 25sccm 내지 150sccm이고, 플라즈마 전력 밀도는 0.5 - 3W/cm2이고, 압력은 0.75 - 12torr였다.
SiO2 필름을 또한 2ES를 사용하여 100℃의 증착 온도에서 증착시켰다. 공정 파라미터, 예컨대, 전구체 흐름, 챔버 압력 및 전력 밀도, 및 다른 공정 조건을 최적화시킴으로써 고밀도의 얇은 SiO2 필름을 얻었다. 표 III는 100℃에서 증착된 2ES 필름에 대하여 사용된 공정 조건뿐만 아니라, 본원에 기재된 방법을 이용하여 얻어진 특정의 필름 특성, 예컨대, 두께, k 값 및 밀도의 요약을 나타낸 것이다. 필름은 200nm 미만의 두께 및 2.2g/cm3 초과의 밀도를 지녔다. 이러한 실시예는 2ES가 예를 들어, 도 9b에 예시된 그러한 구체예와 같은 TSA-증착된 질화규소 부동태화 층과 함께 예를 들어, 추가의 부동태화 층으로서 사용될 수 있는 고밀도 산화규소 층을 제공하기에 적합한 전구체 후보물질임을 보여준다.
표 III: 100℃에서 2ES-증착된 SiO2 필름에 사용된 공정 조건 및 필름 특성의 요약
Figure pat00003
실시예 13: 고밀도로 100℃에서 3ES를 사용한 얇은 SiO2 필름의 증착
실시예 13은 3ES를 사용한 얇고 고밀도의 SiO2 필름의 증착이 넓은 공정 범위를 제공한다는 것을 보여주기 위하여 이용된 것이다. 표 IV는 두 개의 3ES 증착된, SiO2 필름에 대한 공정 조건 및 상이한 전구체 흐름, 29sccm 및 68sccm에서의 필름 특성을 제공한 것이다. 표에는 넓은 범위의 증착 속도로 나타나 있음에도 불구하고, 고밀도 필름이 얻어졌다. 이러한 실시예는 3ES가 예를 들어, 도 9b에 예시된 그러한 구체예와 같은 TSA-증착된 질화규소 부동태화 층과 함께 예를 들어, 추가의 부동태화 층으로서 사용될 수 있는 고밀도 산화규소 층을 제공하기에 적합한 전구체 후보물질임을 보여준다.
표 IV: 100℃ 3ES 증착에 대한 공정 조건의 요약
Figure pat00004
실시예 14: 100℃ 및 150℃에서 3ES를 사용하여 증착된 얇은 SiO2 필름의 조성 데이터
필름 중의 탄소 농도를 검사하기 위하여 XPS를 사용하였다. 상대 원자 퍼센트를 표면에서 그리고 50nm 스퍼터링 후에 측정하였다. 표 V는 100℃ 및 150℃에서 증착된 두 개의 3ES 필름의 공정 조건 및 필름 특성을 보여주는 것이다. 표 VI는 필름의 XPS 데이터를 제공한 것이다. 벌크 필름에서 탄소는 검출되지 않았고, 필름의 O/Si 비율은 2.0 또는 화학량론에 매우 가까웠다. 이러한 실시예는 3ES가 예를 들어, 도 9b에 예시된 그러한 구체예와 같은 TSA-증착된 질화규소 부동태화 층과 함께 예를 들어, 추가의 부동태화 층으로서 사용될 수 있는 고밀도 산화규소 층을 제공하기에 적합한 전구체 후보물질임을 보여준다.
표 V: 3ES 필름의 공정 조건 및 필름 특성의 요약
Figure pat00005
표 VI: 표 5 공정 조건을 사용하여 증착된 3ES 필름의 XPS 데이터
Figure pat00006
실시예 15: 250℃및 350℃의 증착 온도에서 디에틸실란(2ES)의 증착
규소 전구체 2ES로부터 산화규소 필름을 증착시키고, 3ES SiO2 필름을 상이한 온도 및 공정 조건에서 상기 기재된 일반적인 증착 조건 및 다음 공정 조건을 이용하여 증착시켰다: 107sccm의 전구체 흐름; 1000sccm의 헬륨 운반 가스 흐름; 1100sccm의 산소(O2) 가스 흐름, 8.2torr의 압력; 500mil의 간격, 및 W/cm2의 전력 밀도.
350℃ 및 250℃의 증착 온도에서 상기 공정 조건에서 증착된 DES 증착된 필름에 대한 RBS에 의해 측정된 원자 %의 H-함량은 각각 2.0%(2.25g/cm3 밀도) 및 2.8%(2.26g/cm3의 밀도)였다. 이는 DES 증착된 필름 둘 모두가 RBS/HFS에 의해 측정하는 경우, 매우 낮은 전체 수소 함량(< 5%)을 지닌다는 것을 보여준다. 이는 또한 Si-H가 검출가능하지 않고 매우 적은 Si-OH 결합이 검출되었음을 보여주는 이러한 필름의 FTIR 분석에 의해서도 확인된다. 이러한 실시예는 2ES가 예를 들어, 도 9b에 예시된 그러한 구체예와 같은 TSA-증착된 질화규소 부동태화 층과 함께 예를 들어, 추가의 부동태화 층으로서 사용될 수 있는 고밀도 및 저 수소 함량의 산화규소 층을 제공하기에 적합한 전구체 후보물질임을 보여준다.
본원에 기재된 실시예 및 구체예는 이루어질 수 있는 예시적인 다수의 구체예이다. 특별히 개시된 물질이 아닌 다수의 물질이 이루어질 수 있는 것으로 고려된다. 공정에 대한 다수의 다른 형태가 또한 이용될 수 있으며, 공정에 사용된 물질이 특별히 개시된 물질이 아닌 다수의 물질로부터 선택될 수 있다.

Claims (20)

  1. 금속 산화물 층을 포함하는 기판; 및
    금속 산화물 중 적어도 일부 상에 증착되는 질화규소 층
    을 포함하는 장치로서, 질화규소 층이 약 2.4g/cm3 또는 그 초과의 밀도 및 4×1022cm-3 또는 그 미만의 수소 함량을 포함하는 장치.
  2. 제 1항에 있어서, 질화규소 층이 400-700나노미터에서 약 90% 또는 그 초과의 투과율을 지니는 장치.
  3. 제 1항에 있어서, 금속 산화물 층과 질화규소 층 사이에 증착되는 산화규소 층을 추가로 포함하는 장치.
  4. 제 3항에 있어서, 산화규소 층이 약 2.2g/cm3 또는 그 초과의 밀도를 포함하는 장치.
  5. 제 3항에 있어서, 산화규소 층이 5원자% 또는 그 미만의 수소 함량을 포함하는 장치.
  6. 제 1항에 있어서, 금속 산화물 층이 인듐 갈륨 아연 산화물(IGZO), a-IGZO(비정질 인듐 갈륨 아연 산화물), 인듐 주석 아연 산화물(ITZO), 알루미늄 인듐 산화물(AlInOx), 아연 주석 산화물(ZTO), 아연 옥시니트라이드(ZnON), 마그네슘 아연 산화물, 아연 산화물(ZnO), InGaZnON, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuAlO, SrCuo, LaCuOS, GaN, InGaN, AlGaN 또는 InGaAlN 및 이들의 조합물로 이루어진 군으로부터 선택된 하나 이상의 물질을 포함하는 장치.
  7. 금속 산화물 층을 포함하는 기판; 및
    금속 산화물 중 적어도 일부 상에 증착되는 질화규소 층; 및
    금속 산화물 층과 질화규소 층 사이에 증착된 산화규소 층
    을 포함하는 장치로서, 질화규소 층이 약 2.4g/cm3 또는 그 초과의 밀도 및 4×1022cm-3 또는 그 미만의 수소 함량, 및 400 내지 700나노미터에서 약 90% 또는 그 초과의 투과율을 포함하고,
    상기 산화규소 층이 약 2.2g/cm3 또는 그 초과의 밀도를 포함하는 장치.
  8. 제 7항에 있어서, 산화규소 층이 5원자% 또는 그 미만의 수소 함량을 포함하는 장치.
  9. 반응 챔버에 기판의 하나 이상의 표면을 제공하는 단계;
    규소 전구체를 반응 챔버에 도입하는 단계;
    산소 공급원, 질소-함유 공급원, 또는 이들의 조합물로부터 선택된 공급원을 반응 챔버에 도입하는 단계; 및
    약 25℃ 내지 350℃ 범위의 하나 이상의 온도에서 기판의 하나 이상의 표면 상에 규소-함유 박층을 기상 증착 공정을 통해 증착시키는 단계
    를 포함하는 금속 산화물을 포함하는 기판의 하나 이상의 표면 상에 규소-함유 필름을 증착시키는 방법으로서,
    상기 규소 전구체가
    a. 트리실릴아민(TSA);
    b. R1R2NSiH3의 화학식을 지니는 디알킬아미노실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않음);
    c. R1 nR2 mSiH4 -m-n의 화학식을 지니는 알킬실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않고; m은 0, 1, 2, 3, 4이며; n은 1, 2, 3임);
    d. R1 n(OR2)mSiH4 -m-n의 화학식을 지니는 알킬알콕시실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않고; m은 1, 2, 3, 또는 4이며; n은 0, 1, 2 또는 3임);
    e. (R1R2N)nSiH4 -n의 화학식을 지니는 오가노아미노실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; R2는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로부터 독립적으로 선택되고; R1과 R2는 연결되어 고리를 형성하거나 R1과 R2는 연결되지 않아서 고리를 형성하지 않으며; n은 2, 3, 또는 4임);
    f. 테트라(이소시아네이토)실란 및 트리(이소시아네이토)실란으로 이루어진 군으로부터 선택된 이소시아네이토실란;
    g. R1R2R3SiN3의 화학식을 지니는 알킬아자이도실란(상기 식에서, R1, R2, 및 R3은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택됨);
    h. (R1R2R3Si)2(CH2)n의 화학식을 지니는 알킬브릿지드 디실란(상기 식에서, R1, R2, 및 R3는 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택되고; n = 1, 2, 3임);
    i. Si(OR1)4의 화학식을 지니는 알콕시실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기, 및 이들의 조합으로 이루어진 군으로부터 독립적으로 선택됨)
    로 이루어진 군으로부터 선택되고,
    상기 기상 증착 공정이 화학적 기상 증착(CVD), 플라즈마 강화 화학적 기상 증착(PECVD), 사이클릭 화학적 기상 증착(CCVD), 플라즈마 강화 사이클릭 화학적 기상 증착(PECCVD), 원자층 증착(ALD), 및 플라즈마 강화 원자층 증착(PEALD)으로 이루어진 군으로부터 선택되는 방법.
  10. 제 9항에 있어서, 규소-함유 필름이 약 1.9g/cm3 또는 그 초과의 밀도, 4×1022cm-3 또는 그 미만의 수소 함량, 및 400 내지 700나노미터에서 >90%의 투과율의 특성들 중 적어도 하나 이상의 특성을 포함하는 방법.
  11. 제 9항에 있어서, 규소 전구체가 트리실릴아민, 테트라에톡시실란, 디에톡시디메틸실란, 1,4-디실라부탄, 디에틸실란, 및 트리에틸실란으로 이루어진 군으로부터 선택되는 방법.
  12. 제 9항에 있어서, 산소 공급원이 물(H2O), 산소(O2), 산소 플라즈마, 오존(O3), NO, N2O, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  13. 제 9항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, NF3 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  14. 제 9항에 있어서, 증착시키는 단계의 온도가 약 150℃ 내지 약 350℃의 범위인 방법.
  15. 제 9항에 있어서, 증착 공정이 플라즈마 강화 화학적 기상 증착(PECVD) 또는 PECCVD인 방법.
  16. 제 9항에 있어서, 규소 전구체가 트리실릴아민을 포함하는 방법.
  17. 제 9항에 있어서, 규소-함유 필름이 단일 부동태화 층을 포함하는 방법.
  18. 제 9항에 있어서, 규소-함유 필름이 이중 부동태화 층을 포함하는 방법.
  19. 기판의 하나 이상의 표면을 반응 챔버에 제공하고;
    규소 전구체를 반응 챔버에 도입하고;
    산소 공급원을 반응 챔버에 도입하고;
    반응 챔버에 25℃ 내지 350℃의 반응 온도를 제공하고;
    기판의 하나 이상의 표면 상에 규소-함유 그라디에이팅(gradiating)된 층의 하부 또는 이중 층의 하층을 증착시키고;
    산소 공급원을 중단시키고;
    질소-함유 공급원을 반응 챔버에 도입하고;
    기판의 하나 이상의 표면 상에 규소-함유 그라디에이팅된 층의 상부 또는 하부 규소-함유 그라디에이팅된 층의 일부 상의 이중 층의 상층 또는 이중 층의 하층을 증착시킴
    을 포함하는, 금속 산화물 기판의 하나 이상의 표면 상에 규소-함유 그라디에이팅된 층 또는 이중 층을 증착시키기 위한 방법으로서,
    상기 규소 전구체가
    a) 트리실릴아민;
    b) R1R2NSiH3의 화학식을 지니는 디알킬아미노실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬, 질소를 함유하는 알킬, 환형 알킬, 알케닐, 알키닐, 방향족 탄화수소, C6-10 아릴로 이루어진 군으로부터 선택되고; R2는 C1-10 선형 또는 분지형 알킬, 질소를 함유하는 알킬, 환형 알킬, 알케닐, 알키닐, 방향족 탄화수소, C6-10 아릴로 이루어진 군으로부터 선택되고; R1과 R2는 알킬 치환된 환형 알킬 또는 아릴 고리를 형성시킬 수 있음);
    c) R1 nR2 mSiH4 -m-n의 화학식을 지니는 알킬실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬, 질소를 함유하는 알킬, 환형 알킬, 알케닐, 알키닐, 방향족 탄화수소, C6-10 아릴로 이루어진 군으로부터 선택되고; R2는 C1-10 선형 또는 분지형 알킬, 질소를 함유하는 알킬, 환형 알킬, 알케닐, 알키닐, 방향족 탄화수소, C6-10 아릴로 이루어진 군으로부터 선택되고; R1과 R2는 알킬 치환된 환형 알킬 또는 아릴 고리를 형성시킬 수 있고; m은 0, 1, 2, 3, 4이며; n은 1, 2, 3임);
    d) (R1R2N)nSiH4 -n의 화학식을 지니는 오가노아미노실란(상기 식에서, R1은 수소, C1-10 선형 또는 분지형 알킬, 질소를 함유하는 알킬, 환형 알킬, 알케닐, 알키닐, 방향족 탄화수소, C6-10 아릴로 이루어진 군으로부터 선택되고; R2는 C1-10 선형 또는 분지형 알킬, 질소를 함유하는 알킬, 환형 알킬, 알케닐, 알키닐, 방향족 탄화수소, C6-10 아릴로 이루어진 군으로부터 선택되고; R1과 R2는 알킬 치환된 환형 알킬 또는 아릴 고리를 형성시킬 수 있고; n은 2, 3, 4임);
    e) 테트라(이소시아네이토)실란 및 트리(이소시아네이토)실란을 포함하는 이소시아네이토실란;
    f) R1R2R3SiN3의 화학식을 지니는 알킬아자이도실란(상기 식에서, R1-3은 C1-10 선형 또는 분지형 알킬, 질소를 함유하는 알킬, 환형 알킬, 알케닐, 알키닐, 방향족 탄화수소, C6-10 아릴로 이루어진 군으로부터 독립적으로 선택되고, 이들의 예로는 Me3SiN3, Et3SiN3를 포함하지만 이로 제한되지 않음);
    g) (R1R2R3Si)2(CH2)n의 화학식을 지니는 알킬브릿지드 실란(상기 식에서, R1- 3는 C1-10 선형 또는 분지형 알킬, 질소를 함유하는 알킬, 환형 알킬, 알케닐, 알키닐, 방향족 탄화수소, C6-10 아릴로 이루어진 군으로부터 독립적으로 선택되고; n = 1, 2, 3임);
    h) Si(OR1)4의 화학식을 지니는 알콕시실란(상기 식에서, R1은 C1-10 선형 또는 분지형 알킬 기, C4 내지 C10 환형 알킬 기, C3 내지 C12 알케닐 기, C3 내지 C12 알키닐 기, 및 C6 내지 C10 아릴 기로 이루어진 군으로부터 독립적으로 선택됨)
    로 이루어진 군으로부터 선택되고,
    상기 규소 전구체가 산소를 함유하지 않고, 증착이 화학적 기상 증착(CVD), 플라즈마 강화 화학적 기상 증착(PECVD), 사이클릭 화학적 기상 증착(CCVD), 플라즈마 강화 사이클릭 화학적 기상 증착(PECCVD), 원자층 증착(ALD), 및 플라즈마 강화 원자층 증착(PEALD)으로 이루어진 군으로부터 선택되는 방법.
  20. 제 19항에 있어서, 규소 전구체가 트리실릴아민이고, 규소-함유 그라디에이팅 층의 하부 또는 이중 층의 하층이 SiCO 또는 SiO2를 포함하고, 규소-함유 그라디에이팅 층의 상부 또는 이중 층의 상층이 SiNC 또는 Si3N4를 포함하며; 증착이 플라즈마 강화 화학적 기상 증착(PECVD), 또는 플라즈마 강화 사이클릭 화학적 기상 증착(PECCVD)인 방법.
KR1020167029844A 2012-03-09 2013-03-08 디스플레이 디바이스를 위한 배리어 물질 KR102029286B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261609045P 2012-03-09 2012-03-09
US61/609,045 2012-03-09
PCT/US2013/029914 WO2013134661A1 (en) 2012-03-09 2013-03-08 Barrier materials for display devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147028377A Division KR20140138272A (ko) 2012-03-09 2013-03-08 디스플레이 디바이스를 위한 배리어 물질

Publications (2)

Publication Number Publication Date
KR20160127171A true KR20160127171A (ko) 2016-11-02
KR102029286B1 KR102029286B1 (ko) 2019-10-07

Family

ID=47913605

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020177004676A KR102140719B1 (ko) 2012-03-09 2013-03-08 디스플레이 디바이스를 위한 배리어 물질
KR1020167029844A KR102029286B1 (ko) 2012-03-09 2013-03-08 디스플레이 디바이스를 위한 배리어 물질
KR1020147028377A KR20140138272A (ko) 2012-03-09 2013-03-08 디스플레이 디바이스를 위한 배리어 물질

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020177004676A KR102140719B1 (ko) 2012-03-09 2013-03-08 디스플레이 디바이스를 위한 배리어 물질

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020147028377A KR20140138272A (ko) 2012-03-09 2013-03-08 디스플레이 디바이스를 위한 배리어 물질

Country Status (7)

Country Link
US (1) US10319862B2 (ko)
EP (1) EP2823082A1 (ko)
JP (4) JP6195386B2 (ko)
KR (3) KR102140719B1 (ko)
CN (1) CN104271797B (ko)
TW (1) TWI496932B (ko)
WO (1) WO2013134661A1 (ko)

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103594655B (zh) * 2013-10-22 2016-04-06 溧阳市东大技术转移中心有限公司 一种有机发光二极管的阳电极
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR101616929B1 (ko) 2013-11-25 2016-04-29 엘지디스플레이 주식회사 유기발광 표시장치 제조방법
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
JP6363385B2 (ja) * 2014-04-21 2018-07-25 東京エレクトロン株式会社 封止膜の形成方法及び封止膜製造装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104167449B (zh) * 2014-08-05 2017-09-22 京东方科技集团股份有限公司 薄膜晶体管及其制备方法、阵列基板和显示装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6871161B2 (ja) 2014-10-24 2021-05-12 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
SG11201705023SA (en) 2015-01-20 2017-07-28 Basf Coatings Gmbh Process for producing flexible organic-inorganic laminates
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9343297B1 (en) * 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10312373B2 (en) * 2015-11-17 2019-06-04 Ricoh Company, Ltd. Field-effect transistor (FET) having oxide insulating layer disposed on gate insulating film and between source and drain electrodes, and display element, display and system including said FET, and method of manufacturing said FET
JP6607013B2 (ja) * 2015-12-08 2019-11-20 株式会社リコー 電界効果型トランジスタ、表示素子、画像表示装置、及びシステム
US10087521B2 (en) * 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
US10079264B2 (en) * 2015-12-21 2018-09-18 Hong Kong Beida Jade Bird Display Limited Semiconductor devices with integrated thin-film transistor circuitry
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9735005B1 (en) * 2016-03-11 2017-08-15 International Business Machines Corporation Robust high performance low hydrogen silicon carbon nitride (SiCNH) dielectrics for nano electronic devices
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN106098617A (zh) * 2016-08-01 2016-11-09 信利(惠州)智能显示有限公司 一种宽视角模式tft基板制备方法
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6924943B2 (ja) * 2017-05-12 2021-08-25 東京エレクトロン株式会社 成膜方法及び成膜装置
CN107164725A (zh) * 2017-05-15 2017-09-15 京东方科技集团股份有限公司 一种薄膜沉积设备和薄膜沉积方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102536820B1 (ko) * 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
WO2019187981A1 (ja) * 2018-03-28 2019-10-03 富士フイルム株式会社 ガスバリアフィルム
JPWO2019187978A1 (ja) * 2018-03-28 2021-02-12 富士フイルム株式会社 ガスバリアフィルム
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) * 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10748759B2 (en) 2019-01-15 2020-08-18 Applied Materials, Inc. Methods for improved silicon nitride passivation films
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN110042365B (zh) * 2019-03-04 2020-09-22 中国科学院物理研究所 一种在二维材料表面生长氧化铝的原子层沉积方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20210158414A (ko) * 2019-05-21 2021-12-30 버슘머트리얼즈 유에스, 엘엘씨 실리콘 함유 필름의 열적 증착을 위한 조성물 및 이의 사용 방법
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FR3098343B1 (fr) * 2019-07-01 2021-06-04 Commissariat Energie Atomique Procédé de passivation
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110429024B (zh) 2019-08-08 2022-04-15 京东方科技集团股份有限公司 层间绝缘层及薄膜晶体管的制备方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
KR20220001984A (ko) * 2020-06-30 2022-01-06 엘지디스플레이 주식회사 표시장치
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11955333B2 (en) 2021-03-22 2024-04-09 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090033200A (ko) * 2006-05-23 2009-04-01 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유기아미노실란 전구체로부터 산화규소 막을 형성시키는 방법
KR20100123889A (ko) * 2008-03-31 2010-11-25 도쿄엘렉트론가부시키가이샤 Mos형 반도체 메모리 장치의 제조 방법 및 플라즈마 cvd 장치
KR20120012891A (ko) * 2010-08-03 2012-02-13 삼성모바일디스플레이주식회사 플렉서블 디스플레이용 기판 및 이의 제조 방법

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4981724A (en) * 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JPH0463278A (ja) 1990-07-02 1992-02-28 Canon Inc 汎用性のある機能性窒化シリコン膜の形成方法
JPH0697158A (ja) 1991-09-12 1994-04-08 Semiconductor Energy Lab Co Ltd 光気相反応方法
JP3149223B2 (ja) * 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
JP2506539B2 (ja) 1992-02-27 1996-06-12 株式会社ジーティシー 絶縁膜の形成方法
JPH0684804A (ja) 1992-09-01 1994-03-25 Matsushita Electric Ind Co Ltd プラズマ膜堆積装置
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
JPH1060655A (ja) 1996-08-22 1998-03-03 Canon Inc 薄膜形成方法および装置
AU1339700A (en) * 1998-11-02 2000-05-22 Presstek, Inc. Transparent conductive oxides for plastic flat panel displays
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
KR20030002993A (ko) * 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
JP4090716B2 (ja) * 2001-09-10 2008-05-28 雅司 川崎 薄膜トランジスタおよびマトリクス表示装置
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
EP1584100A2 (en) * 2002-12-20 2005-10-12 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
CN1940132A (zh) 2005-09-30 2007-04-04 气体产品与化学公司 采用pecvd由氨基硅烷制备氮化硅
JP4684866B2 (ja) 2005-11-17 2011-05-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100732849B1 (ko) 2005-12-21 2007-06-27 삼성에스디아이 주식회사 유기 발광 표시장치
US7696683B2 (en) 2006-01-19 2010-04-13 Toppan Printing Co., Ltd. Organic electroluminescent element and the manufacturing method
JP2007220646A (ja) * 2006-01-19 2007-08-30 Toppan Printing Co Ltd 有機エレクトロルミネッセンス素子
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5213422B2 (ja) * 2007-12-04 2013-06-19 キヤノン株式会社 絶縁層を有する酸化物半導体素子およびそれを用いた表示装置
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
KR101778223B1 (ko) 2008-09-11 2017-09-15 삼성전자주식회사 박막 트랜지스터 및 그 제조 방법
KR101681483B1 (ko) 2008-09-12 2016-12-02 삼성디스플레이 주식회사 박막 트랜지스터 기판 및 그 제조 방법
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
WO2011058864A1 (en) 2009-11-13 2011-05-19 Semiconductor Energy Laboratory Co., Ltd. Device including nonvolatile memory element
JP5150606B2 (ja) 2009-11-16 2013-02-20 株式会社東芝 不揮発性半導体記憶装置
KR101597312B1 (ko) 2009-11-16 2016-02-25 삼성디스플레이 주식회사 박막 트랜지스터 표시판 및 그 제조 방법
KR101701208B1 (ko) 2010-01-15 2017-02-02 삼성디스플레이 주식회사 표시 기판
KR101623956B1 (ko) 2010-01-15 2016-05-24 삼성전자주식회사 트랜지스터와 그 제조방법 및 트랜지스터를 포함하는 전자소자
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5499811B2 (ja) 2010-03-19 2014-05-21 富士通株式会社 キャパシタ及び半導体装置
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5824266B2 (ja) 2010-07-29 2015-11-25 株式会社半導体エネルギー研究所 半導体装置
US8796733B2 (en) * 2010-08-09 2014-08-05 University Of Notre Dame Du Lac Low voltage tunnel field-effect transistor (TFET) and method of making same
CN103098185B (zh) 2010-08-20 2017-02-08 应用材料公司 形成无氢含硅介电薄膜的方法
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
JP5624628B2 (ja) 2010-11-10 2014-11-12 株式会社日立製作所 半導体装置
CN103292288B (zh) * 2013-06-21 2015-06-03 王成财 一种led照明灯

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090033200A (ko) * 2006-05-23 2009-04-01 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유기아미노실란 전구체로부터 산화규소 막을 형성시키는 방법
KR20100123889A (ko) * 2008-03-31 2010-11-25 도쿄엘렉트론가부시키가이샤 Mos형 반도체 메모리 장치의 제조 방법 및 플라즈마 cvd 장치
KR20120012891A (ko) * 2010-08-03 2012-02-13 삼성모바일디스플레이주식회사 플렉서블 디스플레이용 기판 및 이의 제조 방법

Also Published As

Publication number Publication date
JP2017195376A (ja) 2017-10-26
KR20170021380A (ko) 2017-02-27
JP2018078326A (ja) 2018-05-17
EP2823082A1 (en) 2015-01-14
JP6298118B2 (ja) 2018-03-20
KR102140719B1 (ko) 2020-08-03
US20150021599A1 (en) 2015-01-22
CN104271797A (zh) 2015-01-07
WO2013134661A1 (en) 2013-09-12
JP2015515744A (ja) 2015-05-28
TWI496932B (zh) 2015-08-21
KR20140138272A (ko) 2014-12-03
US10319862B2 (en) 2019-06-11
KR102029286B1 (ko) 2019-10-07
TW201402854A (zh) 2014-01-16
CN104271797B (zh) 2017-08-25
JP2017022385A (ja) 2017-01-26
JP6195386B2 (ja) 2017-09-13

Similar Documents

Publication Publication Date Title
JP6298118B2 (ja) ディスプレイ・デバイスのためのバリア材料
US11626279B2 (en) Compositions and methods for making silicon containing films
KR101621840B1 (ko) 다수의 능동 채널 층들을 이용하는 박막 트랜지스터들
KR100961805B1 (ko) 산화규소 함유 필름의 형성 방법
WO2009129391A2 (en) Low temperature thin film transistor process, device property, and device stability improvement
KR20140002616A (ko) 수소 미함유 실리콘 함유 유전체막을 형성하기 위한 방법들
CN105144391A (zh) 金属氧化物tft稳定性改进
US20180371612A1 (en) Low Temperature Process for Forming Silicon-Containing Thin Layer
CN114303239A (zh) 用于薄膜晶体管的富氮氮化硅膜
KR20180118958A (ko) 박막 트랜지스터 및 그 제조 방법
US20080237660A1 (en) Method to deposit silicon film on a substrate

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant