TWI496932B - 用於顯示裝置的阻絕物材料 - Google Patents

用於顯示裝置的阻絕物材料 Download PDF

Info

Publication number
TWI496932B
TWI496932B TW102108313A TW102108313A TWI496932B TW I496932 B TWI496932 B TW I496932B TW 102108313 A TW102108313 A TW 102108313A TW 102108313 A TW102108313 A TW 102108313A TW I496932 B TWI496932 B TW I496932B
Authority
TW
Taiwan
Prior art keywords
group
alkyl
decane
layer
linear
Prior art date
Application number
TW102108313A
Other languages
English (en)
Other versions
TW201402854A (zh
Inventor
Andrew David Johnson
Anupama Mallikarjunan
Raymond Nicholas Vrtis
Xinjian Lei
Mark Leonard O'neill
Manchao Xiao
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201402854A publication Critical patent/TW201402854A/zh
Application granted granted Critical
Publication of TWI496932B publication Critical patent/TWI496932B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • H01L29/78693Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate the semiconducting oxide being amorphous
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • H01L29/247Amorphous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors

Description

用於顯示裝置的阻絕物材料
本發明關於於低溫下形成含矽膜的方法。該等低溫含矽膜具有高於1.9g/cm3 的密度;4E22原子/cm3 的氫含量及於400至700nm下>90%的透明度。
顯示裝置已經被製造用於廣範圍的電子應用,例如平板TV、平板監視器、行動電話、MP3播放器、電子書瀏覽器及個人數位助理器(PDA)等。該等顯示裝置係經設計以經由將電場加於液晶而產生所欲的影像,該液晶填於二基材之間的間隙並且具有控制介電場的各向異性介電常數。經由調整穿透該等基材的光量,便可有效率地控制光和影像強度、品質和電力消耗。
用於平板顯示器的薄膜電晶體(TFT)將因較低加工溫度,所以能使用可替換的基材(比現行玻璃更輕且更便宜)而獲益。非晶形氧化物半導體(AOS)快速脫穎而出成為能給予較高效能的TFT用之a-Si替代物,而且可於較低溫度下加工。氧化銦鎵鋅(IGZO)及變化體是主要候選物。替代物是 ITZO、AlInOx 、ZTO、ZnON、MgZnO等等。這些材料具有<350℃的溫度極限。再者,吾人所欲為將該加工溫度進一步降至<200℃以供塑膠基材之用。
多種不同顯示裝置,例如動態矩陣液晶顯示器(active matrix liquid crystal display)(AMLCD)或動態矩陣有機發光二極體(AMOLED),可作為利用觸控面板的顯示裝置的光源。透明非晶形氧化物半導體(TAOS)或金屬氧化物材料廣泛用作顯示裝置的材料以改善裝置電氣效能。用於透明非晶形氧化物半導體(TAOS)或金屬氧化物材料的材料實例包括a-IGZO(非晶形氧化鎵銦鋅)、氧化鋅等等。
然而,透明非晶形氧化物半導體(TAOS)或金屬氧化物材料可能經由與該透明非晶形氧化物半導體(TAOS)或金屬氧化物材料反應被存於相鄰鈍化或閘極絕緣層中的氫原子損傷,因此造成漏電或其他類型的裝置故障。
JP62253771 A2教導經由使用具有Si-N鍵的氫化矽(例如,Hn Si(NH2 )4-n (n=1、2、3)或(SiH3 )3 N)沉積膜的方法。因此,Si/N比為0.75至0.80的膜係於30nm/min及300度基材溫度由H3 Si(NH2 )與He沉積。該膜具有9.8 * 1019 W-cm電阻率而且在IR光譜中沒有於2180cm-1的Si-H鍵峰。
US7351668 B2教導用於形成絕緣膜的方法,其於膜形成時運用較低加工溫度,並且造成該膜於清潔製程期間能被低量蝕刻,所以該清潔製程能伴隨高膜厚可控制性進行,同時讓該膜足以作為蝕刻擋止膜或層間絕緣膜。絕緣膜係於選擇性供應含矽烷族氣體的第一處理氣體、含氮化或氧 氮化氣體的第二處理氣體及含碳氫化合物氣體的第三處理氣體之加工場中,藉由CVD形成於標的基材上。此方法選擇性地包括第一至第四步驟。該第一步驟進行將該第一和第三種處理氣體供給該場同時停止將該第二種處理氣體供給該加工場。該第二步驟停止將該第一和第三種處理氣體供給該場。該第三步驟進行將該第二種處理氣體供給該場同時停止將該第一和第三種處理氣體供給該場。該第四步驟停止將該第一至第三種處理氣體供給該場。
WO2006018441教導經由蒸氣沉積,同時用三甲矽烷基胺作為前驅物製造氮化矽的方法,其能製造顯現優良膜性質的氮化矽膜並且能於較低溫及較高生長速率下完成。該用於製造氮化矽膜的方法之特徵為將氣態三甲矽烷基胺和包含至少兩種選自具有式NR1 R2 R3 (R1 、R2 及R3 係各自獨立地選自氫及C1-6 烴基)的胺型化合物之胺型化合物氣態氮來源供入盛裝至少二基材的反應艙並且藉由使該三甲矽烷基胺和該氮來源反應使氮化矽膜形成於至少一基材上。
JP61234534 A2教導將Si3 N4 膜沉積於基材上而不會損及該基材的方法涉及利用含具有N-H、Si-H和N-Si鍵的化合物(例如,三甲矽烷基胺)之N、NH3 或N2 H4 混合物。任意地,該化合物可由H3 Si(NHSiH2 )m NHSiH3 或(H3 Si)n NH3-n (m>=0及n=1至3)構成。該方法可用於沉積非單晶半導體膜。
Hayashi、R.,M.Ofuji、N.Kaji、K.Takahashi、K.Abe、H.Yabuta、M.Sano、H.Kumomi、K.Nomura、T. Kamiya、M.Hirano及H.Hosono於"Circuits using uniform TFTs based on非晶形In-Ga-Zn-O."Journal of the Society for Information Display 15(11):915-921(2007)中揭露具有底部閘極構造的高效能且優良均勻性的薄膜電晶體(TFT),該等底部閘極構造係分別利用非晶形氧化銦-鎵-鋅(IGZO)膜和非晶形二氧化矽膜作為通道層和閘極絕緣層裝配。全部94個裝配成1 cm2 面積的TFT顯示幾乎一致的轉移特性:平均飽和遷移率是14.6 cm2 /(V-sec)及0.11 cm2 /(V-sec)的小標準偏差。由這些TFT構成的五段環形振盪器於410 kHz及18 V的輸入電壓下運轉。以這些TFT為基礎的像素驅動電路也是以整塊集積於同一基材上的有機發光二極體(OLED)裝配。經證實該等OLED電池發光能藉由120-Hz交流信號輸入來開關及調變。以非晶形IGZO為基礎的TFT是大面積OLED顯示電子裝置構件的突出候選物。
JP633497A和JP2508581 B2教導膜中沒有濕氣及碳組分的高品質且優良塗佈性質的氮化矽及氧氮化矽膜。使艙3的內部成為約數托耳的減壓狀態,使用於膜形成的個別氣體在噴灑頭部電極4內部混合以便從該噴灑頭部電極4引進該艙3。從高頻電源供應器12將高頻電極加到該噴灑頭部電極4與下部電極1之間以便製造被該艙3內部的噴灑頭部電極4混合的氣體並且造成用於形成反應性中間產物的化學反應。由此反應性中間產物所獲得的膜於基材2上具有優良的塗佈性質。至於反應物氣體,當氮化物膜形成時及當氧氮化物膜形成時使用三甲矽烷基胺6及氨氣,除了三甲矽烷 基胺6及氨氣以外還使用一氧化二氮(N2 O)。
US7462376 B2教導用於形成氮化矽膜的CVD方法包含在從放置待處理基材的加工艙排出空氣的同時,把甲矽烷氣體及NH3 氣體供入該艙並且經由CVD將氮化矽膜形成於該基材上的步驟。此氮化矽膜形成步驟包含當該甲矽烷氣體供入該加工艙時的第一期間及當該甲矽烷氧體供應停止時的第二期間,而且該第一期間與該第二期間輪流交替。
US2010144162 A1教導經由電漿強化化學氣相沉積法(PECVD)於半導體基材上形成具有Si-N鍵的保形介電膜之方法包括:將含氮-和氫-的反應性氣體及添加物氣體引進放置半導體基材的反應空間;對該反應空間施加RF功率;及把含氫的矽前驅物分數個脈衝引進該反應空間,在其中激發電漿,藉以於該基材上形成具有Si-N鍵的保形介電膜。
Liu,S.-E.、M.-J.Yu、C.-Y.Lin、G.-T.Ho、C.-C.Cheng、C.-M.Lai、C.-J.Lin、Y.-C.King及Y.-H.Yeh於"Influence of鈍化層s on Characteristics of a-InGaZnO Thin-Film Transistors"Electron Device Letters,IEEE 32(2):161-163(2011)中研究鈍化層沉積對於a-InGaZnO薄膜電晶體(TFT)的特性之影響。由於以上的鈍化層引發機械應力的結果使TFT的閾電壓(VT)顯著偏移。經由調節該鈍化製程期間的沉積參數,可調變TFT的效能。經過雙重鈍化之後的a-InGaZnO TFT顯現11.35 cm2/V.s的場效遷移率、2.86 V的閾電壓及108的開關比之良好效能。
US20060216950 A1教導能於較低溫形成氮化矽 膜而且能使該氮化矽膜中達成夠高抗張應力的膜形成方法。本發明是膜形成方法,其包括:長管形加工容器,其能於該容器中創造真空;盛裝待加工物體的單元,其依層狀方式盛裝多數待加工的物體並且能插入該加工容器及從該加工容器取出;裝設於該加工容器周圍的加熱單元;以甲矽為基礎的氣體供應單元,其將以甲矽為基礎的氣體供入該加工容器,該以甲矽為基礎的氣體不包括鹵素元素;氮化氣體供應單元,其將氮化氣體供入該加工單元;活化單元,其藉由電漿活化該氮化氣體;及控制單元,其控制該以甲矽為基礎的氣體供應單元、氮化氣體供應單元及活化單元,依此方式將該以甲矽為基礎的氣體及氮化氣體同時供入該加工容器同時活化該氮化氣體,以在多數待處理的物體各個上形成預定的薄膜。
Nomura,K.、T.Kamiya及H.Hosono於"Stability and high-frequency operation of amorphous In-Ga-Zn-O thin-film transistors with various鈍化層s." Thin Solid Films(2012),中研究非晶形In-Ga-Zn-O(a-IGZO)薄膜電晶體(TFT)的安定性,其聚焦於鈍化層材料(Y2 O3 、Al2 O3 、HfO2 及SiO2 )及熱退火的影響。檢查正向遷移的恆電流應力(CCS)、不用光照的負向遷移應力(NBS)及負向遷移光照應力(NBLS)。已發現在此研究中從所有安定性試驗的觀點來看若該通道在該鈍化形成(沉積後退火)之前退火而且該鈍化層於250℃下退火(裝配後退火),Y2 O3 是最佳的鈍化層材料。該Y2 O3 鈍化層的裝配後退火產生對於該CCS和NBS應力非常安定的TFT而且 消除達於2.9 eV光子能量的次間隙光敏反應。即使是2.7 eV光子的NBLS,經過3小時試驗之後該閾電壓偏移也能被適當抑制於-4.4 V。這些結果提供了下列資訊:(i)鈍化移除a-IGZO的表面縱深次間隙並且消除該次間隙光敏反應,但是(ii)a-IGZO中的大缺陷應該在該鈍化製程之前先除去。該Y2 O3 -鈍化TFT不僅對於這些應力條件安定,而且也與具有91 kHz電流增益截止頻率的高頻作業相容,其與靜態特性一致。
US6630413 B2教導經由各式各樣的CVD技術,較佳是熱CVD及PECVD,使用含矽原子、氮原子或二者的化學前驅物的沉積低氫含量氮化矽材料。較佳的化學前驅物含有一或更多N-Si鍵。另一個較佳化學前驅物是含<9.5%氫原子的含氮化學前驅物與含矽化學前驅物之混合物。較佳具體實施例使用氫來源使經由PECVD沉積的氮化矽材料鹵素含量最小化。
US2012045904A揭示形成TFT裝置中的不含氫之含矽層的方法。該不含氫之含矽層可作為鈍化層、閘極介電層、蝕刻擋止層或其他TFT裝置中的其他適合層、光電二極體、半導體二極體、發光二極體(LED)或有機發光二極體(OLED),或其適合的顯示應用。在一具體實施例,形成薄膜電晶體中的不含氫之含矽層的方法包括把包含不含氫之含矽氣體和反應氣體的混合物供入電漿強化化學氣相沉積艙,其中該不含氫之含矽氣體係選自由SiF4,SiCl4,Si2Cl6所組成的群組,並且在該氣體混合物存在之下於該基材上形成不含 氫之含矽層。
KR2 0100030995 A、US2010059756A及US8120029 B2揭示薄膜電晶體(TFT)。該TFT可包括介於通道與源和汲極之間的中間層。可能發生於該TFT的汲極區的提高電流由於該中間層而降低了。因此該TFT可被穩定地驅動。
因此,有需要能製造薄鈍化膜以便讓該等顯示裝置具有改良電氣效能及長期安定性的沉積方法。
在本發明中,研發出含矽膜的低溫沉積方法。該等含矽膜係作為顯示裝置的鈍化層(阻絕物材料)。
在一方面中,提供一種將含矽膜沉積於基材的至少一表面上之方法,其包含:將該基材的至少一表面提供於一反應艙中;將選自由下列所組成的群組的矽前驅物引進該反應艙中:a)三甲矽烷基胺;b)具有式R1 R2 NSiH3 的二烷基胺基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;例示性二烷基胺基矽烷包括,但不限於,二異丙基胺基 矽烷、二第二丁基胺基矽烷、2,6-二甲基六氫吡啶基矽烷;c)具有式R1 n R2 m SiH4-m-n 的烷基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=0、1、2、3、4;n=1、2、3;例示性烷基矽烷包括,但不限於,二乙基矽烷,三乙基矽烷,1,4-二矽氮丁烷;d)具有式R1 n (OR2 )m SiH4-m-n 的烷基烷氧基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=0、1、2、3、4;n=1、2、3;例示性烷基烷氧基矽烷包括,但不限於,二乙氧基二甲基矽烷;e)具有式(R1 R2 N)n SiH4-n 的有機胺基矽烷,其中R1 係選自由氫、C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;n =2、3、4;例示性有機胺基矽烷包括,但不限於,三(二甲基胺基)矽烷、雙(第三丁基胺基)矽烷;f)包括四(異氰酸酯基)矽烷及三(異氰酸酯基)矽烷的異氰酸酯基矽烷;及g)具有式R1 R2 R3 SiN3 的烷基疊氮基矽烷,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;實例包括但不限於Me3 SiN3 、Et3 SiN3 ;實例包括但不限於Me3 SiN3 、Et3 SiN3 ;h)具有式(R1 R2 R3 Si)2 (CH2 )n 的以烷基橋接的二矽烷,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;而且n=1、2、3;例示性以烷基橋接的二矽烷包括,但不限於,1,4-二矽氮丁烷;將氧來源或含氮來源引進該反應艙中;於該反應艙中提供25℃至350℃的反應溫度;及將該低溫的薄含矽層沉積於該基材的至少一表面上;其中該沉積製程係選自由化學氣相沉積法(CVD)、電漿強化化學氣相沉積法(PECVD)、循環式化學氣相沉積法(CCVD)、電漿強化循環式化學氣相沉積法(PECCVD)、原子層沉積法(ALD)及電漿強化原子層沉積法(PEALD)所組成的群組。較佳地電漿強化製程例如PECVD或PECCVD運用低於400℃的沉積溫度。
在另一方面中,提供一種將低溫的薄含矽層沉 積於薄膜電晶體/顯示裝置的至少一表面上之方法,其包含:將該基材的至少一表面提供於一反應艙中;將三甲矽烷基胺與選自由下列所組成的群組的相容性矽前驅物之混合物引進該反應艙中:a)具有式R1 R2 NSiH3 的二烷基胺基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;例示性二烷基胺基矽烷包括,但不限於,二異丙基胺基矽烷、二第二丁基胺基矽烷、2,6-二甲基六氫吡啶基矽烷;b)具有式R1 n R2 m SiH4-m-n 的烷基矽烷;其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=0、1、2、3、4;n=1、2、3;例示性烷基矽烷包括,但不限於,二乙基矽烷、三乙基矽烷、1,4-二矽氮丁烷;c)具有式R1 n (OR2 )m SiH4-m-n 的烷基烷氧基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的 群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=1、2、3;n=1、2、3;例示性烷基烷氧基矽烷包括,但不限於,二乙氧基二甲基矽烷;d)具有式(R1 R2 N)n SiH4-n 的有機胺基矽烷,其中R1 係選自由氫、C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;n =2、3、4。例示性有機胺基矽烷包括,但不限於,三(二甲基胺基)矽烷、雙(第三丁基胺基)矽烷;e)鹵矽烷類:例示性前驅物包括,但不限於,單氯矽烷、二氯矽烷、三氯矽烷、四氯矽烷、六氯二矽烷;f)具有式(R1 R2 )n SiR3 OR4 OR5 的烷氧基胺基矽烷;其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴所組成的群組;R2 及R3 係選自氫、C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴所組成的群組;R4-5 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴所組成的群組;R1 及R2 能形成一環狀環;而且R4 及R5 也能形成一環狀環; g)包括四(異氰酸酯基)矽烷及三(異氰酸酯基)矽烷的異氰酸酯基矽烷;h)具有式R1 R2 R3 SiN3 的烷基疊氮基矽烷,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;實例包括但不限於Me3 SiN3 、Et3 SiN3 ;i)具有式(R1 R2 R3 Si)2 (CH2 )n 的以烷基橋接的二矽烷類,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;而且n=1、2、3;例示性以烷基橋接的二矽烷類包括,但不限於,1,4-二矽氮丁烷;將氧來源或含氮來源引進該反應艙中;於該反應艙中提供25℃至350℃的反應溫度;及將該低溫的薄含矽層沉積於該基材的至少一表面上;其中該混合物含有介於0.5至99%的三甲矽烷基胺;該沉積製程係選自由化學氣相沉積法(CVD)、電漿強化化學氣相沉積法(PECVD)、循環式化學氣相沉積法(CCVD)、電漿強化循環式化學氣相沉積法(PECCVD)、原子層沉積法(ALD)及電漿強化原子層沉積法(PEALD)所組成的群組。較佳地電漿強化製程例如PECVD或PECCVD運用低於400℃的沉積溫度。
該等低溫含矽層具有高於1.9g/cm3 的密度;4E22原子/cm3 或更低的氫含量及於400至700nm下>90%的透明度。在一個特定具體實施例中,該等含矽層係具有高於2.2g/cc 的密度;4E22原子/cm3 或更低的氫含量及於400至700nm下>90%的透明度之氮化矽或氧氮化矽。
在又另一方面中,提供一種三甲矽烷基胺與相容性含矽前驅物的混合物,該含矽前驅物係選自由下列所組成的群組:a)具有式R1 R2 NSiH3 的二烷基胺基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;例示性二烷基胺基矽烷包括,但不限於,二異丙基胺基矽烷、二第二丁基胺基矽烷、2,6-二甲基六氫吡啶基矽烷;b)具有式R1 n R2 m SiH4-m-n 的烷基矽烷;其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=0、1、2、3、4;n=1、2、3;例示性烷基矽烷包括,但不限於,二乙基矽烷、三乙基矽烷、1,4-二矽氮丁烷;c)具有式R1 n (OR2 )m SiH4-m-n 的烷基烷氧基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、 環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=1、2、3;n=1、2、3;例示性烷基烷氧基矽烷包括,但不限於,二乙氧基二甲基矽烷;d)具有式(R1 R2 N)n SiH4-n 的有機胺基矽烷,其中R1 係選自由氫、C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;n=2、3、4。例示性有機胺基矽烷包括,但不限於,三(二甲基胺基)矽烷、雙(第三丁基胺基)矽烷;e)鹵矽烷類:例示性前驅物包括,但不限於,單氯矽烷、二氯矽烷、三氯矽烷、四氯矽烷、六氯二矽烷;f)具有式(R1 R2 )n SiR3 OR4 OR5 的烷氧基胺基矽烷;其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴所組成的群組;R2 及R3 係獨立地選自氫、C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴所組成的群組;R4-5 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴所組成的群組;R1 及R2 能形成一環狀環;而且R4 及R5 也能形成一環狀 環;g)包括四(異氰酸酯基)矽烷及三(異氰酸酯基)矽烷的異氰酸酯基矽烷;及h)具有式R1 R2 R3 SiN3 的烷基疊氮基矽烷,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;實例包括但不限於Me3 SiN3 、Et3 SiN3
i)具有式(R1 R2 R3 Si)2 (CH2 )n 的以烷基橋接的二矽烷類,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;而且n=1、2、3;例示性以烷基橋接的二矽烷類包括,但不限於,1,4-二矽氮丁烷。
圖1顯示於300℃下使用三甲矽烷基胺(TSA)前驅物所沉積的膜之密度氫含量關係。
圖2顯示於較低溫度(150至325℃)下使用TSA前驅物所沉積的膜之密度氫含量的變化。
圖3顯示於300℃下使用二甲基二乙氧基矽烷(DMDES)前驅物所沉積的膜之密度氫含量關係。
圖4顯示由(A)三甲矽烷基胺+NH3 (密度=2.36 g/cc),(B)二異丙基胺基矽烷+NH3 ,(C)二甲基二乙氧基矽烷+H2 (密度=1.95 g/cc),(D)三甲基矽烷+NH3 (密度 =1.88g/cc)所沉積的100nm厚膜的濕氣阻絕效能比較。
以IGZO為基礎的TFT現在已供用於移動式顯示器。IGZO技術的熱預算(thermal budget)需要能於<=300℃的溫度下沉積並且具有良好厄米性(hermiticity)(2.4g/cm3 或更高的密度)及低氫含量(2E22原子/cm3 或更低)的鈍化膜。目前,使用以SiH4 為基礎的SiN:H及SiO2 膜的雙層構造使該a-Si TFT鈍化。然而,這些膜的密度及氫含量並未達成於<300℃下沉積時使IGZO TFT鈍化的必備條件。
關於良好鈍化層的基礎要求包括,但不限於:沉積溫度300℃或更低
良好厄米性(2.4g/cm3 或更高的密度)
低氫含量(2E22原子/cm3 或更低)
密度2.5g/cm3 或更高
於400至700nm的透明度>90%。
本發明係關於把含矽膜以單一鈍化層或雙重鈍化層的形式沉積於顯示裝置半成品,如以下流程1所示:
該鈍化層1及2能運用各種不同沉積技術沉積,其包括,但不限於,化學氣相沉積法(CVD)、電漿強化化學氣相沉積法(PECVD)、循環式化學氣相沉積法(CCVD)、電漿強化循環式化學氣相沉積法(PECCVD、原子層沉積法(ALD)、電漿強化原子層沉積法(PEALD)。鈍化層1係選自由氮化矽、碳氮化矽所組成的群組,而鈍化層2能選自由矽、碳化矽、氧化矽、碳氧化矽、碳氮化矽所組成的群組。該透明金屬氧化物包括,但不限於,a-IGZO(非晶形氧化銦鎵鋅)、InGaZnON、ZnO、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuAlO、SrCuo、LaCuOS、GaN、InGaN、AlGaN or InGaAlN。
在本發明中,已經研發出運用三甲矽烷基胺(TSA)的PECVD製程以於300℃下達成2.5g/cm3 的密度及2E22原子/cm3 的氫含量。較低溫膜(200℃)也符合此密度要求(2.4g/cm3 )。以TSA為基礎的膜滿足此應用的透明度要求(於400至700nm下>90%)。
在特定具體實施例中,使用上述方法沉積的供鈍化層2用的含矽膜係於氧存在之下使用氧來源、包含氧的試藥或前驅物形成。
氧來源可以至少一氧來源的形式引進該反應器及/或可附帶存在於該沉積製程所用的其他前驅物中。
適合的氧來源氣體可包括,舉例來說,水(H2 O)(例如,去離子水、純水及/或蒸餾水)、氧(O2 )、氧電漿、臭氧(O3 )、NO、NO2 、一氧化碳(CO)、二氧化碳(CO2 )及其組合。
在特定具體實施例中,供鈍化層1用的含矽膜 包含矽及氮。在這些具體實施例中,使用本文所述方法沉積的介電膜係於含氮來源存在之下形成。含氮來源可以至少一氮來源的形式引進該反應器及/或可附帶存在於該沉積製程所用的其他前驅物中。
適合的含氮來源氣體可包括,舉例來說,氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氫電漿、NF3 及其混合物。指定具體實施例在於使用NF3 以縮減所得膜中的氫含量,因為氫會與該透明金屬氧化物反應,因此破壞該等顯示裝置的效能。
本文揭示的沉積方法可能涉及一或更多用於洗淨,控制該電漿或作為載體氣體的惰性氣體。例示性惰性氣體包括,但不限於,Ar、N2 、He、Xe、氖、H2 及其混合物。
在特定具體實施例中,鈍化層1及2係利用相同含矽前驅物沉積。供鈍化層1用的含矽膜包含矽及氮,其係於含氮來源存在之下形成。含氮來源可以至少一氮來源的形式引進該反應器及/或可附帶存在於該沉積製程所用的其他前驅物中。供鈍化層2用的含矽膜包含矽及氧,其係利用上述方法在氧來源存在之下使用包含氧的氧來源、試藥或前驅物沉積。
在特定具體實施例中,可能有益的是沉積從頂部至底部漸變的漸變層或雙層,其係由底部主要包含SiCO或SiO2 而且頂部主要包含SiNC or Si3 N4 的膜構成。該膜係由包含含矽前驅物及含氧前驅物,例如,三甲矽烷基胺及O2 、臭氧或N2 O,的最初試藥混合物沉積並且接著以含氮氣體,例 如,N2 、氨或肼,替代該含氧氣體流。若該含矽前驅物已經含有氮,則第二步驟可僅使用惰性氣體或氫進行。含氧換成含氮或惰性氣體可為漸變或急遽變化而造成一漸變層或一雙層構造。當該SiOC層預防下方層於該SiCN層沉積的期間產生氫,而該SiCN層扮作最終裝置的濕氣阻絕物時,這樣的漸變層或雙層將有助益。關於此應用的矽前驅物應該不得含有氧,因為難以預防該前驅物的氧併入該SiCN或Si3 N4 膜。
用於沉積含矽膜的含矽前驅物係選自由下列所組成的群組:a)三甲矽烷基胺;b)具有式R1 R2 NSiH3 的二烷基胺基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;例示性二烷基胺基矽烷包括,但不限於,二異丙基胺基矽烷、二第二丁基胺基矽烷、2,6-二甲基六氫吡啶基矽烷;c)具有式R1 n R2 m SiH4-m-n 的烷基矽烷;其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組; R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=0、1、2、3、4;n=1、2、3;例示性烷基矽烷包括,但不限於,二乙基矽烷、三乙基矽烷、1,4-二矽氮丁烷;d)具有式R1 n (OR2 )m SiH4-m-n 的烷基烷氧基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=1、2、3;n=1、2、3;例示性烷基烷氧基矽烷包括,但不限於,二乙氧基二甲基矽烷;e)具有式(R1 R2 N)n SiH4-n 的有機胺基矽烷,其中R1 係選自由氫、C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;n=2、3、4。例示性有機胺基矽烷包括,但不限於,三(二甲基胺基)矽烷、雙(第三丁基胺基)矽烷;f)包括四(異氰酸酯基)矽烷及三(異氰酸酯基)矽烷的異氰酸酯基矽烷;g)具有式R1 R2 R3 SiN3 的烷基疊氮基矽烷,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的 群組;實例包括但不限於Me3 SiN3 、Et3 SiN3 ;h)具有式(R1 R2 R3 Si)2 (CH2 )n 的以烷基橋接的二矽烷類,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;而且n=1、2、3;例示性以烷基橋接的二矽烷類包括,但不限於,1,4-二矽氮丁烷。
本發明另一方面是三甲矽烷基胺與選自由下列所組成的群組之相容性含矽前驅物的混合物:a)具有式R1 R2 NSiH3 的二烷基胺基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;例示性二烷基胺基矽烷包括,但不限於,二異丙基胺基矽烷、二第二丁基胺基矽烷、2,6-二甲基六氫吡啶基矽烷;b)具有式R1 n R2 m SiH4-m-n 的烷基矽烷;其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=0、1、2、3、4;n=1、2、3;例示性烷基矽烷包括, 但不限於,二乙基矽烷、三乙基矽烷、1,4-二矽氮丁烷;c)具有式R1 n (OR2 )m SiH4-m-n 的烷基烷氧基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=1、2、3;n=1、2、3;例示性烷基烷氧基矽烷包括,但不限於,二乙氧基二甲基矽烷;d)具有式(R1 R2 N)n SiH4-n 的有機胺基矽烷,其中R1 係選自由氫、C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;n=2、3、4。例示性有機胺基矽烷包括,但不限於,三(二甲基胺基)矽烷、雙(第三丁基胺基)矽烷;e)鹵矽烷類:例示性前驅物包括,但不限於,單氯矽烷、二氯矽烷、三氯矽烷、四氯矽烷、六氯二矽烷;f)具有式(R1 R2 )n SiR3 OR4 OR5 的烷氧基胺基矽烷;其中R1 係選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴所組成的群組;R2 及R3 係選自氫、C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴所組成的群組;R4-5 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴所組成的群組;R1 及R2 能形成一環狀環;而且R4 及R5 也能形成一環狀環;g)包括四(異氰酸酯基)矽烷及三(異氰酸酯基)矽烷的異氰酸酯基矽烷;h)具有式R1 R2 R3 SiN3 的烷基疊氮基矽烷,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;實例包括但不限於Me3 SiN3 、Et3 SiN3 ;i)具有式(R1 R2 R3 Si)2 (CH2 )n 的以烷基橋接的二矽烷類,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;而且n=1、2、3;例示性以烷基橋接的二矽烷類包括,但不限於,1,4-二矽氮丁烷。
端視所沉積的鈍化膜是否能符合目標顯示裝置的要求,三甲矽烷基胺的百分比介於0.5至99%。
一個較佳具體實施例是三甲矽烷基胺與二異丙基胺基矽烷的混合物,其可能使所沉積的膜能轉而符合應用要求。
另一個較佳具體實施例是三甲矽烷基胺與二乙基矽烷的混合物,該二者的沸點彼此接近而且讓其能依液態混合並且能經由直接液體注入輸送。
該反應器或沉積艙的溫度可介於周遭溫度(25℃) 至約400℃,較佳地100℃至370℃,更佳地150℃至325℃。
該反應器或沉積艙的壓力可介於約0.1 Torr至約100托耳。供應該等前驅物、該氧來源及/或其他前驅物、來源氣體及/或試藥的分別步驟可經由改變其供應時間以變更所得的介電膜的計量化學組成。
對該等前驅物、氧來源或其組合施以能量以引發反應並且將該介電膜或塗層形成於該基材上。此能量能藉由,但不限於,電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿及遙距電漿方法提供。在特定具體實施例中,二次射頻來源可用以改變該基材表面的電漿特性。在該沉積製程涉及電漿的具體實施例中,該電漿產生製程可包含該反應器中直接產生電漿的直接電漿產生製程,或也可以是在該反應器外側產生電漿並且供入該反應器中的遙距電漿產生製程。
該等含矽前驅物及/或其他前驅物可依多變的方式運送至該反應艙。在一個具體實施例中,可利用液體運送系統。
在一替代具體實施例中,可運用聯合液體運送及閃蒸製程單元,例如,舉例來說,由明尼蘇達州,Shoreview的MSP股份有限公司所製造的渦輪汽化器以便能定量運送低揮發性材料,導致可再現的輸送及沉積而沒有該前驅物的熱分解。在液體運送配方中,本文所述的前驅物可依純液態的形式運送,或者,可用於溶劑配方或其組合物中。因此,在特定具體實施例中該等前驅物配方可能包括適當特性的溶劑 組分,因為在膜形成於基材上的指定最終用途方面可能有需要或有益處。
該等含矽膜的沉積速率可在每分鐘0.1 nm至5000 nm的範圍中。該速率可經由變化任一下列非限定參數來控制:沉積溫度、汽化器溫度、該LFC的流量、反應性O2 氣體的流速及/或該CVD反應器的壓力。前驅物的選擇也能決定該沉積速率。
所得的介電膜或塗層可暴露於沉積後處理例如,但不限於,電漿處理、化學處理、紫光光曝光、電子束曝光及/或其他處理以影響該膜的一或更多性質。
本文所述的方法可用以將介電膜沉積於基材的至少一部分上。適合基材的實例包括但不限於,矽、SiO2 、Si3 N4 、OSG、FSG、碳化矽、加氫碳化矽、氮化矽、加氫氮化矽、碳氮化矽、加氫碳氮化矽、硼氮化物、抗反射塗層、光阻劑、有機聚合物、多孔性有機和無機材料、金屬類例如銅、鋁、鉻、鉬及擴散阻絕物層例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜與各個不同後續處理步驟例如,舉例來說,化學機械平坦化(CMP)及各向異性蝕刻製程可相容。本文所述的介電膜具有4.0至5.5的介電常數。較佳地,該介電常數是4.0至4.5。
下列實施例舉例說明用於製備本文所述的介電膜的方法而且並不試圖以任何方式限制本發明。
工作實施例
把該等含矽膜沉積於中等電阻率(8至12Ωcm)的單晶矽晶圓基材。
該基材可能暴露於預沉積處理例如,但不限於,電漿處理、化學處理、紫外光曝光、電子束曝光及/或其他處理以影響該膜的一或更多性質。舉例來說,對該IGZO膜進行N2 O或O2 或O3 電漿處理或O3 化學處理以確保該IGZO的完全氧化可能有益處。這使半導性質能在膜沉積之前保持或增進。
所有沉積均在Applied Materials Precision 5000系統中的200mm DXZ艙進行,其裝配有Astron EX遙距電漿產生器。該系統利用甲矽烷或TEOS加工組。該PECVD艙具備直接液體液入輸送能力。除了甲矽烷以外,所有前驅物均為液體而且運送溫度取決於該等前驅物的沸點。典型的液態前驅物流速係100至800mg/min,電漿功率密度係0.75至2.5W/cm2 ,壓力係0.75至8托耳。厚度及折射率RI(於648nm)係藉由反射計測量。一般,RI不是此研究中的膜性質靈敏度指標。濕蝕刻速率(WER)係於10:1氧化物蝕刻緩衝液(BOE)中測量。所有膜測量均利用水銀探針,其中出現介電常數、擊穿電場及漏電。該等介電膜的接合性質係藉由Nicolet穿透式FTIR儀器分析。所有密度測量均利用X-射線反射率(XRR)完成。X-射線光電子光譜研究(XPS)及拉塞福(Rutherford)背向散射光譜研究(RBS)以測定膜組成。所有以上的分析的典型膜厚度是100至1000nm。
矽前驅物係利用以下彙總的實驗設計(DOE)方法 學來篩選:前驅物流量從100至600mgm;NH3 /He流量從100sccm至1000sccm,壓力從2至6托耳,射頻功率(13.56MHz)400至1000W;低頻功率0至100W;溫度25至3250C。沉積溫度是200至350C。所得的膜之密度及氫含量係分別藉由X-射線反射率(XRR)及傅利葉(Fourier)轉換紅外線光譜研究(FTIR)測量。
實施例1
矽膜係利用三甲矽烷基胺(TSA)作為前驅物來沉積。由XPS和RBS/HFS測量的膜組成是SixNy:Hz合金。
圖1顯示關於利用TSA前驅物沉積的這些阻絕膜的密度氫含量關係。
關於利用TSA沉積的阻絕膜的較佳加工條件是:TSA流量(100至200mgm),NH3 流量(100sccm),He(1000sccm),壓力(2torr),射頻(400W),低頻(0至100W),溫度(300C)。在這些條件之下的SiNH膜的密度及氫含量是2.4至2.5g/cm3 及2.0E22至2.2E22原子/cm3
利用TSA沉積的阻絕膜的另一較佳加工條件使用H2 作為稀釋劑氣體(100至1000sccm):TSA流量(100mgm),H2 流量(100sccm),He(1000sccm),壓力(4torr),射頻(400W),低頻(0至100W),溫度(300C)。在這些條件之下的SiNH膜的密度及氫含量是2.3g/cm3 及0.8E22原子/cm3
圖2顯示關於於較低溫度(150至350℃)下沉積的膜的密度及氫含量變化。
實施例2
矽膜係利用二甲基二乙氧基矽烷(DMDES)作為前驅物來沉積。由XPS測量的膜組成是SixCyOa:Hz合金。圖3顯示關於利用DMDES前驅物沉積的這些阻絕膜的密度氫含量關係。
關於利用DMDES沉積的阻絕膜的較佳加工條件是:DMDES流量(200mgm),H2 流量(1000sccm),He(300sccm),壓力(2torr),射頻(400W),低頻(0至100W),溫度(300℃)。在這些條件之下的SiNH膜的密度及氫含量是2.0g/cm3 及1.6E22原子/cm3
實施例3
矽膜係利用二異丙基胺基矽烷(DIPAS)作為前驅物來沉積。測量的膜組成是SixCyNa:Hz合金。圖1顯示關於利用DIPAS前驅物沉積的這些阻絕膜的密度氫含量關係。
關於利用DIPAS沉積的阻絕膜的較佳加工條件是:DIPAS流量(200mgm),NH3 流量(500sccm),He(300sccm),壓力(2torr),射頻(800W),低頻(0W),溫度(300℃)。在這些條件之下的SiNH膜的密度及氫含量是2.3g/cm3 及3.1E22原子/cm3
實施例4
矽膜係利用1,4-二矽氮丁烷作為前驅物來沉 積。該等膜的組成是SixCyNa:Hz合金。圖3顯示關於利用1,4-二矽氮丁烷前驅物沉積的這些阻絕膜的密度氫含量關係。
關於利用1,4-二矽氮丁烷沉積的阻絕膜的較佳加工條件是:1,4-二矽氮丁烷流量(200mgm),NH3 流量(500sccm),He(300sccm),壓力(2torr),射頻(1000W),低頻(100W),溫度(300℃)。在這些條件之下的SiNH膜的密度及氫含量是2.3g/cm3 及2.95E22原子/cm3
實施例5
矽膜係利用TSA及叁(二甲基胺基)矽烷(TRDMAS)作為前驅物來沉積。該等膜的組成是SixCyNa:Hz合金。圖1顯示關於利用TSA-TRDMAS前驅物沉積的這些阻絕膜的密度氫含量關係。
關於利用TSA-TRDMAS混合物沉積的阻絕膜的較佳加工條件是:TSA流量(150mgm),TRDMAS流量(250mgm),H2 流量(300sccm),He(1000sccm),壓力(4torr),射頻(600W),低頻(0W),溫度(300℃)。在這些條件之下的SiNH膜的密度及氫含量是1.9g/cm3 及3.7 E22原子/cm3
實施例6
為了評估此應用所沉積的層的相對濕度阻絕效能而開發一種試驗來測量此。在此試驗中先在使該膜變得對濕氣敏感的加工條件之下將SiO2 層沉積於矽晶圓上。當這樣的膜暴露於大氣濕氣時,或關於這個加速試驗運用於攝氏85 度具有85%濕度的氣氛之試驗,膜應力從伸張變成壓縮。
為了測量阻絕效能將該阻絕膜薄層沉積於該濕氣敏感的SiO2 層頂部上並且在暴露於該加速的85%濕度和攝氏85度環境的間隔時測量膜堆疊體的應力。如圖5所示,由TSA及NH3 所沉積的膜,其具有2.36 g/cc的膜厚度,是最佳的阻絕層,其不允許任何濕氣進入下方層,誠如要是該膜堆疊體的應力有任何變化也只有少許所證實。
標示為NBLoK的SiCN膜,其係由三甲基矽烷及氨沉積並且具有1.88 g/cc的密度,如該膜應力陡降證實並未顯現像TSA那麼好的阻絕效能(沒有阻絕物就位時在最初1小時中膜應力從250降至負100 MPa)。從阻絕效能的觀點來看由LKB500及H2 沉積並且具有1.95 g/cc的密度之SiOC阻絕膜落在TSA膜與NBLoK膜之間。由DIPAS及NH3 所沉積的SiCN膜顯現類似於由LKB500及H2 所沉積的膜之阻絕效能,同時此膜並未完成密度測量。
實施例7
矽膜係利用叁(二甲基胺基)矽烷(TRDMAS)作為前驅物及NH3 作為稀釋劑來沉積。該等膜的組成是SixCyNa:Hz合金。圖1顯示關於利用TSA-TRDMAS前驅物沉積的這些阻絕膜的密度氫含量關係。
實施例8
矽膜係利用叁(二甲基胺基)矽烷(TRDMAS)作為 前驅物及H2 作為稀釋劑的混合物來沉積。該等膜的組成是SixCyNa:Hz合金。圖1顯示關於利用TSA-TRDMAS前驅物沉積的這些阻絕膜的密度氫含量關係。
以上列示的本發明的工作實施例及具體實施例示範許多可由本發明完成的具體實施例。預期那些明確揭示者以外的許多材料均可完成。也可使用本製程的許多其他架構,而且此製程中使用的材料可選自那些明確揭示者以外的許多材料。

Claims (17)

  1. 一種顯示裝置,其包含:一基材,其包含金屬氧化物層;及一氮化矽層,其係沉積於該金屬氧化物層的至少一部分上,其中該氮化矽層包含2.4g/cm3 或更高的密度及4x1022 原子/cm3 或更低的氫含量。
  2. 如申請專利範圍第1項之裝置,其中該氮化矽層具有於400至700奈米下約90%或更高的透明度。
  3. 如申請專利範圍第1項之裝置,其中該裝置另外包含沉積於該金屬氧化物層與該氮化矽層之間的氧化矽層。
  4. 如申請專利範圍第1項之裝置,其中該金屬氧化物層包含至少一選自由氧化銦鎵鋅(IGZO)、a-IGZO(非晶形氧化銦鎵鋅)、氧化銦錫鋅(ITZO)、氧化鋁銦(AlInOx)、氧化鋅錫(ZTO)、氧氮化鋅(ZnON)、氧化鎂鋅、氧化鋅(ZnO)、InGaZnON、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuAlO、SrCuo、LaCuOS、GaN、InGaN、AlGaN或InGaAlN所組成的群組及其組合。
  5. 一種顯示裝置,其包含:一基材,其包含金屬氧化物層;一氮化矽層,其係沉積於該金屬氧化物層的至少一部分 上,其中該氮化矽層包含下列的至少其一或更多:2.4g/cm3 或更高的密度、4x1022 原子/cm3 或更低的氫含量及於400至700奈米下約90%或更高的透明度;及一氧化矽層,其係沉積於該金屬氧化物層與該氮化矽層之間。
  6. 一種將含矽膜沉積於基材的至少一表面上之方法,其中該基材包含金屬氧化物,該方法包含:將該基材的至少一表面提供於一反應艙中;將選自由下列所組成的群組的矽前驅物引進該反應艙中:a.三甲矽烷基胺(TSA);b.具有式R1 R2 NSiH3 的二烷基胺基矽烷,其中R1 係獨立地選自由C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基所組成的群組;R2 係獨立地選自C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基而且其中R1 及R2 連在一起形成一環或R1 及R2 沒連在一起形成一環;c.具有式R1 n R2 m SiH4-m-n 的烷基矽烷,其中R1 係獨立地選自由C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基所組成的群組;R2 係獨立地選自C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基而且其中R1 及R2 連在一起形成一環或R1 及R2 沒 連在一起形成一環;m係0、1、2、3、4;及n係1、2、3;d.具有式R1 n (OR2 )m SiH4-m-n 的烷基烷氧基矽烷,其中R1 係獨立地選自由C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基所組成的群組;R2 係獨立地選自C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基而且其中R1 及R2 連在一起形成一環或R1 及R2 沒連在一起形成一環;m係1、2、3或4;及n係0、1、2或3;e.具有式(R1 R2 N)n SiH4-n 的有機胺基矽烷,其中R1 係獨立地選自由C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基所組成的群組;R2 係獨立地選自C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基而且其中R1 及R2 連在一起形成一環或R1 及R2 沒連在一起形成一環;及n係2、3或4;f.選自由四(異氰酸酯基)矽烷及三(異氰酸酯基)矽烷所組成的群組的異氰酸酯基矽烷;g.具有式R1 R2 R3 SiN3 的烷基疊氮基矽烷,其中R1 、R2 及R3 係獨立地選自由C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基所組成的群組;h.具有式(R1 R2 R3 Si)2 (CH2 )n 、R1 R2 R3 SiN3 的以烷基橋接 的二矽烷,其中R1 、R2 及R3 係獨立地選自由C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基所組成的群組;而且n=1、2、3;i.具有式Si(OR1 )4 的烷氧基矽烷,其中R1 係獨立地選自由C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基所組成的群組;及其組合;將選自氧來源、含氮來源或其組合的來源引進該反應艙中;及經由沉積製程於介於約25℃至350℃的一或更多溫度下將一含矽層沉積於該基材的至少一表面上;其中該氣相沉積製程係選自由化學氣相沉積法(CVD)、電漿強化化學氣相沉積法(PECVD)、循環式化學氣相沉積法(CCVD)、電漿強化循環式化學氣相沉積法(PECCVD)、原子層沉積法(ALD)及電漿強化原子層沉積法(PEALD)所組成的群組。
  7. 如申請專利範圍第6項之方法,其中該含矽層包含下列性質的至少其一或更多:高於約1.9g/cm3 或更高的密度,4x1022 原子/cm3 或更低的氫含量及於400至700nm下>90%的透明度。
  8. 如申請專利範圍第6項之方法,其中該矽前驅物係選自由 三甲矽烷基胺、四乙氧基矽烷、二乙氧基二甲基矽烷、1,4-二矽氮丁烷、二乙基矽烷及三乙基矽烷所組成的群組。
  9. 如申請專利範圍第6項之方法,其中該氧來源係選自由水(H2 O)、氧(O2 )、氧電漿、臭氧(O3 )、NO、N2 O、一氧化碳(CO)、二氧化碳(CO2 )及其組合所組成的群組。
  10. 如申請專利範圍第6項之方法,其中該含氮來源係選自由氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氫電漿、NF3 及其混合物所組成的群組。
  11. 如申請專利範圍第6項之方法,其中該沉積步驟的溫度介於約150℃至約350℃。
  12. 如申請專利範圍第6項之方法,其中該沉積製程係電漿強化化學氣相沉積法(PECVD)或PECCVD。
  13. 如申請專利範圍第6項之方法,其中該矽前驅物包含三甲矽烷基胺。
  14. 如申請專利範圍第6項之方法,其中該含矽膜包含單一鈍化層。
  15. 如申請專利範圍第6項之方法,其中該含矽膜包含雙重鈍 化層。
  16. 一種將含矽漸變層或雙層沉積於金屬氧化物基材的至少一表面上之方法,該方法包含:將該基材的至少一表面提供於一反應艙中;將選自由下列所組成的群組的矽前驅物引進該反應艙中:a)三甲矽烷基胺;b)具有式R1 R2 NSiH3 的二烷基胺基矽烷,其中R1 係選自由C1-10 線性或分支烷基、含氮烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氮烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;c)具有式R1 n R2 m SiH4-m-n 的烷基矽烷;其中R1 係選自由C1-10 線性或分支烷基、含氮烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自由C1-10 線性或分支烷基、含氮烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;m=0、1、2、3、4;n=1、2、3;d)具有式(R1 R2 N)n SiH4-n 的有機胺基矽烷,其中R1 係選自由氫、C1-10 線性或分支烷基、含氮烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;R2 係選自C1-10 線性或分支烷基、含氮烷基、環狀烷基、烯 基、炔基、芳香族烴、C6-10 芳基所組成的群組;R1 及R2 能形成經烷基取代的環狀烷基或芳基環;n=2、3、4;e)包括四(異氰酸酯基)矽烷及三(異氰酸酯基)矽烷的異氰酸酯基矽烷;及f)具有式R1 R2 R3 SiN3 的烷基疊氮基矽烷,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氮烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;及g)具有式(R1 R2 R3 Si)2 (CH2 )n 的以烷基橋接的矽烷,其中R1-3 係獨立地選自由C1-10 線性或分支烷基、含氮烷基、環狀烷基、烯基、炔基、芳香族烴、C6-10 芳基所組成的群組;而且n=1、2、3;h)具有式Si(OR1 )4 的烷氧基矽烷,其中R1 係獨立地選自由C1-10 線性或分支烷基;C4 至C10 環狀烷基;C3 至C12 烯基;C3 至C12 炔基;及C6 至C10 芳基所組成的群組;將氧來源引進該反應艙中;於該反應艙中提供25℃至350℃的反應溫度;及將該含矽漸變層的底部部分或該雙層的底層沉積於該基材的至少一表面上;停止該氧來源;將含氮來源引進該反應艙中;將該含矽漸變層的頂部部分或該雙層的頂層沉積於該基材的至少一表面上之底部含矽漸變層部分或雙層底層上; 其中該矽前驅物不含氧而且該沉積製程係選自由化學氣相沉積法(CVD)、電漿強化化學氣相沉積法(PECVD)、循環式化學氣相沉積法(CCVD)、電漿強化循環式化學氣相沉積法(PECCVD)、原子層沉積法(ALD)及電漿強化原子層沉積法(PEALD)所組成的群組。
  17. 如申請專利範圍第16項之方法,其中該矽前驅物係三甲矽烷基胺、該含矽漸變層的底部部分或該包含SiCO或SiO2 的雙層的底層及該含矽漸變層的頂部部分或該包含SiNC或Si3 N4 的雙層的頂層;而且該沉積製程係電漿強化化學氣相沉積法(PECVD)或電漿強化循環式化學氣相沉積法(PECCVD)。
TW102108313A 2012-03-09 2013-03-08 用於顯示裝置的阻絕物材料 TWI496932B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261609045P 2012-03-09 2012-03-09

Publications (2)

Publication Number Publication Date
TW201402854A TW201402854A (zh) 2014-01-16
TWI496932B true TWI496932B (zh) 2015-08-21

Family

ID=47913605

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102108313A TWI496932B (zh) 2012-03-09 2013-03-08 用於顯示裝置的阻絕物材料

Country Status (7)

Country Link
US (1) US10319862B2 (zh)
EP (1) EP2823082A1 (zh)
JP (4) JP6195386B2 (zh)
KR (3) KR20140138272A (zh)
CN (1) CN104271797B (zh)
TW (1) TWI496932B (zh)
WO (1) WO2013134661A1 (zh)

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103594655B (zh) * 2013-10-22 2016-04-06 溧阳市东大技术转移中心有限公司 一种有机发光二极管的阳电极
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR101616929B1 (ko) 2013-11-25 2016-04-29 엘지디스플레이 주식회사 유기발광 표시장치 제조방법
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
JP6363385B2 (ja) * 2014-04-21 2018-07-25 東京エレクトロン株式会社 封止膜の形成方法及び封止膜製造装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104167449B (zh) * 2014-08-05 2017-09-22 京东方科技集团股份有限公司 薄膜晶体管及其制备方法、阵列基板和显示装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
MX2017009473A (es) * 2015-01-20 2017-11-02 Basf Coatings Gmbh Proceso para la produccion de laminados flexibles organicos-inorganicos.
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9343297B1 (en) * 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10312373B2 (en) * 2015-11-17 2019-06-04 Ricoh Company, Ltd. Field-effect transistor (FET) having oxide insulating layer disposed on gate insulating film and between source and drain electrodes, and display element, display and system including said FET, and method of manufacturing said FET
JP6607013B2 (ja) 2015-12-08 2019-11-20 株式会社リコー 電界効果型トランジスタ、表示素子、画像表示装置、及びシステム
US10087521B2 (en) * 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
US10079264B2 (en) * 2015-12-21 2018-09-18 Hong Kong Beida Jade Bird Display Limited Semiconductor devices with integrated thin-film transistor circuitry
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9735005B1 (en) * 2016-03-11 2017-08-15 International Business Machines Corporation Robust high performance low hydrogen silicon carbon nitride (SiCNH) dielectrics for nano electronic devices
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN106098617A (zh) * 2016-08-01 2016-11-09 信利(惠州)智能显示有限公司 一种宽视角模式tft基板制备方法
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6924943B2 (ja) * 2017-05-12 2021-08-25 東京エレクトロン株式会社 成膜方法及び成膜装置
CN107164725A (zh) * 2017-05-15 2017-09-15 京东方科技集团股份有限公司 一种薄膜沉积设备和薄膜沉积方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7239598B2 (ja) * 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
WO2019187981A1 (ja) * 2018-03-28 2019-10-03 富士フイルム株式会社 ガスバリアフィルム
JPWO2019187978A1 (ja) * 2018-03-28 2021-02-12 富士フイルム株式会社 ガスバリアフィルム
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10748759B2 (en) 2019-01-15 2020-08-18 Applied Materials, Inc. Methods for improved silicon nitride passivation films
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN110042365B (zh) * 2019-03-04 2020-09-22 中国科学院物理研究所 一种在二维材料表面生长氧化铝的原子层沉积方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
TWI792947B (zh) * 2019-05-21 2023-02-11 美商慧盛材料美國責任有限公司 熱沉積含矽膜的組合物及方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FR3098343B1 (fr) * 2019-07-01 2021-06-04 Commissariat Energie Atomique Procédé de passivation
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN110429024B (zh) * 2019-08-08 2022-04-15 京东方科技集团股份有限公司 层间绝缘层及薄膜晶体管的制备方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
KR20220001984A (ko) * 2020-06-30 2022-01-06 엘지디스플레이 주식회사 표시장치
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11955333B2 (en) 2021-03-22 2024-04-09 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007220646A (ja) * 2006-01-19 2007-08-30 Toppan Printing Co Ltd 有機エレクトロルミネッセンス素子
US20110114940A1 (en) * 2009-11-16 2011-05-19 Do-Hyun Kim Thin film display panel and method of manufacturing the same
US20120034451A1 (en) * 2010-08-03 2012-02-09 Samsung Mobile Display Co., Ltd. Substrate for flexible display and method of manufacturing the substrate
CN103292288A (zh) * 2013-06-21 2013-09-11 浙江奥司朗照明电器有限公司 一种led照明灯

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4981724A (en) * 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JPH0463278A (ja) 1990-07-02 1992-02-28 Canon Inc 汎用性のある機能性窒化シリコン膜の形成方法
JPH0697158A (ja) 1991-09-12 1994-04-08 Semiconductor Energy Lab Co Ltd 光気相反応方法
JP3149223B2 (ja) 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
JP2506539B2 (ja) 1992-02-27 1996-06-12 株式会社ジーティシー 絶縁膜の形成方法
JPH0684804A (ja) 1992-09-01 1994-03-25 Matsushita Electric Ind Co Ltd プラズマ膜堆積装置
JP2508581B2 (ja) * 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
JPH1060655A (ja) 1996-08-22 1998-03-03 Canon Inc 薄膜形成方法および装置
CA2353506A1 (en) * 1998-11-02 2000-05-11 3M Innovative Properties Company Transparent conductive oxides for plastic flat panel displays
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
KR20030002993A (ko) * 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
JP4090716B2 (ja) 2001-09-10 2008-05-28 雅司 川崎 薄膜トランジスタおよびマトリクス表示装置
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
JP2006511087A (ja) * 2002-12-20 2006-03-30 アプライド マテリアルズ インコーポレイテッド 高品位低温窒化シリコン層を形成する方法および装置
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US20060045986A1 (en) * 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
CN1940132A (zh) 2005-09-30 2007-04-04 气体产品与化学公司 采用pecvd由氨基硅烷制备氮化硅
JP4684866B2 (ja) 2005-11-17 2011-05-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100732849B1 (ko) 2005-12-21 2007-06-27 삼성에스디아이 주식회사 유기 발광 표시장치
US7696683B2 (en) * 2006-01-19 2010-04-13 Toppan Printing Co., Ltd. Organic electroluminescent element and the manufacturing method
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5213422B2 (ja) 2007-12-04 2013-06-19 キヤノン株式会社 絶縁層を有する酸化物半導体素子およびそれを用いた表示装置
JP2009246211A (ja) 2008-03-31 2009-10-22 Tokyo Electron Ltd Mos型半導体メモリ装置の製造方法、コンピュータ読み取り可能な記憶媒体およびプラズマcvd装置
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
KR101778223B1 (ko) 2008-09-11 2017-09-15 삼성전자주식회사 박막 트랜지스터 및 그 제조 방법
KR101681483B1 (ko) 2008-09-12 2016-12-02 삼성디스플레이 주식회사 박막 트랜지스터 기판 및 그 제조 방법
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
KR101738996B1 (ko) 2009-11-13 2017-05-23 가부시키가이샤 한도오따이 에네루기 켄큐쇼 불휘발성 메모리 소자를 포함하는 장치
JP5150606B2 (ja) 2009-11-16 2013-02-20 株式会社東芝 不揮発性半導体記憶装置
KR101701208B1 (ko) 2010-01-15 2017-02-02 삼성디스플레이 주식회사 표시 기판
KR101623956B1 (ko) * 2010-01-15 2016-05-24 삼성전자주식회사 트랜지스터와 그 제조방법 및 트랜지스터를 포함하는 전자소자
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5499811B2 (ja) 2010-03-19 2014-05-21 富士通株式会社 キャパシタ及び半導体装置
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5824266B2 (ja) 2010-07-29 2015-11-25 株式会社半導体エネルギー研究所 半導体装置
US8796733B2 (en) * 2010-08-09 2014-08-05 University Of Notre Dame Du Lac Low voltage tunnel field-effect transistor (TFET) and method of making same
WO2012024114A2 (en) * 2010-08-20 2012-02-23 Applied Materials, Inc. Methods for forming a hydrogen free silicon containing dielectric film
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
WO2012063614A1 (ja) * 2010-11-10 2012-05-18 株式会社日立製作所 半導体装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007220646A (ja) * 2006-01-19 2007-08-30 Toppan Printing Co Ltd 有機エレクトロルミネッセンス素子
US20110114940A1 (en) * 2009-11-16 2011-05-19 Do-Hyun Kim Thin film display panel and method of manufacturing the same
US20120034451A1 (en) * 2010-08-03 2012-02-09 Samsung Mobile Display Co., Ltd. Substrate for flexible display and method of manufacturing the substrate
CN103292288A (zh) * 2013-06-21 2013-09-11 浙江奥司朗照明电器有限公司 一种led照明灯

Also Published As

Publication number Publication date
JP6298118B2 (ja) 2018-03-20
CN104271797A (zh) 2015-01-07
EP2823082A1 (en) 2015-01-14
JP2015515744A (ja) 2015-05-28
JP2018078326A (ja) 2018-05-17
WO2013134661A1 (en) 2013-09-12
JP6195386B2 (ja) 2017-09-13
JP2017195376A (ja) 2017-10-26
CN104271797B (zh) 2017-08-25
KR102029286B1 (ko) 2019-10-07
KR20160127171A (ko) 2016-11-02
JP2017022385A (ja) 2017-01-26
US10319862B2 (en) 2019-06-11
KR20140138272A (ko) 2014-12-03
US20150021599A1 (en) 2015-01-22
KR102140719B1 (ko) 2020-08-03
TW201402854A (zh) 2014-01-16
KR20170021380A (ko) 2017-02-27

Similar Documents

Publication Publication Date Title
TWI496932B (zh) 用於顯示裝置的阻絕物材料
KR101996942B1 (ko) 박막 트랜지스터 소자 상에 실리콘 함유 막을 제조하는 방법
Won et al. High-quality low-temperature silicon oxide by plasma-enhanced atomic layer deposition using a metal–organic silicon precursor and oxygen radical
CN106935656B (zh) 绝缘膜、半导体装置的制造方法以及半导体装置
TWI515794B (zh) 用於形成含氧化矽之薄膜的方法
US20160315168A1 (en) Process for forming gate insulators for tft structures
KR20140002616A (ko) 수소 미함유 실리콘 함유 유전체막을 형성하기 위한 방법들
US20160315163A1 (en) Process for forming gate insulators for tft structures
CN101205607A (zh) 增加等离子体增强化学气相沉积电介质薄膜压应力的方法
CN105144391A (zh) 金属氧化物tft稳定性改进
Tari et al. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors
TW201724180A (zh) 含鋁介電層之形成方法
CN109891559B (zh) 半导体装置的制造方法
KR20230170095A (ko) 수퍼사이클 원자 층 증착에 의한 신규의 비정질 하이-k 금속 산화물 유전체들의 방법들 및 애플리케이션들
Park et al. Method of controlling film uniformity and composition of a PECVD-deposited A-SiN x: H gate dielectric film deposited over a large substrate surface