CN101205607A - 增加等离子体增强化学气相沉积电介质薄膜压应力的方法 - Google Patents

增加等离子体增强化学气相沉积电介质薄膜压应力的方法 Download PDF

Info

Publication number
CN101205607A
CN101205607A CNA2007101651438A CN200710165143A CN101205607A CN 101205607 A CN101205607 A CN 101205607A CN A2007101651438 A CNA2007101651438 A CN A2007101651438A CN 200710165143 A CN200710165143 A CN 200710165143A CN 101205607 A CN101205607 A CN 101205607A
Authority
CN
China
Prior art keywords
layer
carbon
substrate
nitrogen
gaseous mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007101651438A
Other languages
English (en)
Inventor
米哈拉·鲍尔西努
维克托·T·恩古源
夏立群
弗拉迪米尔·朱布科夫
德里克·R·威蒂
希姆·M·萨德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101205607A publication Critical patent/CN101205607A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers

Abstract

本发明提供一种用于形成具有压应力的碳掺杂氮化硅层的方法。该方法包括形成初始层和该初始层上的体层,其中体层的压应力在大约-0.1GPa至约-10GPa之间。初始层由包括含硅和碳的前驱物以及选择性地包括氮和/或氧源但不包括氢气的气体混合物沉积。体层由包括含硅和碳的前驱物、氮源以及氢气的气体混合物沉积。初始层是允许体层的压应力良好地通过传输到底层的薄层,例如晶体管的沟道。

Description

增加等离子体增强化学气相沉积电介质薄膜压应力的方法
技术领域
本发明的实施方式一般涉及集成电路的制造。具体来说,本发明的实施方式涉及用于沉积具有压应力的多层碳掺杂氮化硅层的方法。
背景技术
集成电路是由大量的,如数万个器件组成,诸如晶体管、电容器以及电阻器。晶体管可包括金属氧化物半导体场效应管(MOSFET)。
MOSFET包含设置在半导体衬底中限定的源区与漏区之间的栅结构。该栅结构或堆栈一般包含形成于栅电介质材料上的栅极。该栅极控制在漏区和源区之间形成的沟道区域中栅电介质之下的电荷载体流,从而截止或导通晶体管。
MOSFET器件的性能可通过几种方法进行改进,例如:减小供应电压,减小栅电介质的厚度,或缩短沟道长度。然而,由于器件变得越来越小,且以更密集地在半导体衬底上隔开,这些方法将变得更加困难。例如,如果采用极薄的栅电介质,则来自栅极的掺杂剂将可能穿透栅极电介质进入其下的硅衬底。极薄的栅极电介质还可能增加栅极漏电流,其增加栅极消耗功率量且最终损坏晶体管。
最进在开发器件中材料的原子晶格应变,提高器件性能的替代方法。应变原子晶格通过提高半导体材料中的载体可移动性,来达到提高器件性能的效果的。器件的一层上的原子晶格可通过在该层上方沉积应力薄膜来应变。例如,可在栅极上方沉积用作蚀刻停止层的应力氮化硅层,以引起晶体管沟道区中的应变。该应力氮化硅层可具有压应力或张应力。压应力层或张应力层的选择基于其下器件的类型。通常,张应力层沉积在NMOS器件上方,而压应力层沉积在PMOS器件上方。观测到PMOS器件的性能随着器件上方氮化硅接触衬垫或蚀刻停止层压应力值近似线性地提高。
尽管已经开发了具有高压应力值的等离子增强化学气相沉积(PECVD)的氮化硅(SiN)层,但是还是需要具有更高压应力值的层,以进一步改善器件性能。
发明内容
本发明主要提供形成压应力氮化物层的方法。该压应力氮化物层包含硅、碳及氮,并可是碳掺杂氮化硅层。
在一个实施方式中,提供一种沉积具有压应力的两层薄膜的方法,其中该方法包括:在衬底上沉积包含硅和碳,并可有选择地包含氮和/或的初始层,并在该初始层上沉积包含硅、碳和氮的体层。该初始层是在RF功率存在的情形下由第一气体混合物沉积,其中,该第一气体混合物包括含硅和碳的前驱物,并选择性地包括氮源和/或氧源。该第一混合气体不包含氢气。该体层是在RF功率存在情形下由第二气体混合物沉积,其中,该第二气体混合物包括含硅及碳的前驱物、氮源以及氢气。该体层具有在大约-0.1和约-10GPa之间的压应力。
在另一实施方式中,提供一种沉积具有压应力的层的方法,其中该方法包括:在衬底上沉积包含硅、碳及氮的初始层,并在该初始层上沉积包含硅、碳和氮的体层。该初始层是在等离子体存在情况下,由含硅及碳的前驱物和氮源且不包含氢气的第一气体混合物沉积。在初始层沉积之后,该等离子体将被继续使用,并且该体层也是在该等离子体存在的情况下沉积。该体层由含硅及碳的前驱物、氮源和氢气组成的第二气体混合物沉积。该体层具有在大约-0.1至约-10GPa之间的压应力。
在另一实施方式中,提供一种用于预清洗衬底的方法。该方法包括,在等离子体存在或不存在的情况下,用包含氮和氢的混合气体处理包含具有硅化物上表面的衬底,并之后在衬底上沉积压应力氮化物薄膜或张应力氮化物薄膜。
附图说明
为了使以上陈述的本发明的各个特点能够被更加细致地加以理解,我们将参照实施方式对以上的概述进行更加详细的描述,其中部分实施方式在附图中示出。然而,必须指出,附图只是描绘了本发明的典型实施方式,因此不应被认为是对发明范围的限制,因为本发明承认其他等效实施方式。
图1为根据本发明实施方式具有压应力层的晶体管结构的示意性截面图;
图2为根据本发明实施方式在衬底上沉积压应力层的示意图以及根据现有技术沉积一层的示意图;
图3为红外光谱示意图,其示出了根据本发明实施方式压不同N2/H2比率对应力层组分的影响;
图4和图5为示出了根据本发明实施方式不同氢气流速对各层压应力值影响的示意图;
图6为示出了根据本发明实施方式的不同值的高频RF功率对各层压应力影响的示意图;
图7为示出了根据本发明实施方式的包括高频RF功率以及低频RF功率的总RF功率的不同值对各层的压应力的示意图。
具体实施方式
本发明的各实施方式提供了一种沉积具有压应力并包含硅、碳和氮的层的方法。该层可为具有碳原子百分比在大约2%到95%之间的碳掺杂氮化硅层。该层的压应力在大约-0.1GPa到-10GPa之间。
该层可被用作阻挡层或蚀刻停止层。图1是结构100的实施例,其中该层被用作蚀刻停止层102。该层沉积在位于PMOS衬底区域103上方的一个栅堆栈结构上。该栅堆栈结构包括一个可为氧化物的栅极电介质104、栅极电介质上的多晶硅层106,和多晶硅层106上的金属硅化物108,如镍硅化物,以及位于栅极电介质104、多晶硅层106,以及金属硅化物108侧部上的间隔垫110。必须指出,该层可沉积在包含不同组成的多个层的栅堆栈结构上。该栅堆栈结构位于源极112和漏极114之间。金属硅化物薄层116位于源极112和漏极114顶上。沟道区域120形成于源极112和漏极114之间的PMOS衬底区域103中。该结构100还包括NMOS器件,其包括通过沟道124与PMOS衬底区域103分离的NMOS衬底区域。该NMOS器件在其上具有张应力层126。
该结构100还包括位于蚀刻停止层102和张应力层126上的金属前电介质层130。在后续的蚀刻步骤(未示出)中,该金属前电介质层130将被蚀刻以在其中形成接触孔,其中蚀刻停止层102起到蚀刻停止的作用。然后该蚀刻停止层102可被蚀刻以暴露其下的金属硅化物,并且钨插栓将被沉积在该接触孔中。
如图1所示,该蚀刻停止层102包含两层:140和142。层140是初始层,其厚度在大约5到约500之间。层142是体层,其厚度在大约10到约10000之间。
以下将描述一种沉积两层薄膜的方法,如其上包含初始层和体层的蚀刻停止层102。
可选择的,在初始层沉积于衬底上以前,在等离子体存在或不存在的情况下,该衬底可用包含氮和氢的气体混合物处理进行预清洗。该衬底包含具有硅化物的上表面,如金属硅化物108和金属硅化物层116。例如,该硅化物可以是硅化钴或硅化镍。例如,该气体混合物可包括氨(NH3)、肼(N2H4)、N2或其组合。在一个方案中,衬底是通过氨(NH3)浸泡来进行清洗。在处理过程中等离子体的存在增强了气体混合物的清洗效率。该等离子体可通过约13.56MHz的高频RF功率、约350kHz下的低频RF功率及其组合形成,并且RF功率的功率级别在约5W至约3000W之间的范围内。该衬底可在用于沉积初始化层的相同腔室内进行处理。
尽管以上关于处理衬底描述了在根据本发明实施方式的起始层沉积在其上之前的预清洗方法,但是应该知道可在其它薄膜沉积在衬底上之前,诸如其它压应力氮化物薄膜和张应力氮化物薄膜,可在存在或不存在等离子体的情形下,执行包含利用含有氮和氢的气体混合物处理衬底的预清洗方法。
该初始层是在等离子体存在的情况下由气体混合物沉积而成的。该初始层包含硅、碳,并选择性地包括氮和/或氧,并且例如可是碳掺杂的氮化硅。该气体混合物由包括含硅及碳的前驱物,以及可选地包含氮源和/或氧源组成。该气体混合物中的氮源可能是氨、肼或氮气。该氧源可能是一氧氧化二氮 (N2O)或氧气(O2)。
该气体混合物可进一步包括氮气(N2)、载气,诸如氩或氦或氙。该气体混合物不包含添加的氢气(H2)。该气体混合物还可包括不含碳的硅前驱物,诸如硅烷。该初始层提供阻止氢气或未键接氢渗透通过到衬底的下层的层。氢气或未键接的氢渗透到作为晶体管器件一部分的衬底下面的层,会使晶体管性能下降。
在一种实施方式中,该含硅及碳的前驱物可为包含硅-碳键的有机硅化合物。该含硅及碳的前驱物可具有SiR1R2R3R4结构,其中至少一个R’s是烃基,其他R’s是烃基或氢。该含硅及碳的前驱物可是烷基硅烷、烷基氨基硅烷,或硅氮烷(orgonasilazane)。可使用的含硅及碳前驱物的实例包括甲基硅烷(MS)、三甲基硅烷(TMS)以及四甲基硅烷(4MS)。
该含硅及碳的前驱物还可包含氮。例如,该含硅及碳的前驱物可为例如烷基氨基硅烷的氨基硅烷,例如,六甲基二硅氮烷(HMDS)、六甲基环三硅氮烷(HMCTZ)、三(二甲氨基)硅烷(TDMAS)、四(二甲氨基)硅烷(TDMAS)或双(叔-正丁胺基)硅烷(BTBAS)。
该含硅及碳的前驱物还可包含氧。例如,该含硅及碳的前驱物可能包含八甲基环四硅氧烷(OMCTS)或甲基二乙氧基硅烷(MDEOS)。
在另一实施方式中,该含硅及碳的前驱物包含两种或多于两种化合物,其中至少一种化合物是含硅化合物,且其他化合物的至少一种是含碳化合物。可能使用的含硅化合物的例子包括硅烷、乙硅烷以及三甲硅烷基氨(TSA)。含碳化合物可为烷烃、烯烃或炔烃。可应用的含碳化合物的例子包括α-松油烯(ATRP)、甲苯、柠檬烯、吡喃、环戊烯、1-甲基环戊烯以及二环庚二烯。
如上所述,该初始层是在等离子体存在的情况下由气体混合物沉积而成。该等离子体可通过在该腔室应用RF功率来提供。对于一个300mm的衬底该RF功率可在低频诸如如约350kHz下在约5W至约1000W之间的能量值下提供。通常,该RF功率提供到腔室中的气体分配装置或者“喷头”电极上。可选的或附加的,该RF功率可应用到腔室中的衬底支架上。该RF功率可以为周期的或脉冲的以及连续的或间断的。
该初始层可在化学气相沉积室或等离子增强化学气相沉积腔室中,通过在RF功率存在的情形下,使包含有机硅化物的混合气体起反应来进行沉积。这些用于沉积该层的腔室实例包括具有2个隔离的处理区域的PRODUCER腔室和DxZ腔室,这两个腔室都可以从California的Santa Clara的应用材料公司购得。此处提供的处理条件是用于具有2个隔离处理区域的300mm的PRODUCER腔室。因此,每一个衬底工艺区域及衬底经历的流速是进入腔室的总流速的一半。
为了沉积初始层,该含硅及碳的前驱物可以约1sccm至约50,000sccm之间的流速引入到腔室中。该氮源可以约1sccm至约50,000sccm之间的流速引入腔室。载气可以约1sccm至约50,000sccm之间的流速引入腔室。氮气(N2)可以约1sccm至约50,000sccm之间的流速引入腔室。
在腔室内衬底上沉积初始层期间,该衬底的温度一般被维持在约100℃至约580℃之间的温度下。在其中将初始层沉积在衬底的硅化镍层上的实施方式中,该衬底的温度一般被维持在约480℃或更低的温度下。然而,在其它实施方式中,该衬底的温度一般被维持在约480℃至约580℃之间的温度下,如大于480℃直至约580℃。介于约481℃与约580℃之间的衬底温度可通过具有陶瓷加热器的腔室提供,如该PRODUCER腔室,可以Califomia的Santa Clara的应用材料公司购得。在任何实施方式中,腔室内的压力在约5mTorr至约50Torr之间。
该初始层的沉积持续足以提供厚度在约5至约500之间的层的时间,如约15秒。
在特定的实施方式中,以下处理条件可用于沉积初始层:150sccm NH3,60sccm TMS,2000sccm Ar,2000sccm N2,以及频率为350MHz的75W RF功率,用时15秒。
在初始层沉积以后,该体层将在同一腔室内沉积于该初始层之上。因此,该体层可在该腔室内,沉积于在单一衬底支架上的衬底上的初始层上,其中该衬底未从位于初始层沉积和体层沉积之间的衬底支架去除。由于初始层以及体层都是在等离子体存在的情况下沉积,并且该等离子体在腔室内初始层沉积与体层沉积之间不关闭,对于初始层以及体层的沉积可以认为是“背对背”的等离子工艺。
优选的,在初始层沉积后以及在体层沉积前,存在一个过渡时期,该过渡期使等离子体的不稳定性最小。在过渡时期,来自第一气体混合物的气体流持续流到腔室中,尽管可改变部分气体的流速,如下所述。将在过渡期以及体层沉积过程中维持用于初始层沉积的衬底温度以及腔室压力不变。
该过渡期包含其中开启腔室中高频RF功率的第一步骤。该高频RF功率在频率13.56MHz下为约为5W至约3000W之间的能量,如约50W。开启该高频RF功率将增加腔室内总RF功率。用于沉积初始层的气体混合物的气体流速和其他初始层处理条件,包括低频RF功率的应用,将在该第一步骤中得到保持。该第一步骤持续约1秒。
该过渡时期还包含其中进一步增加高频RF功率以及总RF功率的第二步骤。该高频RF功率将被增加至约5W到约3000W之间,如约105W。该低频RF功率将被减小至约5W到约1000W之间,如约30W。在第一混合气体中的一种或多种气体的流速也将在该第二步骤中进行调整。例如:氮源流速可降至约75sccm至约200sccm之间,如约100sccm,载气流速可增至约100sccm至约50,000sccm之间,如约3500sccm,并且氮气流速可降至约10sccm至约10,000sccm之间,如约1000sccm。该第二步骤持续约1秒钟。
该体层在过渡时期的第二步骤之后进行沉积。除了在体层沉积过程中将氢气引入到腔室以外,体层使用与该第二步完结时实现的相同处理条件进行沉积,包括流速与功率。该氢气可以约5sccm至约20,000sccm之间的流速引入。通常,该体层由第二气体混合物沉积形成,该第二气体混合物包括:含硅及碳前驱物、氮源、氢气,以及惰性气体如氩、氙、氦或氮。该体层包含硅、碳和氮,并且可为碳掺杂的氮化硅。该体层沉积足以获得厚度在约10至约10,000之间的层的时间周期。
在特定实施方式中,以下处理条件用于沉积该体层:100sccm NH3,60sccm TMS,3500sccm H2,3500sccm Ar,1000sccm N2,频率为13.56k Hz下的105WRF功率,以及频率为350MHz下的30W RF功率,持续156秒。该体层将根据在上文描述过的特定初始层沉积之后,进行沉积。
通过使用含硅及碳前驱物,而不是硅烷,来沉积体层,使得该体层除了包含硅和氮之外还包含碳,体层中非键合的氢含量降低。图2示出了根据本发明各实施方式,从由硅烷沉积的氮化硅薄膜以及通过使用含硅及碳的前驱物沉积的碳掺杂氮化硅薄膜中去除非键接氢的推荐机制。在碳掺杂氮化硅薄膜上,为了从薄膜去除氢,含硅及碳前驱物与甲基基团的反应具有比在氮化硅薄膜中用于从薄膜去除氢的硅烷与氢反应的活化能低的活化能(Ea)。
需要减少体层中非键接氢含量,原因在于如果体层中氢成分较少,将可使用更薄的初始层,即阻挡层用于防止氢气或非键接氢渗透至衬底的下层。更薄的初始层期望为允许体层的压应力穿过初始层更好地传递到衬底的晶体管的下面的沟道的更薄层。
表1示出了根据现有工艺的硅烷(SiH4)基体压应力氮化物层属性,与根据本发明实施方式的TMS基的体压应力氮化物层属性的对比关系。如表1所示,由包括含硅及碳前驱物的气体混合物沉积的含硅、碳和氮的体层可与SiH4基的氮化硅层所形成的压应力基本可比的压应力一起形成。表1还示出与不含碳的氮化硅层相比,含硅、碳和氮的体层具有理想的,如更低的湿法蚀刻速度(WER)。
表一
薄膜属性     SiH4基的压应力氮化物 TMS基的压应力(compressive)氮化物
    工艺温度(℃)     480 480
    初始层厚度()     120 60
    应力(GPa)     -3.5 -3.4
    沉积速度(/min)     200 160
    RI(折射率)     1.980 2.035
    Si∶N∶H∶C(RBS/HFS/XPS)     32∶48∶20∶- 30∶37∶22∶11
    WER(/min)(100∶1HF)     15 <3
    k(介电常数)     7.5 9.0
    漏电流(A/cm2在2MV/cm)     1.5*10-10 4*10-10
    Vbd(MV/cm)     9.7 7.0
在步骤覆盖性方面,该含硅、碳和氮体层也显示出与SiH4基的氮化硅层可比较的阶梯覆盖。相对于由不含氮气的混合气体沉积而成的含硅、碳和氮的体层以及SiH4基氮化硅层,向用以沉积含硅、碳和氮层的混合气体中加入氮气将改善层的阶梯覆盖。同时还发现向混合气体中加入氮气改善了侧壁粗糙度。加入氮气还通过增加该层中的NH含量以及减少SiH含量而降低了折射率。向该气体混合物中加入硅烷还改善了侧壁粗糙度。
图3示出了,用于沉积体层的气体混合物的中N2/H2比率的提高导致该层中SiH和CHx含量降低以及导致该层中NH含量增加。还发现从100sccmNH3/60sccm TMS到200sccm/60sccm TMS增加用于沉积体层的气体混合物中NH3/TMS比率导致该层中SiH含量降低,并导致该层中NH含量增加。
此处描述的体层应力可通过调节用于沉积该层的处理条件加以调整。例如,图4和图5示出了,在体层沉积期间增加的氢气流速提高了该体层的压应力。还发现增加氢气流速改善薄膜均匀性,并减小该层的折射率。
图6和图7示出了,可以通过降低分别以高频功率和总功率级别测量的,该体层沉积等离子体的密度,而在体层中得到更高的压应力。
还发现将用于从包含TMS的气体混合物气沉积体层的沉积温度从400℃升至480℃,可将该层压应力从-2.8GPa增至-3.3GPa,而不会明显改变体层的组分。提高的温度也可改善该侧壁的粗糙度。
此处给出的体层还展示出良好的热阻性。例如,由包含TMS的气体混合物沉积体层并且在其上沉积初始层之前在用氨水浸泡处理过的衬底上沉积,在480℃退火3小时之后,未发现体层从下面的硅或NiPtSi层起泡(blistering)或分层。该体层还显示出对其下的NiPtSi层良好的附着性,这可以通过其中胶带测试加测量,在该测试过程中将胶带贴到该层上,然后再剥去胶带,而不同时剥去该体层。
尽管上述内容指出了本发明的实施方式,在不背离本发明基本范围的情况下,可以设计本发明的其它或进一步的多种实施方式,并且本发明的范围由以下的权利要求书所确定。

Claims (27)

1.一种沉积具有压应力的两层薄膜的方法,其特征在于,包括:
在腔室中,在RF功率存在的情形下,由第一气体混合物在衬底上沉积包含硅和碳以及可选地包括氮和/或氧的初始层,其中所述第一气体混合物包含含硅和碳的前驱物并选择性地包括氮和/或氧源;以及
在RF功率存在的情形下,在所述初始层上由第二气体混合物沉积包含硅、碳和氮的体层,其中所述第二气体混合物包含所述含硅和碳的前驱物、氮源,以及氢气,以及所述体层的压应力为-0.1GPa到-10GPa之间。
2.根据权利要求1所述方法,其特征在于,所述含硅和碳的前驱物选自硅烷基、氨基硅烷基和硅氮烷。
3.根据权利要求1所述方法,其特征在于,所述含硅和碳的前驱物包含选自硅烷、乙硅烷以及三甲基硅烷胺组成的含硅化合物。
4.根据权利要求1所述方法,其特征在于,所述含硅和碳的前驱物包含选自烷烃、烯烃以及炔烃的含碳化合物。
5.根据权利要求1所述方法,其特征在于,所述含硅和碳的前驱物包含选自α-松油烯、甲苯、柠檬烯、吡喃、环戊烯、1-甲基环戊烯以及二环庚二烯的含碳化合物。
6.根据权利要求1所述方法,其特征在于,所述含硅和碳的前驱物进一步包含氮。
7.根据权利要求1所述方法,其特征在于,所述含硅和碳的前驱物进一步包含氧。
8.根据权利要求1所述方法,其特征在于,所述含硅和碳的前驱物包括三甲基硅烷、甲基硅烷、六甲基二硅氮烷、六甲基环三硅氮烷、三(二甲氨基)硅烷、四(二甲氨基)硅烷、双(叔-正丁胺基)硅烷或其组合。
9.根据权利要求1所述方法,其特征在于,用于沉积所述体层和用于沉积所述初始层的所述氮源包含氨、肼或二甲肼。
10.根据权利要求1所述方法,其特征在于,所述氧源包括N2O或O2
11.根据权利要求1所述方法,其特征在于,所述含硅和碳的前驱物包括八甲基环四硅氧烷或甲基二乙氧基硅烷。
12.根据权利要求1所述方法,其特征在于,所述第二气体混合物进一步包括选自氩、氙、氦和氮的惰性气体。
13.根据权利要求1所述方法,其特征在于,所述初始层具有在5和500之间的厚度。
14.根据权利要求1所述方法,其特征在于,所述体层具有在10和10000之间的厚度。
15.根据权利要求1所述方法,其特征在于,在所述初始层和所述体层的沉积过程中,所述衬底放置在所述腔室中的单一衬底支架上。
16.一种沉积具有压应力的层的方法,其特征在于,包括:
在腔室中,在等离子体存在情形下,由第一气体混合物在衬底上沉积包含硅、碳和氮的初始层,其中所述第一气体混合物包含硅和碳的前驱物以及氮源并且不包含氢气;
持续所述等离子体;并随后
在所述等离子体存在情形下,在所述初始层上由第二气体混合物沉积包含硅、碳和氮的体层,其中所述第二气体混合物包含所述含硅和碳的前驱物、氮源以及氢气,并且所述体层具有在-3GPa和-10GPa之间的压应力。
17.根据权利要求16所述的方法,其特征在于,在所述初始层和所述体层的沉积过程中,所述衬底放置在所述腔室中的单一衬底支架上。
18.根据权利要求16所述的方法,其特征在于,在所述初始层和所述体层的沉积过程中,所述衬底的温度为480℃或更低。
19.根据权利要求16所述的方法,其特征在于,在所述初始层和所述体层的沉积过程中,所述衬底的温度在480℃到580℃之间。
20.根据权利要求16所述的方法,其特征在于,所述等离子体是由RF功率提供,RF功率的级别在所述初始层和所述体层沉积期间变为不同级别。
21.一种预清洗衬底的方法,其特征在于,包括:
选择性的在等离子体存在情况下利用含有氮和氢的气体混合物处理包含上表面的衬底;所述上表面包含硅化物;并随后
在所述衬底上沉积压应力氮化物薄膜或张应力氮化物薄膜。
22.根据权利要求21所述的方法,其特征在于,所述氮由氨、肼、氮气及其组合提供。
23.根据权利要求21所述的方法,其特征在于,所述衬底是在等离子体不存在的情形下处理的。
24.根据权利要求21所述的方法,其特征在于,所述衬底是在等离子体存在的情形下涂层的。
25.根据权利要求21所述的方法,其特征在于,所述等离子体是由13.56MHz下的高频RF功率、350kHz下的低频RF功率,或其组合形成,并且所述RF功率的功率级别在5W至3000W的范围内。
26.根据权利要求21所述的方法,其特征在于,所述压应力氮化物薄膜沉积在所述衬底上,而且所述压应力氮化物薄膜是两层薄膜,其通过包含以下步骤的方法沉积:
在腔室中,在RF功率存在情形下,由第一气体混合物在衬底上沉积包含硅和碳,以及选择性地包括氮和/或氧的初始层,其中所述第一气体混合物包含含硅和碳的前驱物并选择性地包括氮和/或氧源;以及
在RF功率存在情形下,由第二气体混合物所述初始层上沉积包含硅、碳和氮的体层,其中所述第二气体混合物包含所述含硅和碳的前驱物、氮源以及氢气,并且所述体层具有在-0.1GPa和-10GPa之间的压应力。
27.根据权利要求21所述的方法,其特征在于,在所述衬底处理以及所述初始层和所述体层的沉积期间,所述衬底放置在腔室中的单一衬底支架上。
CNA2007101651438A 2006-12-14 2007-10-29 增加等离子体增强化学气相沉积电介质薄膜压应力的方法 Pending CN101205607A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/610,991 US7790635B2 (en) 2006-12-14 2006-12-14 Method to increase the compressive stress of PECVD dielectric films
US11/610,991 2006-12-14

Publications (1)

Publication Number Publication Date
CN101205607A true CN101205607A (zh) 2008-06-25

Family

ID=39527847

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101651438A Pending CN101205607A (zh) 2006-12-14 2007-10-29 增加等离子体增强化学气相沉积电介质薄膜压应力的方法

Country Status (4)

Country Link
US (1) US7790635B2 (zh)
KR (1) KR100984922B1 (zh)
CN (1) CN101205607A (zh)
TW (1) TWI374500B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102615068A (zh) * 2012-03-26 2012-08-01 中微半导体设备(上海)有限公司 Mocvd设备的清洁方法
CN103594421A (zh) * 2012-08-17 2014-02-19 格罗方德半导体公司 于半导体设备的层间介电质中的压缩应力转移
CN106409765A (zh) * 2015-07-31 2017-02-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN111524788A (zh) * 2019-02-01 2020-08-11 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100821082B1 (ko) * 2006-12-15 2008-04-08 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US20080293194A1 (en) * 2007-05-24 2008-11-27 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
WO2014158448A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Enhancing uv compatibility of low k barrier film
US9016836B2 (en) 2013-05-14 2015-04-28 Stmicroelectronics, Inc. Ink jet printhead with polarity-changing driver for thermal resistors
US9016837B2 (en) 2013-05-14 2015-04-28 Stmicroelectronics, Inc. Ink jet printhead device with compressive stressed dielectric layer
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US11462397B2 (en) * 2019-07-31 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW507015B (en) 1997-12-02 2002-10-21 Applied Materials Inc In-situ, preclean of wafers prior to a chemical vapor deposition titanium deposition step
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
ATE511840T1 (de) * 2001-10-09 2011-06-15 Amgen Inc Imidazolderivate als entzündungshemmende mittel
JP2004193585A (ja) 2002-11-29 2004-07-08 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100702006B1 (ko) 2005-01-03 2007-03-30 삼성전자주식회사 개선된 캐리어 이동도를 갖는 반도체 소자의 제조방법
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7462527B2 (en) 2005-07-06 2008-12-09 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102615068A (zh) * 2012-03-26 2012-08-01 中微半导体设备(上海)有限公司 Mocvd设备的清洁方法
CN102615068B (zh) * 2012-03-26 2015-05-20 中微半导体设备(上海)有限公司 Mocvd设备的清洁方法
CN103594421A (zh) * 2012-08-17 2014-02-19 格罗方德半导体公司 于半导体设备的层间介电质中的压缩应力转移
CN103594421B (zh) * 2012-08-17 2016-09-07 格罗方德半导体公司 于半导体设备的层间介电质中的压缩应力转移
CN106409765A (zh) * 2015-07-31 2017-02-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN111524788A (zh) * 2019-02-01 2020-08-11 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111524788B (zh) * 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法

Also Published As

Publication number Publication date
KR100984922B1 (ko) 2010-10-01
US20080146007A1 (en) 2008-06-19
TWI374500B (en) 2012-10-11
KR20080055610A (ko) 2008-06-19
US7790635B2 (en) 2010-09-07
TW200830418A (en) 2008-07-16

Similar Documents

Publication Publication Date Title
CN101205607A (zh) 增加等离子体增强化学气相沉积电介质薄膜压应力的方法
JP6298118B2 (ja) ディスプレイ・デバイスのためのバリア材料
US7247582B2 (en) Deposition of tensile and compressive stressed materials
KR100660890B1 (ko) Ald를 이용한 이산화실리콘막 형성 방법
US8575033B2 (en) Carbosilane precursors for low temperature film deposition
US6448186B1 (en) Method and apparatus for use of hydrogen and silanes in plasma
KR101523219B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독 가능한 기록 매체
US20040115876A1 (en) Method of manufacturing silicon carbide film
KR20120044992A (ko) 산화규소 함유 필름의 형성 방법
KR20150058132A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20060081660A (ko) 게이트 스택 측벽 스페이서 제조 방법
US8932964B2 (en) Method of forming a dielectric layer having an ONO structure using an in-situ process
CN100523287C (zh) 成膜装置和成膜方法
US6303525B1 (en) Method and structure for adhering MSQ material to liner oxide
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
WO2015105633A1 (en) Carbon dioxide and carbon monoxide mediated curing of low k films to increase hardness and modulus
US20130049172A1 (en) Insulating region for a semiconductor substrate
US20060234434A1 (en) PECVD nitride film
US9580801B2 (en) Enhancing electrical property and UV compatibility of ultrathin blok barrier film
US20160013049A1 (en) Enhancing uv compatibility of low k barrier film
TW202242179A (zh) 用於先進技術節點之單一前驅物低k薄膜沉積及uv固化
TW201316406A (zh) 用於低溫膜沉積之碳矽烷前驅物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20080625