KR20060081660A - 게이트 스택 측벽 스페이서 제조 방법 - Google Patents

게이트 스택 측벽 스페이서 제조 방법 Download PDF

Info

Publication number
KR20060081660A
KR20060081660A KR1020060001643A KR20060001643A KR20060081660A KR 20060081660 A KR20060081660 A KR 20060081660A KR 1020060001643 A KR1020060001643 A KR 1020060001643A KR 20060001643 A KR20060001643 A KR 20060001643A KR 20060081660 A KR20060081660 A KR 20060081660A
Authority
KR
South Korea
Prior art keywords
doped silicon
silicon carbide
dielectric constant
nitrogen
plasma
Prior art date
Application number
KR1020060001643A
Other languages
English (en)
Other versions
KR101164688B1 (ko
Inventor
레자 알지하바니
마이클 치우 관
리쿤 시아
강섭 임
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060081660A publication Critical patent/KR20060081660A/ko
Application granted granted Critical
Publication of KR101164688B1 publication Critical patent/KR101164688B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47CCHAIRS; SOFAS; BEDS
    • A47C4/00Foldable, collapsible or dismountable chairs
    • A47C4/04Folding chairs with inflexible seats
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47CCHAIRS; SOFAS; BEDS
    • A47C7/00Parts, details, or accessories of chairs or stools
    • A47C7/36Support for the head or the back
    • A47C7/40Support for the head or the back for the back
    • A47C7/402Support for the head or the back for the back adjustable in height
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47CCHAIRS; SOFAS; BEDS
    • A47C7/00Parts, details, or accessories of chairs or stools
    • A47C7/36Support for the head or the back
    • A47C7/40Support for the head or the back for the back
    • A47C7/405Support for the head or the back for the back with double backrests
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47CCHAIRS; SOFAS; BEDS
    • A47C7/00Parts, details, or accessories of chairs or stools
    • A47C7/62Accessories for chairs
    • A47C7/622Receptacles, e.g. cup holders, storage containers
    • A47C7/626Receptacles, e.g. cup holders, storage containers directly under the seat
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

전체 약 3.0 내지 약 5.0의 k값을 갖는 스페이서를 형성하기 위해 게이트 구조물상에 PECVE 프로세스(들)를 이용하여 하나 이상의 실리콘 함유 물질층들들을 즈악함으로써 게이트 스택 상에 측벽 스페이서를 형성하는 방법이 제공된다. 실리콘 함유 물질은 실리콘 카바이드, 산소 도핑 실리콘 카바이드, 질소 도핑 실리콘 카바이드, 탄소 도핑 실리콘 질화물, 질소 도핑 실리콘 옥시카바이드, 또는 이들의 조합물일 수 있다. 증착은 플라즈마 강화 화학적 기상 증착 챔버에서 수행되며 증차 온도는 450℃ 미만이다. 이렇게 제조된 측벽 스페이서는 바람직한 캐패시티 저항 뿐만 아니라 뛰어난 구조적 안정성 및 밀봉특성을 제공한다.

Description

게이트 스택 측벽 스페이서 제조 방법{METHOD FOR PRODUCING GATE STACK SIDEWALL SPACERS}
도 1은 본 발명의 일 실시예에 따라 형성된 게이트 구조물을 포함하는 트랜지스터를 나타내는 도면;
도 2는 PECVD 챔버에서 박막 증착의 프로세스 흐름도.
* 도면의 주요 부분에 대한 간단한 설명 *
100: 기판 102 : 필드 절연 영역
103 : 웰 104 : 게이트 유전체층
106 : 도전성 게이트 전극층
본 발명은 반도체 기판상의 게이트 스택에 대한 측벽 스페이서를 제조하는 방법에 관한 것이다.
통상적으로 극초고밀도 집적회로(ULSI)는 반도체 기판상에 형성되며 전자 장치내에서 다양한 기능을 수행하도록 협력하는 수백만 트랜지스터들을 포함한다. 이러한 트랜지스터들은 CMOS 전계 효과 트랜지스터들을 포함한다.
CMOS 트랜지스터는 반도체 기판에 형성되는 소스 영역과 드레인 영역 사이에 배치되는 게이트 구조물을 포함한다. 일반적으로 게이트 구조물(스택)은 게이트 유전체 물질상에 형성된 게이트 전극을 포함한다. 게이트 전극은 트랜지스터를 턴온 또는 턴오프시키기 위해, 드레인 영역과 소스 영역 사이에 형성되는 채널 영역에서 게이트 유전체 아래로 전하 캐리어의 흐름을 제어한다. 통상적으로 게이트 스택 부근에 스페이서층이 배치되며, 이는 게이트 스택의 한쪽 측면상에 측벽을 형성한다. 측벽 스페이서는 소스와 드레인 콘택 또는 상호접속부로부터 게이트 전극을 전기적으로 절연시키고, 순차적인 프로세싱 단계들 동안 물리적 손상(degradation)으로부터 게이트 전극을 보호하고, 게이트 금속을 보호하기 위해 산소 및 수분 배리어를 제공하는 것을 포함하여 몇가지 역할을 한다. 이러한 측벽 스페이서의 예로는 2003년 3월 25일자로 출원된 미국 특허 출원 번호 10/397,776호에 개시된다.
종래의 게이트 스택은 약 5 미만의 유전상수(k<5)를 갖는 물질로 형성되며 통상적으로 실리콘 질화물 스페이서에 의해 보호된다. 트랜지스터 크기의 추가적인 감소는 10 이상의 유전상수(k>10)를 갖는 게이트층을 요구할 것이다. 측벽 스페이서가 실리콘 질화물과 같이 비교적 높은 k(k>7)로 제조되는 경우, 완성된 게이트 전극을 포함하는 장치를 사용하는 동안 인접한 상호접속 라인들 사이에 과도한 신호 혼선이 야기될 수 있다. 극도로-낮은 k 물질(k<3)이 스페이서층으로 사용되는 경우, 이들 물질은 순차적인 처리 단계들을 견뎌내기 위해 필수적인 구조적 보존도 및/또는 부식으로부터 게이트 물질을 보호하기 위한 산소 및 수분의 불침투성 (imperviousness)이 부족하다.
또한, 실리콘 질화물 스페이서를 준비하기 위해 사용되는 종래의 열적 화학적 기상 증착(CVD) 프로세스는 통상적으로 600℃ 이상인 높은 증착 온도를 요구한다. 높은 온도에서 증착된 질화물 스페이서는 매우 양호한 균일성(conformality)(예를 들어, ≥95%)을 갖는다; 그러나, 고온 증착은 게이트 장치에 대해 큰 열적 주기를 야기시키며 0.09 미크론 및 그 이상의 기술에 대해 제조되는 개선된 장치와 호환성이 없다.
따라서, 낮은 온도, 및 구조적 안정성 및 밀봉특성(hermeticity)의 원하는 물리적 특성을 갖는 낮은 k 게이트 스택에 대한 낮은 k 측벽 스페이서가 요구된다.
따라서, 본 발명의 목적은 낮은 온도, 및 구조적 안정성 및 밀봉특성(hermeticity)의 원하는 물리적 특성을 갖는 낮은 k 게이트 스택에 대한 낮은 k 측벽 스페이서를 제공하는 것이다.
본 발명은 450℃ 이하의 온도에서 전체 약 3.0 내지 약 5.0의 유전상수를 갖는 스페이서를 제조하기 위해 게이트 스택 상에서 플라즈마 강화 화학적 기상 증착(PECVD) 프로세스(들)를 이용하여 하나 이상의 실리콘 함유 물질층들들을 증착함으로써 게이트 스택상에 측벽 스페이서를 형성하는 방법을 제공한다.
일 실시예에서, 게이트 전극용 측벽 스페이서를 형성하는 방법은, 플라즈마 프로세스 챔버에 게이트 구조물을 갖는 기판을 위치시키는 단계, 플라즈마 프로세 스 챔버에 처리 가스(들)를 흘려보내는 단계, 플라즈마 프로세스 챔버에 플라즈마를 형성하는 단계, 및 450℃ 이하의 온도에서 게이트 구조물상에 하나 이상의 실리콘 함유 물질층들들을 증착하는 단계를 포함하며, 상기 하나 이상의 실리콘 함유 물질층들들은 약 3.0 내지 약 5.0의 전체 k 값을 갖는다.
또다른 실시예에서, 게이트 전극용 측벽 스페이서를 형성하는 방법은, 플라즈마 프로세스 챔버에 게이트 구조물을 갖는 기판을 위치시키는 단계, 플라즈마 프로세스 가스로 처리 가스(들)를 흘려보내는 단계, 플라즈마 프로세스 챔버에 플라즈마를 형성하는 단계, 게이트 구조물상에 하나 이상의 실리콘 함유 물질층들을 증착하는 단계를 포함하며, 상기 하나 이상의 실리콘 함유 물질층들은 전체 약 3.0 내지 약 5.0의 k 값을 가지며, 상기 하나 이상의 실리콘 함유 물질층들은 실리콘 카바이드, 산소 도핑 실리콘 카바이드, 질소 도핑 실리콘 카바이드, 탄소 도핑 실리콘 질화물, 질소 도핑 실리콘 옥시카바이드, 및 이들의 조합물로 이루어진 그룹에서 선택된다.
또다른 실시예에서, 게이트 전극용 측벽 스페이서를 형성하는 방법은, 플라즈마 프로세스 챔버에 게이트 구조물을 갖는 기판을 위치시키는 단계, 플라즈마 프로세스 챔버에 처리 가스(들)를 흘려보내는 단계, 플라즈마 프로세스 챔버에 플라즈마를 형성하는 단계, 및 450℃ 이하의 온도에서 게이트 구조물상에 하나 이상의 실리콘 함유 물질층들을 증착하는 단계를 포함하며, 상기 하나 이상의 실리콘 함유 물질층들은 전체 약 3.0 내지 약 5.0의 k 값을 가지며, 상기 하나 이상의 실리콘 함유 물질층들은 실리콘 카바이드, 산소 도핑 실리콘 카바이드, 질소 도핑 실리콘 카바이드, 탄소 도핑 실리콘 질화물, 질소 도핑 실리콘 옥시카바이드, 및 이들의 조합물로 이루어진 그룹에서 선택된다.
본 발명의 상기 개시된 특징들은 하기의 보다 상세한 설명을 통해 이해될 수 있을 것이며, 첨부되는 도면에 도시된 실시예를 참조로 명확해 질 것이다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예를 나타내는 것으로 본 발명의 범주를 제한하고자 하는 것이 아니며, 본 발명의 다른 등가적인 실시예들이 허용될 수 있다.
본 발명은 게이트 구조물에 실리콘-기재 막을 제공함으로써 게이트 스택에 대한 측벽 스페이서를 형성을 포함한다. 소정 실시예에서, 스페이서층은 게이트 유전체 부근에 실리콘 카바이드, 산소 도핑 실리콘 카바이드, 질소 도핑 실리콘 카바이드, 또는 탄소 도핑 실리콘 질화물을 증착함으로써 형성된다. 또다른 실시예에서, 스페이서층은 탄소 도핑 실리콘 옥시질화물의 증착에 의해 형성된다.
도 1은 본 발명의 일 실시예에 따라 형성된 게이트 구조물을 갖는 트랜지스터를 나타낸다. 도 1을 참조로, 다수의 필드 절연 영역(102)이 기판(100)(예를 들어, 실리콘 웨이퍼)에 형성된다. 다수의 필드 절연 영역(102)은 제 1 도전형(예를 들어, p-타입)의 웰(103)을 제 2 도전형(예를 들어, n-타입)의 인접한 웰들(미도시)과 절연시킨다. 다음, 게이트 유전체층(104)이 기판(100) 및 절연 영역(102)상에 형성된다. 전형적으로, 게이트 유전체층(104)은 실리콘 산화물(SiOn) 및/또는 실리콘 옥시질화물과 같은 물질층을 증착 또는 성장시킴으로써 형성되어, 약 5.0 미만의 유전상수를 갖는 층을 형성한다. 게이트 유전체 기술에서의 최근 진보는 게이트 유전체층(104)을 형성하는데 있어 보다 높은 유전상수(k>10)의 물질이 요구된다는 것을 나타낸다. 유전체층에 사용가능한 적절한 물질의 예로는, 제한되지는 않지만, 금속 산화물(Al2O3, ZrO2, HfO2, TiO2, Y2O3 및 La2O3), 강유전체(납 지르코네이트 티타네이트(PZT) 및 바륨 스트론튬 티타네이트(BST)), 비정질 금속 실리케이트(HfSixOy 및 ZrSixOy), 비정질 실리케이트 산화물(HfO2 및 ZrO2), 및 파라렉트릭스(paralectrics)(BaxSr1-xTiO3 및 PbZrxTi1-xO3)를 포함한다. 이들 물질을 함유하는 높은 k층은 다양한 증착 프로세스에 의해 형성될 수 있다.
또한, 전기적으로 전도성인 게이트 전극층(106)은 게이트 유전체층(104) 위에 증착된 블랭킷이다. 일반적으로, 게이트 전극층(106)은 제한되지는 않지만 도핑된 폴리실리콘, 비도핑 폴리실리콘, 실리콘 카바이드, 또는 실리콘-게르마늄 화합물과 같은 물질을 포함할 수 있다. 그러나, 고려되는 실시예들은 금속, 금속 합금, 금속 산화물, 단결정성 실리콘, 비정질 실리콘, 실리사이드, 또는 게이트 전극을 형성하는데 공지된 다른 물질들을 함유하는 게이트 전극층(106)을 포함할 수 있다.
질화물층과 같은 하드-마스크층이 전기적으로 전도성인 층(106) 위로 CVD 프로세스에 통해 증착된다. 다음 포토레지스트 마스크(미도시)를 형성하기 위해 마스킹, 노출 및 포토레지스트 층 현상 단계들을 포함하는 포토리소그래피 프로세스가 수행된다. 에칭을 정렬하기 위해 포토레지스트 마스크를 사용하여 게이트 전극층(106)의 상부에 하드-마스크층을 에칭함으로써 게이트 전극층(106) 상에 하드 하 드-마스크(미도시)가 형성되어, 포토레지스트 마스크 패턴이 하드-마스크층에 전사된다.
또한 구조물은 포토레지스트 마스크를 제거하고, 에칭을 정렬하기 위해 하드-마스크를 사용하여 하드-마스크 아래에 게이트 전극층(106)의 나머지 물질을 포함하는 전도성 구조물을 형성하여 유전체층(104)의 상부에서 아래로 게이트 전극층(106)을 에칭함으로써 변형된다. 이러한 구조물은 하드-마스크 또는 게이트 유전체층(104)이 아닌 게이트 전극층(106)을 에칭함으로써 야기된다. 프로세싱 시퀀스를 지속하여, 게이트 유전체층(104)은 구조물(100)의 상부 및 절연 영역(102)의 상부로 에칭된다. 게이트 전극(106) 및 게이트 유전체(104)는 트랜지스터와 같이 집적된 장치의 게이트 또는 게이트 스택으로 공지된 복합 구조물(124)을 형성한다.
트랜지스터의 추가적인 처리시에, 팁(tip) 또는 얕은 소스/드레인 연장부(140)가 팁 주입 프로세스를 이용함으로써 형성된다. 게이트 전극(106)은 게이트 유전체(104) 아래의 기판 영역을 주입되는 이온으로부터 보호한다. 다음 급속 열 프로세스(RTP) 어닐링이 수행되어 게이트 유전체(104) 아래에서 팁(140)을 부분적으로 유도시킬수 있다(drive).
다음 균일하고(conformal) 얇은 산화물층(125)이 기판 전체 표면상에 증착된다. 산화물층은 종래의 프로세스에 대해 실리콘 질화물층인 스페이서층으로부터 실리콘 표면을 보호하는데 사용된다. 통상적으로 균일하고(conformal) 얇은 산화물층은 고온(> 600℃)에서 저압 화학적 기상 증착 챔버에 TEOS 소스 가스를 이용하여 증착된다. 이는 실리콘 기판과 질화물 스페이서 사이의 응력을 완화시키고 실 리콘 질화물 스페이서로부터 게이트 코너를 보호한다. 측벽 스페이서로서 낮은 k 및 비-실리콘-질화물 물질이 사용되는 경우, 균일하고(conformal) 얇은 산화물층(125)이 다른 낮은 k 물질레 의해 제거 또는 교체될 수 있다.
이후, 본 발명의 일 실시예에서, 약 200Å 내지 약 1000Å, 바람직하게 약 400Å 내지 약 800Å 사이의 범위에서 두께를 갖는 스페이서층(126)은 게이트 스택(124)의 상부 및 게이트 전극(106) 및 게이트 유전체(104)의 전체 길이를 따라 증착된 블랭킷(blanket)이다. 동시에, 스페이서층(126)은 기판(100) 또는 절연 영역(102)의 임의의 노출된 부분 상부에 증착된다. 종래의 스페이서층은 열적 CVD에 의해 증착된 실리콘 질화물층이다. 개선된 장치 제조를 위해, 실리콘 질화물(약 7의 k 값)의 유전상수(k 값)는 너무 높아 과도한 신호 혼선(crosstalk)을 야기시킬 수 있다. 또한, 실리콘 질화물을 증착하는데 사용되는 열적 CVD 프로세스는 높은 증착 온도(>600℃)를 요구한다. 높은 증착 온도는 높은 열적 사이클을 야기시키며 팁(140)의 도펀트 프로파일을 변화시킬 수 있다. 따라서, 낮은 증착 온도로 스페이서층 증착 프로세스를 수행하는 것이 바람직하다. 통상적으로 플라즈마 강화 화학적 기상 증착(PECVD) 프로세스는 낮은 증착 온도를 갖는다. 플라즈마 프로세스에 의해 증착된 실리콘 질화물층에 대해, 증착 온도를 450℃ 이하로 낮출 수 있다. 플라즈마 챔버에서 박막을 증착하는 프로세스는 도 2에 도시된다. 플라즈마 프로세스에 기판을 위치시키는 단계(201)에서 프로세스가 시작된다. 다음 단계(202)에서는, 플라즈마 프로세스 챔버로 처리 가스(들)가 유입된다. 다음 단계(203)에서, 플라즈마 프로세스 챔버에 플라즈마가 형성된다. 단계(204)에서, 플라즈마 프로세 스 챔버의 기판상에 박막이 증착된다. 플라즈마 프로세스에 의해 증착된 스페이서층의 균일성(conformality)은 열적 CVD 프로세스 만큼 좋지 않다. 예로서 실리콘 질화물을 사용함으로써, 열적 CVD에 의한 실리콘 질화물은 100%에 근접한 균일성(conformality)을 갖는 반면, PECVD에 의한 실리콘 질화물은 약 75%의 균일성(conformality)을 갖는다. PECVD 프로세스에 의한 스페이서층은 열적 CVD 프로세스보다 낮은 균일성(conformality)을 갖지만, 낮은 열적 주기(또는 열적 예산)의 장점은 낮은 균일성(conformality)의 단점을 문제를 해결할 수 있다. 따라서, PECVD에 의해 증착된 낮은 k 스페이서층을 갖는 것이 바람직하다.
스페이서층(126)과 얇은 산화물층(125)이 에칭되어 게이트 전극(106)의 상부 뿐만 아니라 게이트 스택(124)의 인접한 한쪽 측면을 제외하고 기판(100)의 대부분의 상부 표면으로부터 스페이서층(126)과 얇은 산화물층(125)을 제거한다. 그러나, 이방성 에칭은 게이트 전극(106)과 게이트 유전체(104) 양쪽 측벽의 전체 길이를 따라 스페이서층(126)의 일부 및 얇은 산화물층(125)을 남겨, 측벽 스페이서(126)를 형성한다. 선택적으로, 측벽 스페이서(126)는 단일층으로 또는 2개 이상이 순차적으로 증착된 층들일 수 있다.
다음, 웰 영역(103)에 깊은 접합 소스/드레인 영역(148)을 형성하기 위해 기판(100)은 깊고, 높은 도즈 이온주입 프로세스 처리된다. 깊은 이온주입은 팁(140)을 형성하는데 사용되는 동일한 도전형 불순물을 이용하는 이온 주입 단계를 포함한다. 동시에, 전기적으로 전도성인 게이트 전극이 폴리실리콘을 포함하는 경우, 깊은 이온주입 프로세스는 이전에 도핑되지 않은 경우 게이트 전극(106)에 폴 리실리콘을 도핑하는데 사용될 수 있다. 활성화(activation) 어닐링은 팁(140) 및 깊은 이온주입부(148) 활성화를 위해 수행된다. 어닐링은 급속 열 프로세스(RTP)로 수행될 수 있다.
트랜지스터 구조물 및 그의 형성 방법에 대한 이전의 실시예들은 단지 예시적인 것이다. 게이트 전극 및 이들의 형성 방법에 대한 부가적인 실시예들이 본 발명을 수행하는데 이용될 수 있다. 게이트 스택을 형성하는 예시적인 방법 및 장치에 대한 상세한 설명은 2002년 7월 2일 출원된 미국 가특허 출원 번호 60/393,393호의 우선권을 청구하는 2003년 7월 1일 출원되고 공동 양도된 미국 특허 출원 번호 10/612,642호에 개시되어 있으며, 이들은 본 명세서와 일치하지 않는 범위로 참조된다.
본 발명의 다양한 실시예에서, 스페이서막(층)(126)은 실리콘 카바이드, 산소 도핑 실리콘 카바이드, 질소 도핑 실리콘 카바이드, 탄소 도핑 실리콘 질화물, 질소 도핑 실리콘 옥시카바이드, 또는 이들의 조합물로 형성될 수 있다. 이들 실시예에서, 형성되는 스페이서층(126)은 약 3.0 내지 약 5.0 의 k 값을 갖는다. 또한, 증착은 450℃ 미만의 온도에서 PECVD 프로세스에 의해 수행된다.
일 실시예에서, 스페이서층(126)은 실리콘 소스, 탄소 소스 및 DxZTM 챔버와 같은 PECVD 챔버에서 플라즈마 조건하의 불활성 가스를 포함하는 가스 혼합물을 반응시킴으로써 실리콘 카바이드로부터 형성된다. DxZTM 챔버는 캘리포니아, 산타 클라라에 위치한 어플라이드 머티어리얼스사로부터 상업적으로 이용가능하다. 일 실시예에서, 프로세스 온도는 약 200℃ 내지 약 400℃ 사이이다. 실리콘 소스 및 탄소 소스는 일반식 SixCyHz를 갖는 유기실란 화합물과 같은 단일 소스일 수 있다. 예를 들어, 메틸실란(SiH3CH3), 디메틸실란(SiH2(CH3)2), 트리메틸실란(SiH(CH3)3), 테트라메틸실란(Si(CH3)4), 디에틸실란(SiH2(C2H5)2) 및 비스(트리메틸실릴)에틸렌(C(Si(CH3)3)2), 및 특히 이들의 조합물이 실리콘 및 탄소를 제공하는데 사용될 수 있다. 선택적으로, 제한되지는 않지만, 실란(SiH4), 디실란(Si2H6), 및 이들의 조합물을 포함하는 화합물이 실리콘 소스로서 사용될 수 있고 제한되지는 않지만 일반식 CxH2x+2을 갖는 화합물, 메탄(CH4), 제한되지는 않지만 일반식 CxH2x를 갖는 화합물, 에틸렌(C2H4) 및 이들의 조합물 갖는 화합물이 탄소 소스로서 사용될 수 있다. 헬륨(He), 아르곤(Ar), 질소(N2) 또는 특히 이들의 조합물이 불활성 가스로 사용될 수 있다. 실리콘 카바이드층을 증착하는 방법 및 이들의 물리적 특성에 대한 상세한 설명은 본 명세서와 일치하지 않는 범위로 참조되는, 공동 양도된 미국 특허 No. 6,456,366호에 개시된다.
에즈-증착(as-deposited) 실리콘 카바이드층은 약 3.0 내지 약 5.0의 유전상수를 갖는다. 실리콘 카바이드층의 유전상수는 조절가능하다, 즉, 혼합 주파수 RF 전력의 비율의 함수에 따라 변할 수 있다. 특히, 저주파수 RF 전력 대 전체 혼합 RF 전력의 비율이 감소함에 따라, 에즈-증착 실리콘 카바이드층의 유전상수 또한 감소된다. 또한 실리콘 카바이드층의 유전상수는 층을 형성하는 동안 가스 혼합물 의 조성의 함수로서 변할 수 있다. 가스 혼합물에서 탄소 농도가 증가함에 따라, 에즈-증착 실리콘 카바이드층의 탄소 함량이 증가되며, 그의 유전상수는 감소된다. 또한, 에즈-증착 실리콘 카바이드층의 탄소 함량이 증가함에 따라, 그의 소수성(hydrophobic) 성질이 증가한다.
또다른 실시예에서, 탄소-실리콘 결합을 포함하고 약 3.5 내지 약 5.0의 유전상수를 갖는 유전체층을 형성하기 위해, 하나 이상의 산소-함유 유기실리콘 화합물 및 하나 이상의 산소-비함유(oxygen-free) 유기실리콘 화합물을 포함하는 처리 가스를 반응시킴으로써 산소 도핑 실리콘 카바이드로부터 스페이서층(126)이 형성된다. 산소-함유 유기실리콘 화합물은 SiwCxHyOz의 일반 구조를 갖는다. 산소-비함유 유기실리콘 화합물은 SixCyHz의 일반 구조를 갖는다. 본 명세서에서 개시된 프로세스에 대해 적합한 유기실리콘 화합물은 지방족 유기실리콘 화합물, 고리형 유기실리콘 화합물, 또는 이들의 조합물을 포함한다. 지방족 유기실리콘 혼합물은 선형 또는 분기형 구조를 갖는다. 상업적으로 이용가능한 지방족 유기실리콘 혼합물은 알킬실란과 같은 산소-비함유 유기실리콘 화합물 및 알킬실록산과 같은 산소-함유 유기실리콘 화합물을 포함한다.
본 명세서에서 개시된 프로세스는 DxZTM 플라즈마 강화 화학적 기상 증착 챔버와 같이 RF 전력을 인가하면서 유기실리콘 물질을 증착하도록 구성된 플라즈마 프로세싱 챔버에서 바람직하게 수행된다. 일 실시예에서, 증착 온도는 약 250℃ 내지 약 450℃ 사이이다. 일반적으로, 유기실리콘 화합물은 헬륨(He) 또는 아르곤 (Ar)과 같은 희가스, 또는 질소(N2)와 같은 불활성 가스를 포함하는 플라즈마에서 반응한다. 산소 도핑 실리콘 카바이드층을 증착하는 방법 및 이들의 물리적 특성에 대한 보다 상세한 설명은 2001년 12월 14일자로 출원된 미국 가특허출원 번호 No. 60/340,615에 대한 우선권을 청구하는, 2002년 7월 15일자로 출원된 공동 양도된 미국 특허 No. 6,890,850호에 개시되며, 이들은 본 명세서와 일치하지 않는 정도로 참조된다.
또다른 실시예에서, 산소 도핑 실리콘 카바이드층은 실리콘 카바이드층을 증착한 다음 플라즈마 조건하에서 산소 함유 가스를 공급함으로써 상기 층에 산소를 주입하여 형성된다. 산소 함유 가스를 이용한 플라즈마 처리는 DxZTM과 같은 PECVD 챔버에서 수행될 수 있다. 일 실시예에서, 플라즈마 처리 온도는 약 0℃ 내지 약 500℃ 사이의 범위, 바람직하게는 약 100℃ 내지 약 450℃ 사이의 범위에서 유지된다. 산소 도핑 실리콘 카바이드막은 약 1 내지 약 30 원자 퍼센트 산소 농도 및 약 3.5 내지 약 5.0의 유전상수를 갖는다. 일 실시예에서, 산소-비함유 유기실리콘 화합물(들) 및 산소-함유 유기실리콘 화합물(들)은 약 1 내지 약 30 원자 퍼센트 산소 농도 및 약 3.5 내지 약 5.0의 유전상수를 갖는 산소 도핑 실리콘 카바이드막을 증착하도록 반응한다. 일 실시예에서, 증착은 PECVD 챔버에서 수행되며, 온도는 -20℃ 내지 약 500℃ 사이, 바람직하게는 약 170℃ 내지 180℃ 사이에서 유지된다. 산소 도핑 실리콘 카바이드층의 유전상수는 조절가능하다, 즉, 사용되는 반응제(reactant), 반응제 및 불활성 가스 유속, 챔버 온도, 및 인가되는 RF 주파 수의 함수로 변할 수 있다. 또한 실리콘 카바이드층의 산소 도핑에 의해 산소 도핑 실리콘 카바이드층을 형성하는 방법에 대한 상세한 설명은 1999년 6월 18일자로 출원된 공동 양도된 미국 특허 No. 6,821,571호 및 2003년 3월 7일자로 출원된 미국 특허 No. 6,913,992호에 개시되며, 이들은 본 명세서와 일치하지 않는 정도로 참조된다.
또다른 실시예에서, 실리콘 소스, 탄소 소스, 및 질소 소스를 포함하는 가스 혼합물을 반응시킴으로써 질소 도핑 실리콘 카바이드로부터 스페이서층(126)이 형성된다. 여기서, "질소 도핑 실리콘 카바이드(nitrogen doped silicon carbide)" 란 용어는 실리콘, 질소, 탄소, 및 선택적으로 수소를 함유하는 물질을 나타내며, 질소 농도는 탄소 농도보다 작다. 일 실시예에서, 에즈-증착 질소 도핑 실리콘 카바이드층은 약 1 내지 약 30 원자 퍼센트 질소를 함유하며 약 4.0 내지 약 5.0의 유전상수를 갖는다. 증착은 DxZTM 챔버와 같은 PECVD 챔버에서 수행될 수 있다. 일 실시예에서, 기판 온도는 약 150℃ 내지 약 450℃ 사이의 범위에서 유지된다. 질소 도핑 실리콘 카바이드의 유전상수는 조절가능하다, 즉, 증착 프로세스 동안 인가된 RF 전력의 함수로 변할 수 있다. 특히, RF 전력이 증가함에 따라 에즈-증착 질소 도핑 실리콘 카바이드층의 유전상수 또한 증가한다. 부가적으로, 유전상수는 가스 혼합물내의 농도 및/또는 질소 소스의 함수로서 변할 수 있다. 특히, 질소 도펀트 농도가 증가함에 따라, 증착된 질소 도핑 실리콘 카바이드층의 유전상수는 감소된다. 질소 도핑 실리콘 카바이드층의 증착 방법 및 이들의 물리적 특성 에 대한 보다 상세한 설명은 2000년 7월 28일자로 출원된 공동 양도된 US 특허 번호 6,764,958호 및 US 특허 No. 6,537,733호에 개시되어 있으며, 이들은 본 명세서와 일치하지 않는 정도로 참조된다.
또다른 실시예에서, 질소 도핑 실리콘 카바이드층은 실리콘 카바이드층의 질화에 의해 형성된다. 플라즈마 프로세스에 의해 마련된 실리콘 카바이드층이 질화 가스의 플라즈마에 노출되어 실리콘 카바이드층 상에 질소가 풍부한 표면이 형성된다. 산소 함유 가스로 플라즈마 처리는 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 입수가능한 DxZTM 챔버 또는 ProducerTM PECVD 챔버와 같은 PECVD 챔버에서 수행될 수 있다. 일 실시예에서, 기판 온도는 약 100℃ 내지 약 450℃ 사이의 범위에서 유지된다. 실리콘 카바이드층의 질소 풍부 표면은 실리콘 질화물 또는 실리콘 탄소 질화물을 포함하며 본 명세서에서 질화된 표면으로서 간주된다. 질화 가스는 제한되지는 않지만, 암모니아(NH3), 질소(N2), 아산화질소(N2O), 및 이들의 조합물을 포함하는 그룹에서 선택된다. 또한, 질화 프로세스는 아르곤(Ar) 또는 헬륨(He)과 같은 불활성 가스를 포함한다. 에즈-증착된 질소 도핑 실리콘 카바이드층은 약 1 내지 약 30 원자 퍼센트 질소를 함유하며 약 4.0 내지 약 5.0의 유전상수를 갖는다. 실리콘 카바이드층의 질화에 의해 질소 도핑 실리콘 카바이드층을 증착하는 방법에 대한 상세한 설명은 2001년 7월 10일자로 출원된 공동 양도된 US 특허 NO.6,794,311호에 개시되며, 이들은 본 명세서와 일치하지 않는 정도로 참조된다.
또다른 실시예에서, 실리콘 소스, 탄소 소스 및 질소 소스를 포함하는 가스 혼합물을 반응시킴으로써 탄소 도핑 실리콘 질화물로부터 스페이서층(126)이 형성된다. 여기서, "탄소 도핑 실리콘 질화물(carbon doped silicon nitride)"은 실리콘, 질소, 탄소 및 선택적으로 수소를 함유하는 물질을 나타내며, 탄소 함량은 질소 함량보다 작다. 이러한 층은 예를 들어, 하나 이상의 유기실리콘 화합물과 하나 이상의 질소 함유 화합물을 반응시킴으로써 형성될 수 있다. 증착은 ProducerTM 챔버와 같은 PECVD 챔버에서 수행될 수 있다. 일 실시예에서, 증착 온도는 약 100℃ 내지 약 500℃, 바람직하게는 약 250℃ 내지 약 450℃ 사이이다. 에즈-증착 탄소 도핑 실리콘 질화물층은 약 1 내지 약 30 원자 퍼센트 탄소 및 약 4.0 내지 약 5.0의 유전상수를 갖는다. 탄소 도핑 실리콘 질화물층의 유전상수는 조절가능하다, 즉, 반응 압력 및 질소 소스 및/또는 가스 혼합물에서 질소 소스의 농도의 함수로서 변할 수 있다. 탄소 도핑 실리콘 질화물층을 증착하는 방법 및 장치에 대한 상세한 설명은 2004년 4월 19일자로 출원된 공동 양도된 미국 특허 출원 No. 10/828,023호 및 2003년 11월 25일자로 출원된 미국 가특허 출원번호 60/525,241호에 개시되어 있으며, 이들은 본 명세서와 일치하지 않는 정도로 참조된다.
또다른 실시예에서, 스페이서층(126)은 질소 도핑 실리콘 옥시카바이드로부터 형성된다. 여기서, "질소 도핑 실리콘 옥시카바이드(nitrogen doped silicon oxycarbide)"는 실리콘, 탄소, 산소 및 질소를 함유하는 화합물로 간주되어 사용된다. 일 실시예에서, 본 발명의 질소 도핑 실리콘 옥시카바이드는 하나 이상의 산 소 가스 소스 및 하나 이상의 질소 소스 가스와 하나 이상의 유기실리콘 소스 가스를 반응시킴으로써 형성된다. 질소 도핑 실리콘 옥시카바이드의 유전상수는 약 3.0 내지 약 5.0 사이이다. 일 실시예에서, 증착 프로세스는 PECVD 챔버에서 수행될 수 있으며, 증착 온도는 약 -20℃ 내지 약 500℃ 사이, 바람직하게는 약 170℃ 내지 약 180℃ 사이이다. 일 실시예에서, 증착 이후, 증착된 물질은 약 100℃ 내지 약 400℃ 사이의 온도에서 어닐링되어 수분 함량을 감소시키고 증착된 물질의 강률(solidity) 및 강도(hardness)를 증가시킨다. 일 실시예에서, 증착된 물질은 순차적으로 증착된 물질들에서 표면의 반응성(reactivity)을 감소시키기 위해 약 300℃ 내지 약 450℃ 사이의 온도에서 플라즈마 처리된다. 질소 도핑 실리콘 옥시카바이드층의 유전상수는 조절가능하다, 즉, 사용되는 반응제 및 반응기 온도의 함수로서 변한다. 질소 도핑 실리콘 옥시카바이드층을 증착하는 방법에 대한 상세한 설명은 공동 양도된 미국 특허 No. 6,656,837호 및 미국 특허 No.6,627,532호에 개시되어 있으며, 이들은 본 명세서와 일치하지 않는 정도로 참조된다.
상기 언급된 물질들은 측벽 스페이서를 형성하기 위해 단일층으로서 증착되었지만, 본 발명이 이렇게 제한되는 것은 아니다. 하나 이상의 물질층이 순차적으로 또는 동시에 450℃ 이하의 온도에서 플라즈마 강화 화학적 기상 증착 반응기에서 플라즈마 조건하에 증착될 수 있어, 약 3.0 내지 약 5.0의 전체 k값을 갖는 복합 스페이서층이 형성될 수 있다. 이는 하나 이상의 물질층을 제공함으로써 달성되며, 이들 각각은 약 3.0 내지 약 5.0의 k 값을 갖는다. 선택적으로, 이는 하나 이상의 물질층을 제공함으로써 달성되며, 임의적으로 약 3.0 내지 약 5.0 이상 또 는 미만의 k 값을 가져 복합 스페이서층은 약 3.0 내지 약 5.0의 k값을 갖는다.
지금까지 본 발명의 실시예에 관해 설명했지만, 본 발명의 다른 또다른 실시예들이 하기의 청구항들에 의해 제한된 본 발명의 기본 범주 및 정신을 이탈하지 않고 고안될 수 있다.
본 발명에 따라, 낮은 온도, 및 구조적 안정성 및 밀봉특성(hermeticity)의 원하는 물리적 특성을 갖는 낮은 k 게이트 스택에 대한 낮은 k 측벽 스페이서를 제조할 수 있다.

Claims (20)

  1. 게이트 전극용 측벽 스페이서를 형성하는 방법으로서,
    플라즈마 프로세스 챔버에서 게이트 구조물을 갖는 기판을 위치시키는 단계;
    상기 플라즈마 프로세스 챔버로 처리 가스(들)를 흘려보내는 단계;
    상기 플라즈마 프로세스 챔버에 플라즈마를 발생시키는 단계; 및
    450℃ 이하의 온도에서 상기 게이트 구조물상에 하나 이상의 실리콘 함유 물질층들들을 증착하는 단계를 포함하며,
    상기 하나 이상의 실리콘 함유 물질층들들은 약 3.0 내지 약 5.0의 전체 유전상수 값을 갖는, 게이트 전극용 측벽 스페이서 형성 방법.
  2. 제 1 항에 있어서,
    상기 하나 이상의 실리콘 함유 물질층들들은 실리콘 카바이드, 산소 도핑 실리콘 카바이드, 질소 도핑 실리콘 카바이드, 탄소 도핑 실리콘 질화물, 질소 도핑 실리콘 옥시카바이드, 및 이들의 조합물로부터 선택된 물질들을 포함하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  3. 제 1 항에 있어서,
    상기 측벽 스페이서는 단일층으로서 형성되는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  4. 제 2 항에 있어서,
    상기 측벽 스페이서는 실리콘 카바이드를 포함하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  5. 제 4 항에 있어서,
    상기 실리콘 카바이드의 유전상수는 증착 동안 플라즈마 강화 화학적 기상 증착 챔버의 혼합 주파수 전력의 비를 변화시킴으로써 변하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  6. 제 4 항에 있어서,
    상기 실리콘 카바이드의 유전상수는 실리콘 카바이드를 증착하는데 사용되는 가스 혼합물을 변화시킴으로써 변하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  7. 제 2 항에 있어서,
    상기 실리콘 함유 물질은 산소 도핑 실리콘 카바이드를 포함하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  8. 제 7 항에 있어서,
    상기 산소 도핑 실리콘 카바이드의 유전상수는 상기 플라즈마 강화 화학적 기상 증착 챔버에 대한 혼합 주파수의 비를 변화시킴으로써 변하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  9. 제 7 항에 있어서,
    상기 산소 도핑 실리콘 카바이드의 유전상수는 상기 처리 가스들 또는 처리 가스 유속을 변화시킴으로써 변하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  10. 제 7 항에 있어서,
    상기 산소 도핑 실리콘 카바이드의 유전상수는 상기 증착 온도를 변화시킴으로써 변하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  11. 제 2 항에 있어서,
    상기 실리콘 함유 물질은 질소 도핑 실리콘 카바이드를 포함하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  12. 제 11 항에 있어서,
    상기 질소 도핑 실리콘 카바이드의 유전상수는 RF 전력을 증가시킴으로써 증가되는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  13. 제 12 항에 있어서,
    상기 질소 도핑 실리콘 카바이드의 유전상수는 증착 동안 사용되는 질소 소스 및/또는 상기 증착 가스 혼합물에서 질소 소스의 농도를 조절함으로써 변하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  14. 제 2 항에 있어서,
    상기 실리콘 함유 물질은 질소 도핑 실리콘 카바이드를 포함하며 상기 질소 도핑 실리콘 카바이드는 실리콘 카바이드의 플라즈마 질화에 의해 형성되는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  15. 제 14 항에 있어서,
    상기 플라즈마 질화는 약 100℃ 내지 약 450℃ 사이의 온도에서 수행되는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  16. 제 2 항에 있어서,
    상기 실리콘 함유 물질은 탄소 도핑 실리콘 질화물을 포함하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  17. 제 16 항에 있어서,
    상기 탄소 도핑 실리콘 질화물은 약 30 원자 퍼센트 미만의 탄소 함량을 갖는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  18. 제 16 항에 있어서,
    상기 탄소 도핑 실리콘 질화물의 유전상수는 증착 동안 사용되는 반응 압력, 질소 소스 및 증착 가스 혼합물의 가스 농도를 조절함으로써 변하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  19. 제 2 항에 있어서,
    상기 측벽 스페이서는 탄소 도핑 실리콘 옥시질화물을 포함하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
  20. 제 19 항에 있어서,
    상기 탄소 도핑 실리콘 옥시질화물의 유전상수는 증착 온도를 조절함으로써 또는 탄소 도핑 실리콘 옥시질화물을 형성하기 위해 사용되는 반응제를 조절함으로써 변하는 것을 특징으로 하는 게이트 전극용 측벽 스페이서 형성 방법.
KR1020060001643A 2005-01-10 2006-01-06 게이트 스택 측벽 스페이서들을 제조하기 위한 방법 KR101164688B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/032,859 2005-01-10
US11/032,859 US7253123B2 (en) 2005-01-10 2005-01-10 Method for producing gate stack sidewall spacers

Publications (2)

Publication Number Publication Date
KR20060081660A true KR20060081660A (ko) 2006-07-13
KR101164688B1 KR101164688B1 (ko) 2012-07-11

Family

ID=36653843

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060001643A KR101164688B1 (ko) 2005-01-10 2006-01-06 게이트 스택 측벽 스페이서들을 제조하기 위한 방법

Country Status (4)

Country Link
US (1) US7253123B2 (ko)
KR (1) KR101164688B1 (ko)
CN (1) CN100452318C (ko)
TW (1) TWI330391B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100882054B1 (ko) * 2006-06-29 2009-02-09 어플라이드 머티어리얼스, 인코포레이티드 탄소 첨가에 의한 실리콘 질화물의 에칭률 감소
KR20220024372A (ko) * 2015-02-06 2022-03-03 노벨러스 시스템즈, 인코포레이티드 실리콘 카바이드 막들의 컨포멀한 증착

Families Citing this family (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4357434B2 (ja) * 2005-02-25 2009-11-04 株式会社東芝 半導体装置の製造方法
US20060228903A1 (en) * 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7371649B2 (en) * 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
CN101438390A (zh) * 2005-09-23 2009-05-20 Nxp股份有限公司 制造半导体器件结构的方法
JP2007208069A (ja) * 2006-02-02 2007-08-16 Elpida Memory Inc 半導体装置及びその製造方法
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP4972350B2 (ja) * 2006-06-30 2012-07-11 株式会社日立製作所 半導体装置の製造方法
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
WO2009045964A1 (en) * 2007-10-01 2009-04-09 Applied Materials, Inc. Low temperature conformal oxide formation and applications
KR20090057730A (ko) * 2007-12-03 2009-06-08 주식회사 동부하이텍 반도체 소자의 층간 절연막 형성 방법
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
KR20100103302A (ko) * 2009-03-13 2010-09-27 삼성전자주식회사 반도체 소자의 제조 방법
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US8222100B2 (en) * 2010-01-15 2012-07-17 International Business Machines Corporation CMOS circuit with low-k spacer and stress liner
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8580646B2 (en) 2010-11-18 2013-11-12 International Business Machines Corporation Method of fabricating field effect transistors with low k sidewall spacers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
CN102446924A (zh) * 2011-10-17 2012-05-09 上海华力微电子有限公司 一种非挥发性存储器单元结构以及形成方法
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9349835B2 (en) 2013-09-16 2016-05-24 Globalfoundries Inc. Methods for replacing gate sidewall materials with a low-k spacer
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
TW201522696A (zh) * 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10163719B2 (en) * 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11063065B2 (en) 2018-07-06 2021-07-13 Samsung Electronics Co., Ltd. Semiconductor device having a negative capacitance using ferroelectrical material
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020190441A1 (en) * 2019-03-19 2020-09-24 Applied Materials, Inc. Hydrophobic and icephobic coating

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
TW437040B (en) 1998-08-12 2001-05-28 Applied Materials Inc Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics
US6383951B1 (en) * 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6228758B1 (en) * 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6245669B1 (en) * 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
KR100346449B1 (ko) * 1999-07-24 2002-07-27 주식회사 하이닉스반도체 반도체소자의 제조방법
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
JP2003273398A (ja) * 2002-03-20 2003-09-26 Nippon Telegr & Teleph Corp <Ntt> 半導体材料およびそれを用いた半導体装置
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) * 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7126225B2 (en) * 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US6794571B1 (en) * 2003-11-05 2004-09-21 International Business Machines Corporation EMC sealing system and method for an electrical enclosure
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
JP2007537602A (ja) 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100882054B1 (ko) * 2006-06-29 2009-02-09 어플라이드 머티어리얼스, 인코포레이티드 탄소 첨가에 의한 실리콘 질화물의 에칭률 감소
KR20220024372A (ko) * 2015-02-06 2022-03-03 노벨러스 시스템즈, 인코포레이티드 실리콘 카바이드 막들의 컨포멀한 증착

Also Published As

Publication number Publication date
TWI330391B (en) 2010-09-11
TW200629428A (en) 2006-08-16
US7253123B2 (en) 2007-08-07
US20060154493A1 (en) 2006-07-13
CN100452318C (zh) 2009-01-14
KR101164688B1 (ko) 2012-07-11
CN1822330A (zh) 2006-08-23

Similar Documents

Publication Publication Date Title
KR101164688B1 (ko) 게이트 스택 측벽 스페이서들을 제조하기 위한 방법
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
US7365029B2 (en) Method for silicon nitride chemical vapor deposition
KR100313091B1 (ko) 반도체장치의 TaON 게이트절연막 형성방법
TWI378505B (en) A new material for contact etch layer to enhance device performance
KR101327923B1 (ko) 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법
KR100961805B1 (ko) 산화규소 함유 필름의 형성 방법
US7790635B2 (en) Method to increase the compressive stress of PECVD dielectric films
KR20080056287A (ko) 도프된 실리콘 질화물 필름의 저온 증착 방법 및 장치
US20130260564A1 (en) Insensitive dry removal process for semiconductor integration
US20070251444A1 (en) PEALD Deposition of a Silicon-Based Material
KR20090094000A (ko) 게이트 스택 구조물에 대한 연속 처리 클러스터링 방법
KR20130140696A (ko) 화학 기상 증착에 의한 저온 유전체 막 형성
CN1547761A (zh) 利用超薄氧扩散阻挡层防止晶体管中的横向氧化的联合方法和装置
KR20030041088A (ko) 유전체 박막 제조 방법 및 시스템
JP2006190801A (ja) 成膜方法及び半導体装置の製造方法
KR100532741B1 (ko) 반도체 소자의 식각 정지막 제조 방법
KR101046757B1 (ko) 반도체소자의 캐패시터 및 그 제조 방법
KR100650758B1 (ko) 반도체 소자의 게이트 형성방법
KR100650756B1 (ko) 반도체 소자의 게이트 형성방법
KR100702027B1 (ko) 반도체 장치와 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190701

Year of fee payment: 8