KR100882054B1 - 탄소 첨가에 의한 실리콘 질화물의 에칭률 감소 - Google Patents

탄소 첨가에 의한 실리콘 질화물의 에칭률 감소 Download PDF

Info

Publication number
KR100882054B1
KR100882054B1 KR1020070065654A KR20070065654A KR100882054B1 KR 100882054 B1 KR100882054 B1 KR 100882054B1 KR 1020070065654 A KR1020070065654 A KR 1020070065654A KR 20070065654 A KR20070065654 A KR 20070065654A KR 100882054 B1 KR100882054 B1 KR 100882054B1
Authority
KR
South Korea
Prior art keywords
silicon nitride
chamber
hard mask
carbon
flow rate
Prior art date
Application number
KR1020070065654A
Other languages
English (en)
Other versions
KR20080001672A (ko
Inventor
리트윅 바티아
리-쿤 시아
차드 페터슨
히쳄 마사아드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080001672A publication Critical patent/KR20080001672A/ko
Application granted granted Critical
Publication of KR100882054B1 publication Critical patent/KR100882054B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

실리콘 질화물 하드 마스크를 형성하기 위한 방법이 제공된다. 실리콘 질화물 하드 마스크는 탄소 도핑된 실리콘 질화물층 및 도핑되지 않은 실리콘 질화물층을 포함한다. RF 전력 하에서 탄소 소스 화합물, 실리콘 소스 화합물 및 질소 소스를 포함하는 혼합물로부터 증착된 탄소 도핑된 실리콘 질화물층이 제공된다. 또한, 실리콘 질화물 하드 마스크를 제공하기 위해, UV로 사후 처리된 실리콘 질화물층이 제공된다. 탄소 도핑된 실리콘 질화물층 및 UV로 사후 처리된 실리콘 질화물층은 하드 마스크층에 대한 바람직한 습식 에칭률 및 건식 에칭률을 갖는다.

Description

탄소 첨가에 의한 실리콘 질화물의 에칭률 감소 {DECREASING THE ETCH RATE OF SILICON NITRIDE BY CARBON ADDITION}
본 발명의 상기 언급된 특징은, 상기에서 간략히 요약된 본 발명의 상세한 설명, 실시예, 및 첨부된 도면을 참고하여, 더욱 자세히 이해될 것이다. 그러나 첨부된 도면은 오직 본 발명의 전형적인 실시예만을 묘사하고 발명은 다른 동등하게 효과적인 실시예에도 수용될 수 있으므로 이를 발명 범위로 한정하지 말아야한다는 사실을 분명히 한다.
도 1A-1D는 본 발명의 실시예에 따른 공정 순서의 각 단계별로 기판 구조의 개략적인 단면도를 도시한다.
도 2는 본 발명의 실시예에 따라 제공된 탄소 도핑된 실리콘 질화물층의 습식 에칭률의 비를 도시하는 그래프이다.
도 3은 본 발명의 실시예에 따라 제공된 탄소 도핑된 실리콘 질화물층의 FTIR 피크비를 도시하는 그래프이다.
본 발명의 실시예는 일반적으로 집적회로의 제작에 관한 것이다. 보다 자세하게, 본 발명의 실시예는 실리콘 질화물 하드 마스크 형성을 위한 방법에 관한 것이다.
* 관련 기술의 설명
집적회로는 수 백만개의 트랜지스터, 커패시터, 레지스터를 단일한 칩 상에 포함할 수 있는 복잡한 장치로 발전했다. 칩 설계의 발전은 보다 빠른 회로와 보다 큰 회로 밀도를 계속하여 요구한다. 회로 밀도가 큰 빠른 회로에 대한 요구는 이러한 집적회로를 제작하는 데 사용되는 물질에 대한 상응하는 요구를 만들어낸다.
큰 집적회로 밀도에 대한 요구는 또한 집적회로 제작에 사용되는 공정 순서에 대한 요구도 만들어낸다. 예를 들어 기존의 리소그래픽(lithographic) 기법을 사용한 공정 순서에서, 포토레지스트와 같은 에너지 민감성 레지스트층은 기판 상의 스택된(stack) 층 위에 형성된다. 패턴 이미지가 이 에너지 민감성 레지스트층으로 유입된다. 이후 이 에너지 민감성 레지스트층으로 유입된 패턴은 에너지 민감성 레지스트층을 마스크로 이용하여 기판 상에 형성된 스택된 층의 하나 이상의 층으로 전사된다. 에너지 민감성 레지스트에 유입된 패턴은 화학적 에천트를 사용하여 스택된 물질 층의 하나 이상의 층으로 전사된다. 이 화학적 에천트는 에너지 민감성 레지스트보다 스택된 물질 층에 더 높은 에칭 선택도를 갖도록 설계되었다. 즉, 이 화학적 에천트는 에너지 민감성 레지스트를 에칭하는 것보다 더 빠른 속도로 스택된 하나 이상의 물질 층을 에칭한다. 스택된 하나 이상의 물질층에 대한 빠른 에칭률은 일반적으로 에너지 민감성 레지스트 물질이 패턴 전사 완료 이전에 소모되지 못하게 한다.
그러나 집적회로의 높은 회로밀도에 대한 요구는 보다 작은 패턴 치수(예를 들면, 서브미크론 또는 나노미터 크기)를 필요로 했다. 패턴 치수가 줄어들면서 에너지 민감성 레지스트의 두께도 패턴 해상도(resolution)를 조절할 수 있도록 이에 따라 줄어 들어야한다. 이렇게 얇아진 레지스트 층은 화학적 에천트을 사용하여 패턴 전사 단계 중에 아래에 놓인 층을 마스크하는 데 불충분할 수 있다.
하드 마스크라고 불리는 중간층이, 아래의 층으로 패턴이 용이하게 전사될 수 있도록, 종종 에너지 민감성 레지스트 층과 아래에 놓인 층 사이에서 사용된다. 에너지 민감성 레지스트 층과 마찬가지로, 하드 마스크 층은 아래에 놓인 층의 에칭이 끝나기 전에 하드 마스크의 부식이 일어나지 않도록 하기 위해 아래의 층을 에칭하는데 사용된 에천트에 대해 더욱 저항적이어야 한다.
하드 마스크로 사용될 수 있는 실리콘 질화물층이 개발되었다. 이 실리콘 질화물층은 800℃와 같은 고온에서 퍼니스에서의 열공정에 의해 일반적으로 증착된다. 이러한 고온은 초고밀도집적회로(VLSI 또는 ULSI) 등의 장치 제작과 같은 엄격한 열적 예산 요구를 지닌 공정에는 불리하다.
따라서 실리콘 질화물층들이, 하드 마스크층으로 사용될 수 있게 하는 에칭률 속성을 갖도록, 낮은 온도에서 실리콘 질화물층들을 증착할 수 있는 방법이 여전히 필요하다.
본 발명은 일반적으로 실리콘 질화물 하드 마스크를 형성하는 방법을 제공한다. 실리콘 질화물 하드 마스크는 실리콘 질화물층 또는 탄소 도핑된 실리콘 질화물층일 수 있다.
한 실시예에서, 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법은 탄소 소스 화합물을 챔버로 유입시키는 단계, 실리콘 소스 화합물을 챔버로 유입시키는 단계, 및 챔버에서 기판 상에 탄소 도핑된 실리콘 질화물 하드 마스크를 증착시키기 위한 RF 전력 하에서 탄소 소스 화합물, 실리콘 소스 화합물 및 질소 소스를 반응시키는 단계를 포함한다.
다른 실시예에서, 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법은, 제 1 유량으로 트리메틸실란을 챔버로 유입시키는 단계, 제 2 유량으로 실란을 챔버로 유입시키는 단계 - 제 2 유량 대 제 1 유량의 비는, 예를 들어 대략 10:1에서 1:1 사이와 같이, 대략 50:1에서 1:1 사이임 - , NH3를 챔버로 유입시키는 단계, 및 탄소 도핑된 실리콘 질화물 하드 마스크를 챔버에서 기판 상에 증착시키기 위해 RF 전력하에서 트리메틸실란, 실란, 및 NH3를 반응시키는 단계를 포함한다.
또 다른 실시예에서, 실리콘 질화물 하드 마스크를 형성하는 방법이 제공된다. 이 방법은 실리콘 소스 화합물을 챔버 안으로 유입시키는 단계, 질소 소스를 챔버 안으로 유입시키는 단계, 챔버에서 기판 상에 실리콘 질화물층을 증착시키기 위해 RF 전력 하에서 실리콘 소스 화합물 및 질소 소스를 반응시키는 단계, 및 실리콘 질화물 하드 마스크를 형성하기 위해 실리콘 질화물층을 UV로 사후 처리하는 단계(UV post-treating)로 구성된다. RF 전력 하에서 탄소 소스 화합물, 실리콘 소스 화합물, 질소 소스를 반응시킴에 의해 증착된 탄소 도핑된 실리콘 질화물층도, 또한 UV로 사후 처리될 수 있다.
본 발명은 하드 마스크로 사용될 수 있는 실리콘 질화물층의 증착 방법을 제공한다. 예를 들어 실리콘 질화물층은 패터닝될 수 있으며 실리콘 질화물층에 형성된 패턴은 기판의 층을 통해 전사될 수 있다. 여기서 정의한 바와 같이 "기판"은 층들의 스택과 같이, 하나 이상의 층을 포함할 수 있다.
도면 1A-1D는 본 발명의 실시예를 따른 공정 순서의 예를 도시한다. 도면 1A는 아래에 놓인 층(102)과 층(102) 위에 놓인 층(104)을 포함하는 기판을 도시한다. 도면 1B는 기판(100)의 층(104) 상에 증착된 본 발명의 실리콘 질화물층(106)을 도시한다. 도면 1C는 층(106)이 패터닝되도록 실리콘 질화물층(106)에 형성된 피쳐(featrue,(108))를 도시한다. 도면 1D는 층(106)의 피쳐(108)가 층(104)을 통해 전사된 것을 도시한다. 기존의 패터닝 및 에칭 기법은 실리콘 질화물층(106)과 층(104)을 패터닝하고 에칭하는데 이용될 수 있다.
기판(100)은 STI(얕은 트렌치 분리, shallow trench isolation) 구조, 트랜지스터를 위한 게이트 장치, DRAM 장치, 듀얼 다마신(damascene) 구조와 같은 큰 구조(미도시)의 일부이며, 따라서 실리콘 질화물층은 STI구조, 게이트 장치, DRAM 장치, 듀얼 다마신 구조의 일부인 층을 패터닝하기 위한 하드 마스크로 이용될 수 있다. 하드 마스크는 아래에 놓인 층을 패터닝하는데 사용된 후 구조에 남겨 지거나 또는 패터닝이 끝난 후 제거될 수 있다.
여기서 제공된 실리콘 질화물층은 탄소 도핑된 실리콘 질화물층 및 탄소를 함유하지 않은 실리콘 질화물층을 포함한다. 실리콘 질화물층은 약 300Å에서 5000Å사이와 같은 서로 다른 두께의 층을 이루도록 증착될 수 있다. 한 실시예에서 탄소 도핑된 실리콘 질화물층은 탄소 소스 화합물, 실리콘 소스 화합물, 질소 소스를 챔버 내에서 반응시킴으로써 챔버의 기판 상에 증착된다. 탄소로 도핑된 실리콘 질화물층은 화학 기상 증착(CVD)을 수행할 수 있는 챔버에서 플라즈마 강화 화학 기상 증착(PECVD)을 이용하여 증착된다. 플라즈마는 일정한 무선 주파수(RF) 전력, 펄스 RF 전력, 고주파수 RF 전력, 듀얼 주파수 RF 전력, 또는 이들의 조합이나 다른 플라즈마 생성 기법으로 생성될 수 있다.
탄소 소스 화합물은 증착된 층에 탄소를 제공하고 유기실리콘 화합물일 수 있다. 대안적으로 탄소 소스 화합물은, 유기실리콘 화합물 대신 예를 들어 에틸렌이나 탄소, 질소, 수소를 포함하는 화합물 등의 탄화수소 화합물과 같은 유기 화합물일 수 있다.
여기에서 사용된 "유기실리콘 화합물"이라는 용어는 유기 그룹의 탄소 원자를 함유하는 실리콘 함유 화합물을 지칭할 의도로 사용되었다. 유기 그룹은 알킬, 알케닐, 시클로헥세닐, 아릴 그룹 및 이들의 기능적 유도체를 포함할 수 있다. 특정 실시예에서, 유기실리콘 화합물은 산소를 함유하지 않는다.
유기실리콘 화합물은 시클릭 형태이거나 선형일 수 있다. 적절한 시클릭 유기실리콘 화합물은 3개 이상의 실리콘 원자를 지닌 고리 구조를 포함한다. 사용할 수 있는 시클릭 유기실리콘 화합물의 예는 1,3,5-트리실라노-2,4,6-트리메틸렌,-(-SiH2CH2-)3-이다.
적절한 선형 유기실리콘 화합물은 하나 이상의 실리콘 원자 및 하나 이상의 탄소 원자를 지닌 선형 또는 가지형 구조를 가진 지방성 유기실리콘 화합물을 포함한다. 선형 유기실리콘 화합물의 예는 다음을 포함한다.
메틸실란, CH3-SiH3
디메틸실란, (CH3)2-SiH2
트리메틸실란, (CH3)3-SiH
에틸실란, CH3-CH2-SiH3
디실라노메탄, SiH3-CH2-SiH3
비스(메틸실라노)메탄, CH3-SiH2-CH2-SiH2-CH3
1,2-디실라노에탄, SiH3-CH2-CH2-SiH3
1,2-비스(메틸실라노)에탄 CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-디실라노프로판 SiH3-C(CH3)2-SiH3
디에틸실란, (C2H5)2-SiH2
프로필실란, C3H7-SiH3
비닐메틸실란, (CH2=CH)-SiH2-CH3
1,1,2,2-테트라메틸디실란, (CH3)2-SiH-SiH-(CH3)2
헥사메틸디실란, (CH3)3-Si-Si-(CH3)3
1,1,2,2,3,3-헥사메틸트리실란, (CH3)2-SiH-Si(CH3)2-SiH-(CH3)2
1,1,2,2,3-펜타메틸트리실란, (CH3)2-SiH-SiH(CH3)-SiH-(CH3)2
1,3-비스(메틸실라노)프로판, CH3-SiH2-(CH2)3-SiH2-CH3
1,2-비스(디메틸실라노)에탄, (CH3)2-SiH-(CH2)2-SiH-(CH3)2
1,3-비스(디메틸실라노)프로판, (CH3)2-SiH-(CH2)3-SiH-(CH3)2
실리콘 소스 화합물은 증착된 층에 실리콘을 제공하며 실란 또는 테트라토시실란(tetrathoxysilane, TEOS)일 수 있다. 특정 실시예에서 탄소를 함유하지 않은 실리콘 화합물은 실란이다.
질소 소스는 증착된 층에 질소를 제공하며 암모니아(NH3) 또는 질소 가스(N2)일 수 있다. 특정 실시예에서 질소 소스는 암모니아(NH3)이다.
플라즈마 강화 화학 기상 증착을 실행할 수 있는 챔버는 무엇이든 여기에 제공된 탄소 도핑된 실리콘 질화물층 및 도핑되지 않은 실리콘 질화물을 증착하는 데 이용될 수 있다. PRODUCER® SE BLOK®챔버와 PRODUCER® SE 실란 챔버는 사용될 수 있는 화학 기상 증착 챔버의 두 가지 예이다. 두 챔버 모두 미국 캘리포니아주 산타 클라라에 소재한 어플라이드 머티어리얼스사로부터 구할 수 있다. PRODUCER® 챔버의 예는 여기에 참조로 인용된 미국 특허 제 5,855,681호에서 상세히 기술되어 있다.
탄소 소스 화합물은 약 2 sccm 내지 약 2000 sccm사이의 유량으로 챔버에 유입될 수 있다. 실리콘 소스 화합물은 약 20 sccm 내지 2000 sccm사이의 유량으로 챔버에 유입될 수 있다. 질소 소스는 약 100 sccm 내지 15000 sccm 사이의 유량으로 챔버에 유입될 수 있다. 선택적으로, 캐리어 가스가 약 0 sccm 내지 20000 sccm사이의 유량으로 챔버에 유입될 수 있다. 캐리어 가스는 질소 가스나 비활성 가스일 수 있다. 유량은 살짝 탄소 도핑된 실리콘 질화물층을 제공하면서 오직 적은 양의 탄소가 증착된 실리콘 질화물층으로 혼합되도록 선택된다. 예를 들어 탄소 소스 화합물은 제 1 유량으로 챔버에 유입될 수 있으며, 실리콘 소스 화합물은 제 2 유량으로 챔버에 유입될 수 있는데 이때 제 2 유량 대 제 1 유량의 비는 약 10:1 내지 1:1 사이, 예를 들어 약 7:1과 같이 대략 50:1 내지 1:1 사이이다. 특정 실시예에서, 탄소 소스 화합물은 트리메틸실란, 실리콘 소스 화합물은 실란, 질소 소스는 암모니아, 캐리어 가스는 질소일 수 있다.
본 응용을 통해서 그리고 상기에서 설명된 유량은 미국 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스사에서 구할 수 있는 PRODUCER® SE 챔버와 같이 두 개의 격리된 공정 영역을 지닌 300 mm 챔버와 관련되어 제공된다. 따라서 각각의 기판 공정 영역 당 실험된 유량은 챔버로의 유량의 절반이다.
챔버에서 기판 상에 탄소 도핑된 실리콘 질화물층을 증착하는 동안, 기판은 일반적으로 약 200℃ 내지 700℃ 사이의 온도에서 유지되며 대략 550℃와 같이 약 480℃ 내지 600℃ 사이가 바람직하다. 300mm 기판을 위해 일반적으로 대략 20 W에서 1600 W사이의 RF 전력 레벨이 챔버에서 사용된다. RF 전력은 약 0.01 MHz에서 300MHz사이의 주파수로 제공되며 13.56MHz가 바람직하다. RF 전력은 일반적으로 챔버에서 가스 분배 어셈블리 또는 "샤워 헤드" 전극에 제공된다. 대안적으로 또는 추가적으로, RF 전력은 챔버에서 기판 지지대에 적용될 수 있다. RF 전력은 약 13.56 MHz의 고주파수와 약 350kHz의 저주파수와 같이 혼합된 주파수로 제공될 수 있다. RF 전력은 시클릭 또는 펄스를 갖고 연속적 또는 비연속적일 수 있다.
탄소 도핑된 실리콘 질화물층이 증착되는 동안, 샤워 헤드와 기판 지지대 사이의 공간은 약 280 mils에서 1500 mils 사이일 수 있으며 챔버 압력은 약 1 Torr에서 8Torr사이일 수 있다.
도면 2는 본 발명의 실시예에 따라, 서로 다른 양의 트리메틸실란을 유기실리콘 화합물로 사용하여 증착된 탄소 도핑된 실리콘 질화물층의 습식 에칭률을 나타내는 그래프이다. 도면 2에서 나타난 바와 같이 습식 에칭률은 층 증착에 사용되는 트리메틸실란의 유량이 증가함에 따라 감소한다.
탄소 도핑된 실리콘 질화물층의 FTIR 분석(미도시)은 이 층들이 Si-C 및 C-C 결합이 검출되지 않을 만큼 매우 약하게(very lightly) 탄소 도핑 되었음을 나타낸다. 그러나 탄소 도핑된 실리콘 질화물층은 도면 3에 나타난 바와 같이 Si-H 대 Si-N 결합비에서 검출가능한 차이 (유기실리콘 화합물이 없이 증착된 실리콘 질화물층과 비교하여)를 나타냈다.
다른 실시예에서, 실리콘 질화물 하드 마스크는 챔버에서 기판 상에 실리콘 질화물층을 증착하기 위해 RF 전력하에서 실리콘 소스 화합물 및 질소 소스를 반응시킨 후 실리콘 질화물 하드 마스크를 형성하기 위해 실리콘 질화물층을 UV로 사후 처리하여 제공된다.
실리콘 소스 화합물은 실란 또는 테트라토시실란(TEOS)일 수 있다. 특정 실시예에서 탄소를 함유하지 않은 실리콘 화합물은 실란이다.
질소 소스는 암모니아(NH3) 또는 질소 가스(N2)일 수 있다. 특정 실시예에서 질소 소스는 암모니아(NH3)이다.
실리콘 소스 화합물은 약 20 sccm 내지 2000 sccm 사이의 유량으로 챔버에 유입될 수 있다. 질소 소스는 약 10 sccm 내지 15000 sccm 사이의 유량으로 챔버에 유입될 수 있다. 선택적으로, 캐리어 가스는 약 0 sccm 내지 20000 sccm 사이의 유량으로 챔버에 유입될 수 있다. 캐리어 가스는 질소 가스 또는 비활성 기체일 수 있다.
챔버에서 기판 상에 실리콘 질화물층을 증착하는 동안 기판은 일반적으로 대략 200℃에서 700℃사이의 온도에서 유지되며 약 550℃와 같이 대략 480℃에서 600℃사이가 바람직하다. 300mm 기판을 위해 일반적으로 대략 20 W에서 1600 W사이의 RF 전력 레벨이 챔버에서 사용된다. RF 전력은 약 0.01 MHz에서 300MHz사이의 주파수로 제공되며 13.56MHz가 바람직하다. RF 전력은 일반적으로 챔버에서 가스 분배 어셈블리 또는 "샤워 헤드" 전극에 제공된다. 대안적으로 또는 추가적으로 RF 전력은 챔버에서 기판 지지대에 적용될 수 있다. RF 전력은 약 13.56 MHz의 고주파수와 약 350kHz의 저주파수와 같이 혼합된 주파수로 제공될 수 있다. RF 전력은 시클릭 또는 펄스를 갖고 연속적 또는 비연속적일 수 있다.
실리콘 질화물층이 증착하는 동안 샤워헤드와 기판 지지대 사이의 공간은 대략 280 mils에서 1500 mils 사이일 수 있으며 챔버 압력은 약 1 Torr에서 8 Torr사이일 수 있다.
실리콘 질화물층이 증착된 후, 이 층은 UV 복사로 사후처리된다. 사용될 수 있는 UV 사후 처리 조건의 예는 약 1 Torr에서 10 Torr사이의 챔버 압력과 대략 200℃에서 500℃사이의 기판 지지대 온도를 포함한다. 헬륨이나 아르곤과 같은 정화(purge) 가스는 사후처리 동안 챔버로 흘러들어간다. UV 사후처리는 일반적으로 30분과 같이 약 1분에서 60분 사이의 시간 동안 실행된다. UV 복사는 예를 들어 노드슨 수은 램프, 펄스 크세논 플래시 램프, 고성능 UV 발광다이오드배열 등의 수은 마이크로파 아크 램프와 같은 UV 소스라면 어느 것으로나 제공될 수 있다. UV 복사는 예를 들어 대략 200 nm에서 400 nm 사이의 파장을 가질 수 있다. UV 복사는 단일 자외선 파장 또는 광역 자외선 파장을 가질 수 있다. 적절한 단일 파장 자외선 소스의 예는, 예를 들어 172 nm에서 222 nm의 단일 자외선 파장을 제공하는 엑시머 소스(excimer source)로 구성된다. 적절한 광역 소스는 대략 200에서 400 nm의 파장을 지닌 자외선 복사를 생성한다. 이러한 자외선 소스는 미국의 Fusion Company 또는 Nordson Company로부터 구할 수 있다. 전기적으로 자극을 받을 때 특정한 파장에서 복사되는 가스를 포함한 램프로 생성된 특정 파장을 지닌 자외선 복사가 사용될 수 있다. 예를 들어 적절한 자외선 램프는 172 nm의 파장을 지닌 자외선 복사를 생성하는 크세논(Xe) 가스로 구성될 수 있다. 대안적으로 램프는 다양한, 상응하는 파장을 지닌 다른 가스로 구성될 수 있으며, 예를 들어 243 nm의 파장에서 복사하는 수은 램프, 140 nm의 파장에서 복사하는 듀테륨(deuterium) 램프, 222nm의 파장에서 복사하는 KrCl2 램프 등이 있다. 사용될 수 있는 처리 조건 및 UV 챔버에 대한 보다 자세한 내용은 여기에 참조로 덧붙여진, 2005년 5월 9일에 제출한 공통 지정 미국 특허 출원 제 11/124,908호에 설명되어 있다. 어플라이드 머티어리얼스사의 NanoCure® 챔버는 UV 사후처리에 이용 가능한, 상업적으로 구할 수 있는 챔버의 예이다.
상기에서 제공된 UV 사후처리는 탄소를 포함하지 않는 사후처리 실리콘 질화물층과 관련하여 논의되었지만, 상기에서 제공된 UV 사후처리는 또한 본 발명의 특정 실시예에 따라 제공된 탄소 도핑된 실리콘 질화물층 상에서 실행될 수 있다.
이하의 예들은 본 발명의 실시예를 나타낸다. 예에 나오는 기판은 300 mm 기판이다. 탄소 도핑된 실리콘 질화물층 및 실리콘 질화물층은 PRODUCER® SE 챔버 에서 기판 상에 증착되었다.
예 1
탄소 도핑된 실리콘 질화물층은 약 2 Torr, 약 550℃의 온도, 약 480 mils의 공간에서 기판 상에 증착되었다. 50 sccm의 트리메틸실란, 340 sccm의 실란, 3200 sccm의 암모니아, 4000 sccm의 질소와 같은 공정 가스 및 유량이 사용되었다. 트리메틸실란, 실란, 암모니아는 탄소 도핑된 실리콘 질화물층의 플라즈마 향상 증착을 위해 챔버에서 샤워 헤드 전극에 60W, 13.56 MHz의 주파수, 기판 지지대에 50W, 350 kHz로 적용된 RF전력 하에서 반응되었다. 탄소 도핑된 실리콘 질화물층은 100:1로 희석된 플루오르화수소산(HF)에서의 2.0 Å/min 습식 에칭률, 0.6의 습식 에칭률비 (WERR), 4.5%의 습식 에칭률 균일성, 1.1의 건식 에칭 선택도 비를 지녔다. 건식 에칭 선택도 비는 해당 막의 오버 에칭 깊이 대 열적 질화물의 비율이다. 획득된 다른 막 속성은 1.12%의 웨이퍼 내부 두께 균일성, 1.984의 굴절률, 66 MPa의 응력, 2 MV/cm에서 3.2 x 10-9의 누설전류, 6.9 MV/cm의 항복전압이었다.
예 2
실리콘 질화물층은 약 2 Torr, 약 550℃의 온도, 약 480 mils의 공간에서 기판 상에 증착되었다. 340 sccm의 실란, 3200 sccm의 암모니아, 4000 sccm의 질소와 같은 공정 가스 및 유량이 사용되었다. 실란과 암모니아는 실리콘 질화물층의 플라즈마 향상 증착을 위해 챔버에서 샤워헤드 전극에 60W, 13.56 MHz의 주파수, 기판 지지대에 50W, 350 kHz로 적용된 RF전력 하에서 반응되었다. 실리콘 질화물층은 100:1로 희석된 플루오르화수소산(HF)에서 6.4 Å/min의 습식 에칭률, 2.6%의 습식 에칭률 균일성, 1.25의 건식 에칭 선택도 비를 지녔다. 획득된 다른 막 속성은 0.98%의 웨이퍼 내 두께 균일성, 2.0077의 굴절률, -807 MPa의 응력, 2 MV/cm에서 2.0 x 10-9의 누설전류, 8.4 MV/cm의 항복전압이었다.
이후 실리콘 질화물층은 이하의 공정 조건을 이용하여 30분 동안 UV로 사후 처리되었다: 분당 9 스탠다드 리터(standard liter per minute, slm)의 헬륨 유량, 약 400℃의 온도, 약 8Torr의 압력, 및 약 800mils의 공간. UV로 처리된 실리콘 질화물층은 100:1로 희석된 플루오르화수소산(HF)에서 5.7 Å/min의 습식 에칭률, 4.23%의 습식 에칭률 균일성, 1.0의 건식 에칭 선택도 비를 지녔다. 획득된 다른 막 속성은 1.18%의 웨이퍼 내 두께 균일성, 2.0069의 굴절률, -750 MPa의 응력, 2 MV/cm에서 3.0 x 10-9의 누설전류, 7.4 MV/cm의 항복전압이었다.
예 1로 돌아가면, 2.0Å/min의 습식 에칭률을 갖는 탄소 도핑된 실리콘 질화물층은 550℃의 증착 온도에서 본 발명의 실시예에 따라 형성될 수 있다. 이는, 열적 공정 즉 플라즈마 향상 공정이 아닌 공정에서 퍼니스에서 800℃에서 도핑되지 않은 실리콘 질화물층의 증착에 대해 측정된 3.2Å/min의 습식 에칭률과 비교할 때 상당한 향상이다. 예 1에서 얻어진 1.1의 건식 에칭 선택도 비는 상기에서 설명된 열적 공정에서 증착되는 도핑되지 않은 실리콘 질화물층에 대해 측정된 1.0의 건식 에칭 선택도 비와 비교될 수 있다. 탄소 도핑된 실리콘 질화물층의 누설 전류는 열적으로 증착된 도핑되지 않은 실리콘 질화물층의 누설 전류와 유사하고 탄소 도핑된 실리콘 질화물층의 항복 전압 상에 약한 영향이 관찰되었다.
예 2는, 여기서 제공된 UV 사후 처리가, PECVD 공정에서 RF 전력 하에서 증착되는 도핑되지 않은 실리콘 질화물층의 6.4Å/min 내지 5.7Å/min의 향상된 습식 에칭률을 제공함을 나타낸다. 또한, UV 사후 처리는 1.25 사전 처리 내지 1.00 사후 처리로 건식 에칭 선택도 비를 향상시키고, 이는 퍼니스에서 800℃에서 열적 공정에서 증착된 도핑되지 않은 실리콘 질화물층에 대해 측정된 건식 에칭 선택도 비이다.
따라서, 본 발명의 실시예는, 플라즈마 향상 증착을 이용하여 증착된 실리콘 질화물층을 제공하고, 열적으로 증착된 실리콘 질화물층에 비해 상당하거나 향상된 에칭률을 갖는다.
이전 내용은 본 발명의 실시예에 관한 것이고, 본 발명의 다른 그리고 추가적인 실시예가 본 발명의 기본적인 범위에서 벗어나지 아니하고 고안될 수 있으며, 이 범위는 이하의 청구 범위에 의해 결정된다.
* 참조 번호
100 기판 102, 104, 106 층 108 피쳐
본 발명은 실리콘 질화물 하드 마스크 형성을 위한 방법을 설명한다. 이에 의해 실리콘 질화물이, 하드 마스크층으로 사용될 수 있게 하는 에칭률 속성을 지닌, 낮은 온도에서 실리콘 질화물을 증착할 수 있다.
본 발명은, 플라즈마 향상 증착을 이용하여 증착된 실리콘 질화물층을 제공하고, 열적으로 증착된 실리콘 질화물층에 비해 향상된 에칭률을 갖는다.

Claims (22)

  1. 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법으로서,
    탄소 소스 화합물을 챔버 안으로 유입시키는 단계;
    실리콘 소스 화합물을 상기 챔버 안으로 유입시키는 단계;
    질소 소스를 상기 챔버 안으로 유입시키는 단계; 및
    상기 챔버에서 기판 상에 상기 탄소 도핑된 실리콘 질화물 하드 마스크를 증착시키기 위해, RF 전력 하에서(in the presence of) 상기 탄소 소스 화합물, 상기 실리콘 소스 화합물, 및 상기 질소 소스를 반응시키는 단계
    를 포함하는, 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 소스 화합물은 실란을 포함하는 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  3. 제 2 항에 있어서,
    상기 질소 소스는 NH3인 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  4. 제 1 항에 있어서,
    상기 실리콘 소스 화합물은 TEOS인 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  5. 제 1 항에 있어서,
    상기 탄소 소스 화합물은 트리메틸실란인 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  6. 제 1 항에 있어서,
    상기 탄소 소스 화합물은 탄화수소 화합물, 또는 탄소, 질소, 및 수소를 포함하는 화합물인 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  7. 제 1 항에 있어서,
    상기 기판은 480℃ 내지 600℃의 온도에서 유지되는 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  8. 제 1 항에 있어서,
    상기 탄소 도핑된 실리콘 질화물 하드 마스크를 패터닝하는 단계 및 상기 기판의 층을 통해 상기 탄소 도핑된 실리콘 질화물 하드 마스크의 패턴을 전사시키는 단계를 더 포함하는 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  9. 제 1 항에 있어서,
    상기 탄소 소스 화합물은 제 1 유량으로 상기 챔버에 유입되며, 상기 실리콘 소스 화합물은 제 2 유량으로 상기 챔버로 유입되며, 제 2 유량 대 제 1 유량의 비는 50:1 내지 1:1인 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  10. 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법으로서,
    트리메틸실란을 제 1 유량으로 챔버로 유입시키는 단계;
    실란을 제 2 유량으로 상기 챔버로 유입시키는 단계 - 상기 제 2 유량 대 상기 제 1 유량의 비는 50:1 내지 1:1임- ;
    NH3를 상기 챔버로 유입시키는 단계; 및
    상기 챔버에서 기판 상에 상기 탄소 도핑된 실리콘 질화물 하드 마스크를 증착하기 위해, RF 전력 하에서 상기 트리메틸실란, 실란, 및 NH3를 반응시키는 단계
    를 포함하는, 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  11. 제 10 항에 있어서,
    상기 제 1 유량은 2sccm 내지 2000sccm이고, 상기 제 2 유량은 20sccm 내지 2000sccm이며, 상기 NH3는 100sccm 내지 15000sccm의 유량으로 상기 챔버로 유입되는 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  12. 제 10 항에 있어서,
    상기 RF 전력은 20W 내지 1600W의 전력 레벨로 제공되는 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  13. 제 10 항에 있어서,
    상기 기판은 480℃ 내지 600℃의 온도에서 유지되는 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  14. 제 10 항에 있어서,
    상기 탄소 도핑된 실리콘 질화물 하드 마스크를 패터닝하는 단계 및 상기 기판의 층을 통해 상기 탄소 도핑된 실리콘 질화물 하드 마스크의 패턴을 전사시키는 단계를 더 포함하는 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 제 1 항에 있어서,
    상기 탄소 도핑된 실리콘 질화물 하드 마스크를 UV 사후처리하는 단계를 더 포함하는 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
  22. 제 1 항에 있어서,
    상기 탄소 소스 화합물은 유기실리콘 화합물인 것을 특징으로 하는 탄소 도핑된 실리콘 질화물 하드 마스크 형성 방법.
KR1020070065654A 2006-06-29 2007-06-29 탄소 첨가에 의한 실리콘 질화물의 에칭률 감소 KR100882054B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/478,273 US7501355B2 (en) 2006-06-29 2006-06-29 Decreasing the etch rate of silicon nitride by carbon addition
US11/478,273 2006-06-29

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020080092047A Division KR100914371B1 (ko) 2006-06-29 2008-09-19 탄소 첨가에 의한 실리콘 질화물의 에칭률 감소

Publications (2)

Publication Number Publication Date
KR20080001672A KR20080001672A (ko) 2008-01-03
KR100882054B1 true KR100882054B1 (ko) 2009-02-09

Family

ID=38949797

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020070065654A KR100882054B1 (ko) 2006-06-29 2007-06-29 탄소 첨가에 의한 실리콘 질화물의 에칭률 감소
KR1020080092047A KR100914371B1 (ko) 2006-06-29 2008-09-19 탄소 첨가에 의한 실리콘 질화물의 에칭률 감소

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020080092047A KR100914371B1 (ko) 2006-06-29 2008-09-19 탄소 첨가에 의한 실리콘 질화물의 에칭률 감소

Country Status (3)

Country Link
US (2) US7501355B2 (ko)
KR (2) KR100882054B1 (ko)
CN (1) CN100547732C (ko)

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7732324B2 (en) * 2007-12-20 2010-06-08 Texas Instruments Incorporated Semiconductor device having improved adhesion and reduced blistering between etch stop layer and dielectric layer
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9214385B2 (en) * 2009-12-17 2015-12-15 Globalfoundries Inc. Semiconductor device including passivation layer encapsulant
US8569185B2 (en) * 2010-02-05 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating gate electrode using a treated hard mask
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US20130189841A1 (en) * 2012-01-20 2013-07-25 Applied Materials, Inc. Engineering dielectric films for cmp stop
CN103377886B (zh) * 2012-04-13 2016-06-29 中芯国际集成电路制造(上海)有限公司 硬掩膜层结构及其制造方法和半导体器件制造方法
US8741723B2 (en) 2012-04-25 2014-06-03 Globalfoundries Inc. Methods of forming self-aligned contacts for a semiconductor device
US8772102B2 (en) 2012-04-25 2014-07-08 Globalfoundries Inc. Methods of forming self-aligned contacts for a semiconductor device formed using replacement gate techniques
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014134476A1 (en) 2013-03-01 2014-09-04 Applied Materials, Inc. LOW TEMPERATURE ATOMIC LAYER DEPOSITION OF FILMS COMPRISING SiCN OR SiCON
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9576810B2 (en) * 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
KR102222909B1 (ko) 2013-10-10 2021-03-04 삼성전자주식회사 반도체 소자의 제조방법
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105097537B (zh) * 2014-05-12 2019-09-27 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102623157B1 (ko) * 2014-08-15 2024-01-11 시드니 니콜 엡스타인 현재의 관심에 대해 사용자에게 시각적으로 안내하는 시스템 및 컴퓨터 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US10186453B2 (en) * 2015-06-15 2019-01-22 United Micorelectronics Corp. Semiconductor structure and process thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10002773B2 (en) * 2016-10-11 2018-06-19 Lam Research Corporation Method for selectively etching silicon oxide with respect to an organic mask
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102323245B1 (ko) * 2017-03-15 2021-11-08 삼성디스플레이 주식회사 표시 장치의 제조 방법 및 이를 이용하는 박막 증착 장치
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102612195B1 (ko) 2018-06-11 2023-12-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11075219B2 (en) 2019-08-20 2021-07-27 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11205654B2 (en) 2019-08-25 2021-12-21 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11195848B2 (en) 2019-08-25 2021-12-07 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11563022B2 (en) 2019-08-25 2023-01-24 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11244955B2 (en) 2019-08-25 2022-02-08 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US11011408B2 (en) 2019-10-11 2021-05-18 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11195850B2 (en) 2019-10-18 2021-12-07 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11101210B2 (en) 2019-10-25 2021-08-24 Micron Technology, Inc. Methods for manufacturing a memory array having strings of memory cells comprising forming bridge material between memory blocks
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11094627B2 (en) 2019-10-25 2021-08-17 Micron Technology, Inc. Methods used in forming a memory array comprising strings of memory cells
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11094595B2 (en) 2019-12-27 2021-08-17 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11444093B2 (en) 2020-01-10 2022-09-13 Micron Technology, Inc. Memory arrays and methods of forming memory arrays
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220359398A1 (en) * 2021-05-07 2022-11-10 Micron Technology, Inc. Microelectronic devices including differently sized conductive contact structures, and related memory devices, electronic systems, and methods
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115627454A (zh) * 2022-10-28 2023-01-20 长鑫存储技术有限公司 一种薄膜沉积方法、薄膜及存储器

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010110210A (ko) * 2000-06-05 2001-12-12 조셉 제이. 스위니 193 nm 리소그래피를 위한 실리콘 질화물 반-반사 코팅
KR20030007494A (ko) * 2000-04-03 2003-01-23 샤프 가부시키가이샤 실리콘 카바이드 접착 프로모터 층을 이용하여 저유전상수플루오르화 비결정 탄소에 대한 실리콘 질화물의 접착을강화하는 방법
JP2005123314A (ja) * 2003-10-15 2005-05-12 Semiconductor Leading Edge Technologies Inc パターン形成方法
KR20060081660A (ko) * 2005-01-10 2006-07-13 어플라이드 머티어리얼스, 인코포레이티드 게이트 스택 측벽 스페이서 제조 방법

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2557079C2 (de) * 1975-12-18 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zum Herstellen einer Maskierungsschicht
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
MY118564A (en) * 1998-02-10 2004-12-31 Syngenta Participations Ag Pesticidal compositions
JP4214585B2 (ja) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4021593B2 (ja) 1998-09-25 2007-12-12 株式会社東芝 半導体装置およびその製造方法
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP2000212554A (ja) 1998-11-20 2000-08-02 Idemitsu Kosan Co Ltd 蛍光変換媒体及びそれを用いた表示装置
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6351013B1 (en) * 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
KR100390822B1 (ko) * 1999-12-28 2003-07-10 주식회사 하이닉스반도체 이미지센서에서의 암전류 감소 방법
US6500266B1 (en) 2000-01-18 2002-12-31 Applied Materials, Inc. Heater temperature uniformity qualification tool
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6271054B1 (en) * 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6566246B1 (en) * 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US20020179982A1 (en) 2001-05-29 2002-12-05 United Microelectronics Corp. MOS field effect transistor structure and method of manufacture
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6455417B1 (en) * 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
US6597003B2 (en) * 2001-07-12 2003-07-22 Axcelis Technologies, Inc. Tunable radiation source providing a VUV wavelength planar illumination pattern for processing semiconductor wafers
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6828245B2 (en) * 2002-03-02 2004-12-07 Taiwan Semiconductor Manufacturing Co. Ltd Method of improving an etching profile in dual damascene etching
US6739447B2 (en) 2002-03-06 2004-05-25 Jan Josef Verhaeghe Multi-cell reciprocating conveyor slat and method of manufacture and non-continuous guide structure
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) * 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6977775B2 (en) * 2002-05-17 2005-12-20 Sharp Kabushiki Kaisha Method and apparatus for crystallizing semiconductor with laser beams
US6613637B1 (en) * 2002-05-31 2003-09-02 Lsi Logic Corporation Composite spacer scheme with low overlapped parasitic capacitance
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
JP4265409B2 (ja) * 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6893985B2 (en) * 2003-03-31 2005-05-17 Intel Corporation UV-activated dielectric layer
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US6740605B1 (en) * 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US7622399B2 (en) * 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US20060228903A1 (en) * 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030007494A (ko) * 2000-04-03 2003-01-23 샤프 가부시키가이샤 실리콘 카바이드 접착 프로모터 층을 이용하여 저유전상수플루오르화 비결정 탄소에 대한 실리콘 질화물의 접착을강화하는 방법
KR20010110210A (ko) * 2000-06-05 2001-12-12 조셉 제이. 스위니 193 nm 리소그래피를 위한 실리콘 질화물 반-반사 코팅
JP2005123314A (ja) * 2003-10-15 2005-05-12 Semiconductor Leading Edge Technologies Inc パターン形成方法
KR20060081660A (ko) * 2005-01-10 2006-07-13 어플라이드 머티어리얼스, 인코포레이티드 게이트 스택 측벽 스페이서 제조 방법

Also Published As

Publication number Publication date
US20080014761A1 (en) 2008-01-17
US7951730B2 (en) 2011-05-31
KR100914371B1 (ko) 2009-08-28
CN100547732C (zh) 2009-10-07
CN101097853A (zh) 2008-01-02
KR20080001672A (ko) 2008-01-03
KR20080098566A (ko) 2008-11-11
US7501355B2 (en) 2009-03-10
US20090137132A1 (en) 2009-05-28

Similar Documents

Publication Publication Date Title
KR100882054B1 (ko) 탄소 첨가에 의한 실리콘 질화물의 에칭률 감소
KR100960755B1 (ko) 다마신 분야에서 유전체 재료를 증착하는 방법
US7091137B2 (en) Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US8084105B2 (en) Method of depositing boron nitride and boron nitride-derived materials
US6927178B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
US7148156B2 (en) Removable amorphous carbon CMP stop
KR100777043B1 (ko) 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7910897B2 (en) Process and apparatus for post deposition treatment of low dielectric materials
US8389376B2 (en) Air gap integration scheme
US20050277302A1 (en) Advanced low dielectric constant barrier layers
US20070134435A1 (en) Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7288205B2 (en) Hermetic low dielectric constant layer for barrier applications
KR20050020612A (ko) 저유전율막을 형성하는 방법
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
KR20080102928A (ko) 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
KR101106425B1 (ko) 질소-비함유 유전성 반사방지 코팅부 및 하드마스크
US6849562B2 (en) Method of depositing a low k dielectric barrier film for copper damascene application
KR20080100065A (ko) 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US20040091717A1 (en) Nitrogen-free fluorine-doped silicate glass

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee