JP2007208069A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2007208069A
JP2007208069A JP2006026095A JP2006026095A JP2007208069A JP 2007208069 A JP2007208069 A JP 2007208069A JP 2006026095 A JP2006026095 A JP 2006026095A JP 2006026095 A JP2006026095 A JP 2006026095A JP 2007208069 A JP2007208069 A JP 2007208069A
Authority
JP
Japan
Prior art keywords
film
wiring
sicn
protective film
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006026095A
Other languages
English (en)
Inventor
Jiro Miyahara
二朗 宮原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Memory Japan Ltd
Original Assignee
Elpida Memory Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Elpida Memory Inc filed Critical Elpida Memory Inc
Priority to JP2006026095A priority Critical patent/JP2007208069A/ja
Priority to US11/700,767 priority patent/US20070197034A1/en
Publication of JP2007208069A publication Critical patent/JP2007208069A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】SAC法で形成されたスルーホールを備え、薄い配線保護膜を有し、且つ、配線の露出を防止可能な配線構造を備える半導体装置の製造方法を提供する。
【解決手段】半導体装置の製造方法は、ソース・ドレイン領域が表面に露出するゲート酸化膜12を形成する工程と、ゲート酸化膜12上に、ゲート電極13及びゲート電極13を保護するSiCN保護膜(16)を形成する工程と、SiCN保護膜(16)を覆う層間絶縁膜17を堆積する工程と、SiCN保護膜(16)と自己整合的に層間絶縁膜17をエッチングして、ソース・ドレイン領域を露出させるコンタクトホール18を形成する工程と、コンタクトホール18内にソース・ドレイン領域と接続するコンタクトプラグ20を形成する工程とを有する。
【選択図】図1

Description

本発明は、半導体装置及びその製造方法に関し、更に詳細には、SAC(Self Align Contact)法で形成されたスルーホールを備える半導体装置及びその製造方法に関する。
スルーホールは、半導体基板の上部に成膜された絶縁膜を貫通して形成され、内部にプラグが収容されることによって、絶縁膜の下部及び上部に形成された導体を相互に接続する。近年、半導体装置の微細化に伴って、導体のパターンも縮小化されている。従って、スルーホールの形成に際して、絶縁膜下部の小さな導体パターンに対して高い位置精度で形成する必要がある。
高い位置精度でスルーホールを形成する製造方法として、SAC法が知られている。SAC法によるスルーホールの形成では、下地の絶縁膜上に形成された配線構造をマスクとして絶縁膜を開孔することによって、配線構造から露出する導体パターンの部分を露出させている。
上記配線構造は、一般に、下地絶縁膜上に形成された配線と、配線上に形成されたハードマスクと、配線及びハードマスクの側面を覆うサイドウォールとから構成される。ハードマスク及びサイドウォールは、スルーホール形成に際して配線を保護する配線保護膜として機能する。配線構造を埋め込む絶縁膜には、配線構造を隙間無く埋め込むために、高い埋設性(カバレッジ性)を有するBPSG(Boro-Phospho-Silicate-Glass)膜や、HDP−CVD(High Density Plasma Chemical Vapor Deposition)法によって成膜されるSiO膜が用いられ、配線保護膜には、これらの絶縁膜との高いエッチ選択性を有するSiN膜が用いられる。
SAC法でスルーホールを形成する方法については、例えば特許文献1に記載されている。
特開平9−213949号公報(図3)
ところで、近年、半導体装置の更なる微細化に対応するために、配線構造自体の幅を縮小化することが要請されている。配線構造の幅の縮小化に際しては、配線の幅を縮小すると、電気抵抗の増大を招くおそれがあるので、配線保護膜の厚みを薄くすることが考えられる。ところが、配線保護膜の厚みを薄くすると、SAC法によるスルーホールの形成に際して、配線保護膜がオーバーエッチされ易くなる。従って、内部の配線が露出することによって、短絡が生じる問題があった。
本発明は、上記に鑑み、SAC法で形成されたスルーホールを備える半導体装置及びその製造方法であって、薄い配線保護膜を有し、且つ、配線の露出を防止可能な配線構造を備える半導体装置及びその製造方法を提供することを目的とする。
上記目的を達成するために、本発明の半導体装置は、第1の配線が表面に露出する下地絶縁膜と、該下地絶縁膜上に形成された第2の配線と、該第2の配線を保護する配線保護膜と、該配線保護膜を覆って前記下地絶縁膜上に形成された層間絶縁膜と、該層間絶縁膜内に、前記配線保護膜と自己整合的に形成され前記第1の配線に接続する配線プラグとを備える半導体装置において、
前記配線保護膜がSiCN膜であることを特徴とする。
また、本発明に係る半導体装置の製造方法は、第1の配線が表面に露出する下地絶縁膜を形成する工程と、
前記下地絶縁膜上に、第2の配線及び該第2の配線を保護するSiCN保護膜を形成する工程と、
前記SiCN保護膜を覆う層間絶縁膜を堆積する工程と、
前記SiCN保護膜と自己整合的に前記層間絶縁膜をエッチングして、前記第1の配線を露出させるスルーホールを形成する工程と、
前記スルーホール内に前記第1の配線と接続する配線プラグを形成する工程とを有することを特徴とする。
本発明の半導体装置及びその製造方法によれば、配線保護膜がSiCN膜であり、又は、SiCN保護膜を形成することによって、従来のSiNから成る配線保護膜よりも高いエッチ選択比を得ることが出来る。これによって、配線保護膜を薄膜化しつつも、配線の露出を防止できる。
本発明に係る半導体装置の製造方法の好適な態様では、前記SiCN保護膜の堆積温度を、500℃以上で550℃以下に設定する。SiCN保護膜の堆積温度を500℃以上に設定することによって、後続する高温プロセスに際して、SiCN保護膜の膜質の低下を抑制できる。これによって、他の膜との密着性の低下を抑制し、膜剥がれを抑制できる。
また、SiCN保護膜の堆積温度を550℃以下に設定することによって、SiCN保護膜の比誘電率を5.9以下にすることが出来る。これによって、SiCN保護膜の比誘電率をSiNよりも小さくし、配線における信号伝達の遅延を抑制できる。本発明の半導体装置及びその製造方法の好適な態様では、前記SiCN膜(SiCN保護膜)の比誘電率が5.9以下である。
本発明に係る半導体装置の製造方法の好適な態様では、前記スルーホールの形成工程と前記配線プラグの形成工程との間に、前記スルーホールの側壁を保護する側壁絶縁膜を形成する工程を更に有する。層間絶縁膜内に形成されるボイドを介した配線プラグ間のショートを抑制できる。
以下に、図面を参照し、本発明の実施形態を詳細に説明する。図1は、本発明の一実施形態に係る半導体装置の構成を示す断面図である。半導体装置10は、DRAM(Dynamic Random Access Memory)として構成され、シリコンから成る半導体基板11を備える。半導体基板11上には、ゲート酸化膜12、ワード線として構成されるゲート電極13、及び、ハードマスク14が順次に形成され、それらは所定形状にパターニングされている。ゲート電極13及びハードマスク14は、タングステン及びSiCNでそれぞれ構成されている。
ゲート電極13の両脇の半導体基板11の表面部分には不純物が注入され、図示しないソース・ドレイン領域が形成されている。ゲート電極13、及び、その両脇のソース・ドレイン領域は、MIS型のトランジスタを構成する。
ゲート酸化膜12、ゲート電極13、及び、ハードマスク14の側面には、SiCNから成るサイドウォール15が形成されている。ハードマスク14及びサイドウォール15は、ゲート電極13を保護する配線保護膜16を構成する。配線保護膜16を覆って半導体基板11上には、BPSGから成る層間絶縁膜17が形成されている。配線保護膜16をマスクとするSAC法を用いた異方性エッチングによって、層間絶縁膜17を貫通し、半導体基板11の表面を露出させるコンタクトホール18が形成されている。
コンタクトホール18の側壁には、SiNから成る側壁保護膜19が形成されている。コンタクトホール18の内部には、側壁保護膜19を介して、ポリシリコンから成るコンタクトプラグ20が収容されている。側壁保護膜19は、層間絶縁膜17内に形成されるボイドを介したコンタクトプラグ20間のショートを防止するために形成されている。
図2(a)〜(c)、図3(d)〜(f)、図4(g)〜(i)、及び、図5(j)は、図1の半導体装置を製造する各製造段階を順次に示す断面図である。先ず、ISSG(In-Situ Steam Generation)(TM)法を用いて、半導体基板11の表面を熱酸化し、ゲート酸化膜12を形成する。PVD(Physical Vapor Deposition)法を用いてゲート酸化膜12上にタングステン膜13aを形成した後、CVD(Chemical Vapor Deposition)法を用いてタングステン膜13a上にSiCN膜14aを成膜する(図2(a))。SiCN膜14aの成膜に際しては、基板温度を550℃に設定する。
次いで、公知の方法を用いて、SiCN膜14a上に所定形状の開口パターンを有するレジストマスク21を形成する(図2(b))。引き続き、レジストマスク21をマスクとし、CFガスをエッチングガスとするドライエッチング法により、SiCN膜14aをパターニングし、ハードマスク14を形成する(図2(c))。更に、ハードマスク14をマスクとし、ゲート電極13の両脇の半導体基板11の表面部分に不純物を注入し、ソース・ドレイン領域を形成する。
次いで、ハードマスク14をマスクとし、SFガスをエッチングガスとするドライエッチング法により、タングステン膜13a及びゲート酸化膜12をパターニングする。これによって、ゲート電極13を形成する(図3(d))。引き続き、CVD法を用いて全面にSiCN膜を成膜する。SiCN膜の成膜に際しては、基板温度を550℃に設定する。更に、SiCN膜のエッチバックを行うことによって、ゲート電極13及びハードマスク14の側面を覆うサイドウォール15を形成する(図3(e))。ハードマスク14及びサイドウォール15は、ゲート電極13を保護する配線保護膜16を構成する。次いで、SA(Sub-Atmospheric Pressure)−CVD法により、配線保護膜16を覆って半導体基板11上に、BPSGから成る層間絶縁膜17を成膜する(図3(f))。
引き続き、公知の方法を用いて、層間絶縁膜17上に所定形状の開口パターンを有するレジストマスク22を形成する(図4(g))。更に、レジストマスク22をマスクとし、Cガスをエッチングガスとするドライエッチングにより、層間絶縁膜17をエッチングし、半導体基板11に達するコンタクトホール18を形成する(図4(h))。コンタクトホール18の形成に際して、配線保護膜16をマスクとするSAC法によって行う。次いで、LP(Low Pressure)−CVD法を用いて、コンタクトホール18の底面、側壁及び層間絶縁膜17上に、SiN膜19aを成膜する(図4(i))。SiN膜19aの成膜は、基板温度を約700℃に設定して行う。
引き続き、ドライエッチング法によるエッチバックを行い、コンタクトホール18の底部及び層間絶縁膜17上に成膜されたSiN膜19aを除去し、コンタクトホール18の側壁に側壁保護膜19を残す(図5(j))。LP−CVD法を用いて、コンタクトホール18の内部を含んで全面にポリシリコンを堆積した後、層間絶縁膜17上に堆積したポリシリコンを除去し、コンタクトホール18内にコンタクトプラグ20を残す(図1)。更に、コンタクトプラグ20の上端に接続するキャパシタの下部電極等を形成することによって、半導体装置を完成する。
図6は、図2(a)及び図3(e)に示した工程で、SiCN膜の成膜に際して用いるCVD装置の構成を示している。CVD装置30は、並行平板型のプラズマCVD装置であって、チャンバ34内に収容され、ウエハ(半導体基板)11が載置されるステージ31と、ステージ31に対向して配置された、高周波電力印加用のプレート32とを備える。
ステージ31は、下部電極として構成され、接地されている。また、内部にセラミックヒータを備え、ステージ31の表面を600℃まで加熱させることが出来る。プレート32は、上部電極として構成され、RF電力を供給する高周波電源33に接続されている。高周波電源33の他端は接地されている。プレート32の内部は中空になっており、図示しないガス供給源に接続されている。プレート32のステージ31に対向する表面には、ガスを排出するための多数の穴が形成されている。
チャンバ34の下部には、ガス排出口(排気ポート)35が配設され、ガス排出口35の下流には、スロットルバルブ36及びドライポンプ37が順次に配設されている。ドライポンプ37を作動させた状態で、スロットルバルブ36の絞りを制御することによって、チャンバ34内の圧力を調節できる。図中の矢印は、ガスが流れる方向を示している。
CVD装置30を用いたSiCN膜の成膜にあたって、先ず、セラミックヒータによって、ステージ31表面の温度を550℃に設定する。次いで、チャンバ34内にウエハ11を導入し、ステージ31上に載置する。これによって、ウエハ11の基板温度を550℃に保持する。
次いで、プレート32の表面から原料ガスを供給すると共に、高周波電源33より600WのRF電力を供給する。原料ガスとして、トリメチルシラン((CHSiH)及びアンモニア(NH)を、350sccm及び700sccmの流速でそれぞれ供給する。また、ヘリウム(He)を800sccmの流速で供給する。チャンバ34内の圧力は、スロットルバルブ36の絞りの制御によって、3Torrに維持する。チャンバ34内に供給された原料ガスは、RF電力によってプラズマ化され、ウエハ11表面での反応によって、SiCN膜が形成される。
本実施形態に係る半導体装置の製造方法によれば、配線保護膜16をSiCNで構成することによって、従来のSiNから成る配線保護膜よりも高いエッチ選択比を得ることが出来る。これによって、配線保護膜16を薄膜化しつつも、配線の露出を防止できる。
また、SiCN膜の成膜の際の基板温度(成膜温度)を500℃以上に設定することによって、後続する基板温度が700℃のSiN膜19aの成膜工程に際して、配線保護膜16の膜質の低下を抑制できる。これによって、他の膜との密着性の低下を抑制し、膜剥がれを抑制できる。SiCN膜の成膜温度を550℃以下に設定することによって、配線保護膜16の比誘電率を5.9以下にすることが出来る。これによって、配線保護膜16の比誘電率をSiNよりも低くして、ゲート電極13における信号伝達の遅延を抑制できる。なお、SiCN膜の成膜の際のガス種、圧力、及び、RF電力等の条件は一例であって、上記以外の条件を用いて成膜してもよい。
ところで、本発明者は、本発明の検討に際して、配線保護膜16の成膜温度を、SiCN膜の成膜に際して一般に採用される400℃に設定して半導体装置を製造した。その結果、後続する工程で基板温度が700℃のSiN膜の成膜(図4(i))に際して、配線保護膜16の膜剥がれが生じることが判った。
配線保護膜16の膜剥がれが生じる原因については、下記のように考えられる。プラズマCVD法でSiCN膜を成膜すると、膜中に水素原子が炭素原子と結合した状態で含まれることが知られている。SiCNに含まれる水素原子は、高温下のプロセスによってHやHOとして膜中から離脱するため、SiCN膜の膜質が低下する。これによって、他の膜との密着性が低下し、膜剥がれが生じたものである。
本発明者は、上記考察に基づき、SiCN膜中の水素含有量を減らすことによって、膜中から離脱する水素の量を減らし、SiCN膜の膜質の低下を防止できると考えた。SiCN膜中の水素含有量を減らすには、SiCN膜の成膜温度を上昇させることが考えられる。このため、SiCN膜の成膜温度を400℃以上の様々な温度に設定する実験を行った。
上記実施形態の製造方法において、SiCNから成る配線保護膜16の成膜温度を、400℃、500℃、及び、550℃にそれぞれ設定して半導体装置を製造し、実施例1〜3の半導体装置とした。また、実施例1〜3との比較のために、SiCNに代えてSiNから成る配線保護膜16を形成した半導体装置を製造し、比較例の半導体装置とした。比較例の半導体装置でSiN膜の成膜に際しては、SiN膜19aの成膜工程と同様の条件で行った。製造された実施例1〜3、及び、比較例の半導体装置について、膜中の元素含有率を測定した。結果を、下記の表1及び図7のグラフに示す。
Figure 2007208069
表1及び図7のグラフより、SiCN膜の成膜温度の増加に伴って、水素原子の含有率が低下している。つまり、SiCN膜の成膜温度の増加に伴って、後続する基板温度が700℃のSiN膜19aの成膜工程に際して、その膜質低下の程度が小さくなると考えられる。実施例1〜3の半導体装置について、配線保護膜16の膜剥がれの有無を調べたところ、実施例1の半導体装置では、膜剥がれが生じたのに対して、実施例2、3の半導体装置では、膜剥がれが生じなかった。これによって、SiCN膜の成膜温度を500℃以上に設定することによって、その膜剥がれを防止できると結論した。
本発明者は、また、実施例1〜3、及び、比較例の半導体装置について、SiCN膜又はSiN膜のSiO膜に対する比誘電率、及び、エッチ選択比を調べた。結果を図8に示す。横軸はSiCN膜の成膜温度である。比較例の半導体装置の値は、縦軸に対する矢印で示している。同図中、グラフ(i)、(ii)は、温度変化に伴う比誘電率、及び、エッチ選択比の変化を近似直線でそれぞれ示している。
同図より、SiCN膜の比誘電率は、SiCN膜の成膜温度の上昇に伴って増加している。比誘電率の増加は、配線における信号伝達の遅延を招くため、比誘電率は出来るだけ小さいことが望ましい。しかし、成膜温度が550℃以下の範囲で、SiCN膜の比誘電率は5.9よりも充分小さな値に抑えられ、SiNよりも小さな比誘電率が得られることが判る。従って、SiCN膜の成長温度を550℃以下に設定することによって、配線保護膜16の比誘電率の増加を抑えることとした。
また、SiCN膜のエッチ選択比は、SiCN膜の成膜温度の上昇に伴って増加している。SiN膜のエッチ選択比が10程度であるのに対して、SiCN膜のエッチ選択比は、成膜温度が400℃以上で12以上であり、SiN膜よりも充分に高いエッチ選択比が得られることが判る。なお、BPSG膜に対するエッチ選択比と、SiO膜に対するエッチ選択比は、略同様の傾向を示す。
上記実施形態では、コンタクトプラグを収容するコンタクトホールを備える半導体装置の例を示したが、ビアプラグを収容するスルーホールを備える半導体装置にも、本発明を適用できる。図9は、上記実施形態の変形例に係る半導体装置の構成を示す断面図である。半導体装置40は、図示しない半導体基板を備え、半導体基板の上部に形成された層間絶縁膜41を備える。層間絶縁膜41を貫通してコンタクトホール42が形成され、コンタクトホール42の内部には、側壁保護膜43を介して、ポリシリコンから成るコンタクトプラグ44が収容されている。
層間絶縁膜41上には、配線構造が形成され、配線構造を覆って層間絶縁膜41上に別の層間絶縁膜49が形成されている。層間絶縁膜41,49は、SiOから構成されている。配線構造で、配線45は、層間絶縁膜41上に他の絶縁膜を介さずに直接に形成され、ハードマスク46及びサイドウォール47から成る配線保護膜48に覆われている。配線45は、ビット線として構成される。層間絶縁膜49には、配線保護膜48をマスクとするSAC法によって、スルーホール50が形成され、スルーホール50の内部には、側壁保護膜51を介してビアプラグ52が形成されている。
半導体装置40の製造に際しては、図10(a)に示すように、タングステン層45aa及びSiCN膜46aの成膜に際して、層間絶縁膜41上にタングステン層45aを直接に成膜する。また、図10(b)に示すように、配線45及びハードマスク46の側面にサイドウォール47を形成する。層間絶縁膜49の成膜に際しては、HDP−CVD法を用いる。
以上、本発明をその好適な実施形態に基づいて説明したが、本発明に係る半導体装置及びその製造方法は、上記実施形態の構成にのみ限定されるものではなく、上記実施形態の構成から種々の修正及び変更を施した半導体装置及びその製造方法も、本発明の範囲に含まれる。
本発明の一実施形態に係る半導体装置の構成を示す断面図である。 図2(a)〜(c)は、図1の半導体装置を製造する各製造段階を順次に示す断面図である。 図3(d)〜(f)は、図2に後続する各製造段階を順次に示す断面図である。 図4(g)〜(i)は、図3に後続する各製造段階を順次に示す断面図である。 図5(j)は、図4に後続する各製造段階を順次に示す断面図である。 SiCN膜の成膜に際して用いられるCVD装置の構成を示す断面図である。 実施例1〜3、及び、比較例の半導体装置について、元素含有率を示すグラフである。 比誘電率及びエッチ選択比と、SiCN膜の成膜温度との関係を示すグラフである。 実施形態の変形例に係る半導体装置の構成を示す断面図である。 図10(a)、(b)は、図9の半導体装置を製造する各製造段階を示す断面図である。
符号の説明
10:半導体装置
11:半導体基板(ウエハ)
12:ゲート酸化膜
13:ゲート電極
13a:タングステン膜
14:ハードマスク
14a:SiCN膜
15:サイドウォール
16:配線保護膜
17:層間絶縁膜
18:コンタクトホール
19:側壁保護膜
19a:SiN膜
20:コンタクトプラグ
21,22:レジストマスク
30:CVD装置
31:ステージ
32:プレート
33:高周波電源
34:チャンバ
35:ガス排出口
36:スロットルバルブ
37:ドライポンプ
40:半導体装置
41:層間絶縁膜
42:コンタクトホール
43:側壁保護膜
44:コンタクトプラグ
45:配線
46:ハードマスク
47:サイドウォール
48:配線保護膜
49:層間絶縁膜
50:スルーホール
51:側壁保護膜
52:ビアプラグ

Claims (6)

  1. 第1の配線が表面に露出する下地絶縁膜と、該下地絶縁膜上に形成された第2の配線と、該第2の配線を保護する配線保護膜と、該配線保護膜を覆って前記下地絶縁膜上に形成された層間絶縁膜と、該層間絶縁膜内に、前記配線保護膜と自己整合的に形成され前記第1の配線に接続する配線プラグとを備える半導体装置において、
    前記配線保護膜がSiCN膜であることを特徴とする半導体装置。
  2. 前記SiCN膜の比誘電率が5.9以下である、請求項1に記載の半導体装置。
  3. 第1の配線が表面に露出する下地絶縁膜を形成する工程と、
    前記下地絶縁膜上に、第2の配線及び該第2の配線を保護するSiCN保護膜を形成する工程と、
    前記SiCN保護膜を覆う層間絶縁膜を堆積する工程と、
    前記SiCN保護膜と自己整合的に前記層間絶縁膜をエッチングして、前記第1の配線を露出させるスルーホールを形成する工程と、
    前記スルーホール内に前記第1の配線と接続する配線プラグを形成する工程とを有することを特徴とする半導体装置の製造方法。
  4. 前記SiCN保護膜の堆積温度を、500℃以上で550℃以下に設定する、請求項3に記載の半導体装置の製造方法。
  5. 前記SiCN保護膜の比誘電率が5.9以下である、請求項3又は4に記載の半導体装置の製造方法。
  6. 前記スルーホールの形成工程と前記配線プラグの形成工程との間に、前記スルーホールの側壁を保護する側壁絶縁膜を形成する工程を更に有する、請求項3〜5の何れか一に記載の半導体装置の製造方法。
JP2006026095A 2006-02-02 2006-02-02 半導体装置及びその製造方法 Pending JP2007208069A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2006026095A JP2007208069A (ja) 2006-02-02 2006-02-02 半導体装置及びその製造方法
US11/700,767 US20070197034A1 (en) 2006-02-02 2007-02-01 Semiconductor device having a sac through-hole

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006026095A JP2007208069A (ja) 2006-02-02 2006-02-02 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
JP2007208069A true JP2007208069A (ja) 2007-08-16

Family

ID=38428782

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006026095A Pending JP2007208069A (ja) 2006-02-02 2006-02-02 半導体装置及びその製造方法

Country Status (2)

Country Link
US (1) US20070197034A1 (ja)
JP (1) JP2007208069A (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102251363B1 (ko) 2014-08-08 2021-05-14 삼성전자주식회사 반도체 소자
JP6806721B2 (ja) * 2018-02-20 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システムおよびプログラム

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002016134A (ja) * 2000-06-28 2002-01-18 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002246463A (ja) * 2001-02-13 2002-08-30 Sony Corp 半導体装置の製造方法及び半導体装置
JP2002270606A (ja) * 2001-03-07 2002-09-20 Sony Corp 半導体装置の製造方法および半導体装置
JP2003068879A (ja) * 2001-08-27 2003-03-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2004146798A (ja) * 2002-09-30 2004-05-20 Sanyo Electric Co Ltd 半導体装置およびその製造方法
JP2004221275A (ja) * 2003-01-14 2004-08-05 Nec Electronics Corp 有機絶縁膜及びその製造方法及び有機絶縁膜を用いた半導体装置及びその製造方法。

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0817943A (ja) * 1994-06-30 1996-01-19 Texas Instr Japan Ltd 半導体装置の製造方法
TW463288B (en) * 1997-05-20 2001-11-11 Nanya Technology Corp Manufacturing method for cup-like capacitor
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
JP4658486B2 (ja) * 2003-06-30 2011-03-23 ルネサスエレクトロニクス株式会社 半導体装置とその製造方法
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002016134A (ja) * 2000-06-28 2002-01-18 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002246463A (ja) * 2001-02-13 2002-08-30 Sony Corp 半導体装置の製造方法及び半導体装置
JP2002270606A (ja) * 2001-03-07 2002-09-20 Sony Corp 半導体装置の製造方法および半導体装置
JP2003068879A (ja) * 2001-08-27 2003-03-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2004146798A (ja) * 2002-09-30 2004-05-20 Sanyo Electric Co Ltd 半導体装置およびその製造方法
JP2004221275A (ja) * 2003-01-14 2004-08-05 Nec Electronics Corp 有機絶縁膜及びその製造方法及び有機絶縁膜を用いた半導体装置及びその製造方法。

Also Published As

Publication number Publication date
US20070197034A1 (en) 2007-08-23

Similar Documents

Publication Publication Date Title
US6849539B2 (en) Semiconductor device and method of fabricating the same
KR101225642B1 (ko) H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
US20060234511A1 (en) Method for forming a semiconductor device including a plasma ashing treatment for removal of photoresist
JP2010251654A (ja) 成膜方法および半導体装置の製造方法
US20050158990A1 (en) Methods of forming metal wiring layers for semiconductor devices
US20220020642A1 (en) Ald (atomic layer deposition) liner for via profile control and related applications
US7524751B2 (en) Method for forming contact hole in semiconductor device
JP2008112826A (ja) 半導体装置の製造方法
JP5217272B2 (ja) 配線の形成方法及び半導体装置の製造方法
US7332391B2 (en) Method for forming storage node contacts in semiconductor device
JP2007208069A (ja) 半導体装置及びその製造方法
US7125809B1 (en) Method and material for removing etch residue from high aspect ratio contact surfaces
JP2008305921A (ja) 半導体装置及びその製造方法
US20070269979A1 (en) Method of forming a pattern and method of manufacturing a semiconductor device using the same
US20070007654A1 (en) Metal line of semiconductor device and method for forming thereof
KR100571402B1 (ko) 텅스텐 플러그 상에 형성된 구리 배선층을 포함하는반도체 소자의 제조 방법
KR100603703B1 (ko) 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법
KR100480480B1 (ko) 반도체 소자의 제조 방법
KR100636678B1 (ko) 리세스채널을 갖는 반도체소자의 제조방법
JP3953058B2 (ja) 半導体装置の製造方法
US8053369B2 (en) Process for forming opening portion in interlayer insulation film on metallic layer of semiconductor device
KR100673886B1 (ko) 콘택 저항을 안정화시킬 수 있는 반도체 소자 제조 방법
JP2002016134A (ja) 半導体装置の製造方法
JP2006179645A (ja) 半導体装置及びその製造方法
KR100548579B1 (ko) 반도체소자의 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081218

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090213

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090414