JP6806721B2 - 半導体装置の製造方法、基板処理システムおよびプログラム - Google Patents

半導体装置の製造方法、基板処理システムおよびプログラム Download PDF

Info

Publication number
JP6806721B2
JP6806721B2 JP2018027565A JP2018027565A JP6806721B2 JP 6806721 B2 JP6806721 B2 JP 6806721B2 JP 2018027565 A JP2018027565 A JP 2018027565A JP 2018027565 A JP2018027565 A JP 2018027565A JP 6806721 B2 JP6806721 B2 JP 6806721B2
Authority
JP
Japan
Prior art keywords
film
substrate
substrate processing
treatment
oxidation treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018027565A
Other languages
English (en)
Other versions
JP2019145630A (ja
Inventor
樹 松岡
樹 松岡
良知 橋本
良知 橋本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Priority to JP2018027565A priority Critical patent/JP6806721B2/ja
Priority to US16/269,368 priority patent/US10790136B2/en
Publication of JP2019145630A publication Critical patent/JP2019145630A/ja
Application granted granted Critical
Publication of JP6806721B2 publication Critical patent/JP6806721B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Description

本発明は、半導体装置の製造方法、基板処理システムおよびプログラムに関する。
半導体装置(デバイス)の製造工程の一工程として、シリコンウエハ等の基板上にシリコン酸化膜(SiO膜)やシリコン窒化膜(SiN膜)などのシリコン系絶縁膜、すなわち、シリコンを含む絶縁膜を形成する工程がある。SiO膜は、絶縁性、低誘電性などに優れ、絶縁膜や層間膜として広く用いられている。また、SiN膜は、絶縁性、耐食性、誘電性、膜ストレス制御性などに優れ、絶縁膜やマスク膜、電荷蓄積膜、ストレス制御膜として広く用いられている。また、フッ酸(フッ化水素(HF))エッチング耐性の向上を目的に、これらの絶縁膜にカーボン(炭素(C))を添加し、シリコン酸炭化膜(SiOC膜)や、シリコン炭窒化膜(SiCN膜)や、シリコン酸炭窒化膜(SiOCN膜)を形成する処理が行われることがある(例えば特許文献1参照)。
特開2011−238894号公報
SiCN膜は高いHFエッチング耐性(以下、HF耐性と称する)を有する絶縁膜であるため、従来のSiN膜に代わり、エッチストッパー膜やスペーサ膜として広く用いられている。一方、半導体装置の製造工程において、これらの膜は酸素プラズマアッシング等の酸化処理に曝されることが多い。酸化処理によって、SiCN膜が酸化されるとHF耐性が悪化し、結果としてエッチストッパー膜やスペーサ膜としての機能が損なわれる。よって、酸化処理後においても優れたHF耐性を有することが、半導体装置の製造工程において求められている。
本発明の目的は、酸化処理前だけでなく、酸化処理後においても優れたHF耐性を有する絶縁膜を形成することが可能な技術を提供することにある。
本発明の一態様によれば、
基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する工程と、
前記膜が表面に露出した前記基板に対して酸化処理を行う工程と、
前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う工程と、を行い、
前記酸化処理により前記膜の第1の深さ領域における炭素濃度が変化し、前記膜の前記第1の深さ領域よりも深い第2の深さ領域における炭素濃度が変化することなく維持されるようにならしめる
技術が提供される。
また、本発明の他の態様によれば、
基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する工程と、
前記膜が表面に露出した前記基板に対して酸化処理を行う工程と、
前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う工程と、を行い、
前記膜を形成する工程では、前記酸化処理により前記膜の第1の深さ領域における炭素濃度が変化し、前記膜の前記第1の深さ領域よりも深い第2の深さ領域における炭素濃度が変化することなく維持されるような前記膜を形成する
技術が提供される
本発明によれば、酸化処理前だけでなく、酸化処理後においても優れたHF耐性を有する絶縁膜を形成することが可能となる。
本発明の一実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を縦断面図で示す図である。 本発明の一実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を図1のA−A線断面図で示す図である。 本発明の一実施形態で好適に用いられる基板処理装置のコントローラの概略構成図であり、コントローラの制御系をブロック図で示す図である。 本発明の一実施形態に係る基板処理シーケンスを示すフロー図である。 本発明の一実施形態に係る半導体装置の製造工程のフローチャートを示す図である。 (A)は、基板上に形成された膜のアッシング処理前のHF耐性の評価結果を示す図であって、(B)は、基板上に形成された膜のアッシング処理後のHF耐性の評価結果を示す図である。 (A)は、アズデポ状態での基板の断面を示す図であって、(B)は、アッシング処理後の基板の断面を示す図である。
<本発明の一実施形態>
以下、本発明の一実施形態について、図1〜図5を用いて説明する。
(1)基板処理装置(基板処理ユニット)の構成
図1に示すように、処理炉202は加熱機構(温度調整部)としてのヒータ207を有する。ヒータ207は円筒形状であり、保持板に支持されることにより垂直に据え付けられている。ヒータ207は、ガスを熱で活性化(励起)させる活性化機構(励起部)としても機能する。
ヒータ207の内側には、ヒータ207と同心円状に反応管203が配設されている。反応管203は、例えば石英(SiO2)または炭化シリコン(SiC)等の耐熱性材料により構成され、上端が閉塞し下端が開口した円筒形状に形成されている。反応管203の筒中空部には、処理室201が形成される。処理室201は、基板としてのウエハ200を収容可能に構成されている。
処理室201内には、ノズル249a,249bが、反応管203の下部側壁を貫通するように設けられている。ノズル249a,249bには、ガス供給管232a,232bがそれぞれ接続されている。
ガス供給管232a,232bには、ガス流の上流側から順に、流量制御器(流量制御部)であるマスフローコントローラ(MFC)241a,241bおよび開閉弁であるバルブ243a,243bがそれぞれ設けられている。ガス供給管232a,232bのバルブ243a,243bよりも下流側には、ガス供給管232c,232dがそれぞれ接続されている。ガス供給管232c,232dには、ガス流の上流側から順に、MFC241c,241dおよびバルブ243c,243dがそれぞれ設けられている。
図2に示すように、ノズル249a,249bは、反応管203の内壁とウエハ200との間における平面視において円環状の空間に、反応管203の内壁の下部より上部に沿って、ウエハ200の配列方向上方に向かって立ち上がるようにそれぞれ設けられている。すなわち、ノズル249a,249bは、ウエハ200が配列されるウエハ配列領域の側方の、ウエハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うようにそれぞれ設けられている。ノズル249a,249bの側面には、ガスを供給するガス供給孔250a,250bがそれぞれ設けられている。ガス供給孔250a,250bは、反応管203の中心を向くようにそれぞれ開口しており、ウエハ200に向けてガスを供給することが可能となっている。ガス供給孔250a,250bは、反応管203の下部から上部にわたって複数設けられている。
ガス供給管232aからは、原料(原料ガス)として、例えば、形成しようとする膜を構成する所定元素(主元素)としてのシリコン(Si)およびハロゲン元素を含むハロシラン系ガスが、MFC241a、バルブ243a、ノズル249aを介して処理室201内へ供給される。原料ガスとは、気体状態の原料、例えば、常温常圧下で液体状態である原料を気化することで得られるガスや、常温常圧下で気体状態である原料等のことである。ハロシランとは、ハロゲン基を有するシランのことである。ハロゲン基には、クロロ基、フルオロ基、ブロモ基、ヨード基等が含まれる。すなわち、ハロゲン基には、塩素(Cl)、フッ素(F)、臭素(Br)、ヨウ素(I)等のハロゲン元素が含まれる。ハロシラン系ガスとしては、例えば、SiおよびClを含む原料ガス、すなわち、クロロシラン系ガスを用いることができる。クロロシラン系ガスは、Siソースとして作用する。クロロシラン系ガスとしては、例えば、ヘキサクロロジシラン(Si2Cl6、略称:HCDS)ガスを用いることができる。
ガス供給管232bからは、反応体として、例えば、窒素(N)含有ガスが、MFC241b、バルブ243b、ノズル249bを介して処理室201内へ供給される。N含有ガスとしては、例えば、窒化剤(窒化ガス)である窒化水素系ガスを用いることができる。窒化水素系ガスは、NおよびHの2元素のみで構成される物質ともいえ、Nソースとして作用する。窒化水素系ガスとしては、例えば、アンモニア(NH3)ガスを用いることができる。
ガス供給管232bからは、反応体として、例えば、炭素(C)含有ガスが、MFC241b、バルブ243b、ノズル249bを介して処理室201内へ供給される。C含有ガスとしては、例えば、炭化水素系ガスを用いることができる。炭化水素系ガスは、CおよびHの2元素のみで構成される物質ともいえ、Cソースとして作用する。炭化水素系ガスとしては、例えば、プロピレン(C36)ガスを用いることができる。
ガス供給管232c,232dからは、不活性ガスとしての窒素(N2)ガスが、それぞれ、MFC241c,241d、バルブ243c,243d、ガス供給管232a,232b、ノズル249a,249bを介して処理室201内へ供給される。N2ガスは、パージガス、キャリアガス、希釈ガス等として作用する。
主に、ガス供給管232a、MFC241a、バルブ243aにより、原料供給系が構成される。主に、ガス供給管232b、MFC241b、バルブ243bにより、反応体(N含有ガス、C含有ガス)供給系が構成される。主に、ガス供給管232c,232d、MFC241c,241d、バルブ243c,243dにより、不活性ガス供給系が構成される。
上述の各種供給系のうち、いずれか、或いは、全ての供給系は、バルブ243a〜243dやMFC241a〜241d等が集積されてなる集積型供給システム248として構成されていてもよい。集積型供給システム248は、ガス供給管232a〜232dのそれぞれに対して接続され、ガス供給管232a〜232d内への各種ガスの供給動作、すなわち、バルブ243a〜243dの開閉動作やMFC241a〜241dによる流量調整動作等が、後述するコントローラ121によって制御されるように構成されている。集積型供給システム248は、一体型、或いは、分割型の集積ユニットとして構成されており、ガス供給管232a〜232d等に対して集積ユニット単位で着脱を行うことができ、集積型供給システム248のメンテナンス、交換、増設等を、集積ユニット単位で行うことが可能なように構成されている。
反応管203の側壁下方には、処理室201内の雰囲気を排気する排気管231が接続されている。排気管231には、処理室201内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ245および圧力調整器(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ244を介して、真空排気装置としての真空ポンプ246が接続されている。APCバルブ244は、真空ポンプ246を作動させた状態で弁を開閉することで、処理室201内の真空排気および真空排気停止を行うことができ、更に、真空ポンプ246を作動させた状態で、圧力センサ245により検出された圧力情報に基づいて弁開度を調節することで、処理室201内の圧力を調整することができるように構成されている。主に、排気管231、圧力センサ245、APCバルブ244により、排気系が構成される。真空ポンプ246を排気系に含めて考えてもよい。
反応管203の下方には、反応管203の下端開口を気密に閉塞可能な炉口蓋体としてのシールキャップ219が設けられている。シールキャップ219は、例えばSUS等の金属材料により構成され、円盤状に形成されている。シールキャップ219の上面には、反応管203の下端と当接するシール部材としてのOリング220が設けられている。シールキャップ219の下方には、後述するボート217を回転させる回転機構267が設置されている。回転機構267の回転軸255は、シールキャップ219を貫通してボート217に接続されている。回転機構267は、ボート217を回転させることでウエハ200を回転させるように構成されている。シールキャップ219は、反応管203の外部に設置された昇降機構としてのボートエレベータ115によって垂直方向に昇降されるように構成されている。ボートエレベータ115は、シールキャップ219を昇降させることで、ウエハ200を処理室201内外に搬入および搬出(搬送)する搬送装置(搬送機構)として構成されている。
基板支持具としてのボート217は、複数枚、例えば25〜200枚のウエハ200を、水平姿勢で、かつ、互いに中心を揃えた状態で垂直方向に整列させて多段に支持するように、すなわち、間隔を空けて配列させるように構成されている。ボート217は、例えば石英やSiC等の耐熱性材料により構成される。ボート217の下部には、例えば石英やSiC等の耐熱性材料により構成される断熱板218が水平姿勢で多段に支持されている。
反応管203内には、温度検出器としての温度センサ263が設置されている。温度センサ263により検出された温度情報に基づきヒータ207への通電具合を調整することで、処理室201内の温度が所望の温度分布となる。温度センサ263は、反応管203の内壁に沿って設けられている。
図3に示すように、制御部(制御手段)であるコントローラ121は、CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶装置121c、I/Oポート121dを備えたコンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート121dは、内部バス121eを介して、CPU121aとデータ交換可能なように構成されている。コントローラ121には、例えばタッチパネル等として構成された入出力装置122が接続されている。
記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件等が記載されたプロセスレシピ等が、読み出し可能に格納されている。プロセスレシピは、後述する基板処理工程における各手順をコントローラ121に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。また、プロセスレシピを、単に、レシピともいう。本明細書においてプログラムという言葉を用いた場合は、レシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、それらの両方を含む場合がある。RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート121dは、上述のMFC241a〜241d、バルブ243a〜243d、圧力センサ245、APCバルブ244、真空ポンプ246、ヒータ207、温度センサ263、回転機構267、ボートエレベータ115等に接続されている。
CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからレシピを読み出すように構成されている。CPU121aは、読み出したレシピの内容に沿うように、MFC241a〜241dによる各種ガスの流量調整動作、バルブ243a〜243dの開閉動作、APCバルブ244の開閉動作および圧力センサ245に基づくAPCバルブ244による圧力調整動作、真空ポンプ246の起動および停止、温度センサ263に基づくヒータ207の温度調整動作、回転機構267によるボート217の回転および回転速度調節動作、ボートエレベータ115によるボート217の昇降動作等を制御するように構成されている。
コントローラ121は、外部記憶装置123に格納された上述のプログラムを、コンピュータにインストールすることにより構成することができる。外部記憶装置123は、例えば、HDD等の磁気ディスク、CD等の光ディスク、MO等の光磁気ディスク、USBメモリ等の半導体メモリを含む。記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成されている。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置123単体のみを含む場合、または、それらの両方を含む場合がある。なお、コンピュータへのプログラムの提供は、外部記憶装置123を用いず、インターネットや専用回線等の通信手段を用いて行ってもよい。
(2)膜形成工程
上述の基板処理装置(成膜装置)を用い、半導体装置の製造工程の一工程として、基板としてのウエハ200上にシリコン炭窒化膜(SiCN膜)を形成するシーケンス例について、主に、図4を用いて説明する。以下の説明において、基板処理装置を構成する各部の動作はコントローラ121により制御される。
図4に示す基板処理シーケンスでは、
ウエハ200に対して原料としてHCDSガスを供給するステップ1と、
ウエハ200に対して反応体としてC36ガスを供給するステップ2と、
ウエハ200に対して反応体としてNH3ガスを供給するステップ3と、
を非同時に行うサイクルを所定回数行うことにより、ウエハ200上に、Si、C、およびNを含む膜としてSiCN膜を形成する成膜ステップを行う。
本明細書では、図4に示す基板処理シーケンスを、便宜上、以下のように示すこともある。以下の変形例等の説明においても、同様の表記を用いることとする。
(HCDS→C36→NH3)×n ⇒ SiCN
本明細書において「ウエハ」という言葉を用いた場合は、ウエハそのものを意味する場合や、ウエハとその表面に形成された所定の層や膜との積層体を意味する場合がある。本明細書において「ウエハの表面」という言葉を用いた場合は、ウエハそのものの表面を意味する場合や、ウエハ上に形成された所定の層等の表面を意味する場合がある。本明細書において「ウエハ上に所定の層を形成する」と記載した場合は、ウエハそのものの表面上に所定の層を直接形成することを意味する場合や、ウエハ上に形成されている層等の上に所定の層を形成することを意味する場合がある。本明細書において「基板」という言葉を用いた場合も、「ウエハ」という言葉を用いた場合と同義である。
(ウエハチャージおよびボートロード)
複数枚のウエハ200がボート217に装填(ウエハチャージ)される。その後、図1に示すように、複数枚のウエハ200を支持したボート217は、ボートエレベータ115によって持ち上げられて処理室201内へ搬入(ボートロード)される。この状態で、シールキャップ219は、Oリング220を介して反応管203の下端をシールした状態となる。
(圧力調整および温度調整)
処理室201内、すなわち、ウエハ200が存在する空間が所望の圧力(真空度)となるように、真空ポンプ246によって真空排気(減圧排気)される。この際、処理室201内の圧力は圧力センサ245で測定され、この測定された圧力情報に基づきAPCバルブ244がフィードバック制御される。また、処理室201内のウエハ200が所望の処理温度となるように、ヒータ207によって加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ263が検出した温度情報に基づきヒータ207への通電具合がフィードバック制御される。また、回転機構267によるウエハ200の回転を開始する。真空ポンプ246の稼働、ウエハ200の加熱および回転は、いずれも、少なくともウエハ200に対する処理が終了するまでの間は継続して行われる。
(成膜ステップ)
その後、以下のステップ1〜3を順次実施する。
[ステップ1]
このステップでは、処理室201内のウエハ200に対してHCDSガスを供給する。具体的には、バルブ243aを開き、ガス供給管232a内へHCDSガスを流す。HCDSガスは、MFC241aにより流量調整され、ノズル249aを介して処理室201内へ供給され、排気管231から排気される。このとき、ウエハ200に対してHCDSガスが供給される。このときバルブ243c,243dを開き、ガス供給管232c,232d内へN2ガスを流すようにしてもよい。
本ステップにおける処理条件としては、
処理温度:600〜680℃、好ましくは630〜680℃
処理圧力:1〜2666Pa、好ましくは67〜1333Pa
HCDSガス供給流量:1〜2000sccm
2ガス供給流量(各ガス供給管):0〜10000sccm
各ガス供給時間:1〜120秒、好ましくは1〜60秒
が例示される。
なお、本明細書における「600〜680℃」等の数値範囲の表記は、下限値および上限値がその範囲に含まれることを意味する。よって、例えば「600〜680℃」とは、「600℃以上680℃以下」を意味する。他の数値範囲についても同様である。
上述の条件下でウエハ200に対してHCDSガスを供給することにより、ウエハ200の最表面上に、第1層として、Clを含むSi含有層が形成される。Clを含むSi含有層は、ウエハ200の最表面に、HCDSが物理吸着したり、HCDSの一部が分解した物質(以下、SixCly)が化学吸着したり、HCDSが熱分解することでSiが堆積したりすること等により形成される。Clを含むSi含有層は、HCDSやSixClyの吸着層(物理吸着層や化学吸着層)であってもよく、Clを含むSi層であってもよい。本明細書では、Clを含むSi含有層を、単に、Si含有層とも称する。
ウエハ200上に第1層を形成した後、バルブ243aを閉じ、処理室201内へのHCDSガスの供給を停止する。そして、処理室201内を真空排気し、処理室201内に残留するガス等を処理室201内から排除する。このとき、バルブ243c,243dを開き、ノズル249a,249bを介して処理室201内へN2ガスを供給する。N2ガスはパージガスとして作用し、これにより、処理室201内がパージされる(パージステップ)。パージステップにおいて、ノズル249a,249bのそれぞれから供給するN2ガスの流量を、それぞれ例えば1〜10000sccmの範囲内の流量とする。
原料としては、HCDSガスの他、モノクロロシラン(SiH3Cl、略称:MCS)ガス、ジクロロシラン(SiH2Cl2、略称:DCS)ガス、トリクロロシラン(SiHCl3、略称:TCS)ガス、テトラクロロシラン(SiCl4、略称:STC)ガス、オクタクロロトリシラン(Si3Cl8、略称:OCTS)ガス等のクロロシラン系ガスを用いることができる。また、原料としては、テトラフルオロシラン(SiF4)ガス等のフルオロシラン系ガス、テトラブロモシラン(SiBr4)ガス等のブロモシラン系ガス、テトラヨードシラン(SiI4)ガス等のヨードシラン系ガスを用いることができる。また、原料としては、テトラキス(ジメチルアミノ)シラン(Si[N(CH324、略称:4DMAS)ガス、テトラキス(ジエチルアミノ)シラン(Si[N(C2524、略称:4DEAS)ガス、トリス(ジメチルアミノ)シラン(Si[N(CH323H、略称:3DMAS)ガス、トリス(ジエチルアミノ)シラン(SiH[N(C2523、略称:3DEAS)ガス、ビス(ターシャリブチルアミノ)シラン(SiH2[NH(C49)]2、略称:BTBAS)ガス、ビス(ジエチルアミノ)シラン(SiH2[N(C2522、略称:BDEAS)ガス、(ジイソプロピルアミノ)シラン(SiH3N[CH(CH322、略称:DIPAS)ガス、トリシリルアミン(N(SiH33、略称:TSA)ガス等のアミノシラン系ガスを用いることができる。
不活性ガスとしては、N2ガスの他、例えば、Arガス、Heガス、Neガス、Xeガス等の各種希ガスを用いることが可能である。この点は、後述するステップ2,3においても同様である。
[ステップ2]
ステップ1が終了した後、処理室201内のウエハ200、すなわち、ウエハ200上に形成された第1層に対してC36ガスを供給する。具体的には、バルブ243b〜243dの開閉制御を、ステップ1におけるバルブ243a,243c,243dの開閉制御と同様の手順で行う。C36ガスは、MFC241bにより流量調整され、ノズル249bを介して処理室201内へ供給され、排気管231から排気される。このとき、ウエハ200に対してC36ガスが供給される。
本ステップにおける処理条件としては、
処理温度:600〜680℃、好ましくは630〜680℃
処理圧力:1〜4000Pa、好ましくは1〜3000Pa
36ガス供給流量:100〜10000sccm
ガス供給時間:1〜120秒、好ましくは1〜60秒
が例示される。他の処理条件は、ステップ1における処理条件と同様とする。
上述の条件下でウエハ200に対してC36ガスを供給することにより、ウエハ200上に形成された第1層(Clを含むSi含有層)の表面上にC含有層が形成され、第2層が形成される。第2層とは、第1層の表面上にC含有層が形成(積層)されてなる層(C含有層/第1層)のことである。C含有層は、第1層の表面に、C36が物理吸着したり、C36の一部が分解した物質(以下、Cxy)が化学吸着したり、C36が熱分解することでCが堆積したりすること等により形成される。C含有層は、C36やCxyの吸着層(物理吸着層や化学吸着層)であってもよく、C層であってもよい。ただし、上述の条件下では、C含有層としては、主にCxyの化学吸着層が形成されることとなる。なお、後述するステップ3において、第2層とNH3ガスとの反応を確実に行わせるためには、例えば、第1層の表面上へのCxyの吸着反応が飽和する前に、すなわち、第1層の表面上に形成されるCxyの化学吸着層等のC含有層が連続層となる前に(不連続層であるうちに)、C36ガスの供給を停止するのが好ましい。すなわち、第2層としては、第1層の表面上に不連続なC含有層が形成(積層)されてなる層を形成するのが好ましい。
ウエハ200上に第2層を形成した後、バルブ243bを閉じ、処理室201内へのC36ガスの供給を停止する。そして、ステップ1のパージステップと同様の処理手順により、処理室201内に残留するガス等を処理室201内から排除する。
炭素含有ガスとしては、C36ガスの他、例えば、アセチレン(C22)ガス、エチレン(C24)ガス等の炭化水素系ガスを用いることができる。
[ステップ3]
ステップ2が終了した後、処理室201内のウエハ200、すなわち、ウエハ200上に形成された第2層に対してNH3ガスを供給する。具体的には、バルブ243b〜243dの開閉制御を、ステップ1におけるバルブ243a,243c,243dの開閉制御と同様の手順で行う。NH3ガスは、MFC241bにより流量調整され、ノズル249bを介して処理室201内へ供給され、排気管231から排気される。このとき、ウエハ200に対してNH3ガスが供給される。
本ステップにおける処理条件としては、
処理温度:600〜680℃、好ましくは630〜680℃
処理圧力:1〜4000Pa、好ましくは1〜3000Pa
NH3ガス供給流量:100〜10000sccm
ガス供給時間:1〜120秒、好ましくは1〜60秒
が例示される。他の処理条件は、ステップ1における処理条件と同様とする。
上述の条件下でウエハ200に対してNH3ガスを供給することにより、ステップ2でウエハ200上に形成された第2層の少なくとも一部を改質(窒化)させることができる。それにより、第2層中からClやH等を脱離させると共に、N成分を第1層中に取り込ませることが可能となる。第2層が窒化されることで、ウエハ200上に、第3層として、Si、C、およびNを含む層としてシリコン炭窒化層(SiCN層)が形成される。
ウエハ200上に第3層を形成した後、バルブ243bを閉じ、処理室201内へのNH3ガスの供給を停止する。そして、ステップ2と同様の処理手順により、処理室201内に残留するガス等を処理室201内から排除する。
窒化剤(N含有ガス)としては、NH3ガスの他、ジアゼン(N22)ガス、ヒドラジン(N24)ガス、N38ガス、これらの化合物を含むガス等を用いることができる。
[所定回数実施]
ステップ1〜3を非同時に、すなわち、同期させることなく交互に行うサイクルを所定回数(n回、nは1以上の整数)行うことにより、ウエハ200上に、Si、C、およびNを含む膜としてSiCN膜を形成することが可能となる。なお、上述の処理条件下では、炭素(C)の原子組成百分率、すなわちSiCNの全原子数に対するCの原子数の割合であるC濃度が10at%以上15at%以下であるSiCN膜を形成することが可能となる。具体的には、上述したステップ2におけるC36ガス供給流量、供給時間、分圧等を調整することにより、C濃度が10at%以上15at%以下であるSiCN膜を形成する。上述のサイクルは、複数回繰り返すのが好ましい。すなわち、1サイクルあたりに形成される第3層の厚さを所望の膜厚よりも薄くし、第3層を積層することで形成される膜の膜厚が所望の膜厚になるまで、上述のサイクルを複数回繰り返すのが好ましい。
(アフターパージおよび大気圧復帰)
成膜ステップが終了した後、ガス供給管232c,232dのそれぞれからN2ガスを処理室201内へ供給し、排気管231から排気する。これにより、処理室201内がパージされ、処理室201内に残留するガスや反応副生成物等が処理室201内から除去される(アフターパージ)。その後、処理室201内の雰囲気が不活性ガスに置換され(不活性ガス置換)、処理室201内の圧力が常圧に復帰される(大気圧復帰)。
(ボートアンロードおよびウエハディスチャージ)
その後、ボートエレベータ115によりシールキャップ219が下降され、反応管203の下端が開口される。そして、処理済のウエハ200、すなわち、SiCN膜が形成された後のウエハ200が、ボート217に支持された状態で、反応管203の下端から反応管203の外部に搬出(ボートアンロード)される。処理済のウエハ200は、反応管203の外部に搬出された後、ボート217より取り出される(ウエハディスチャージ)。その後、処理済のウエハ200は、次の工程を行う基板処理装置、例えば、他の膜を形成する基板処理装置へ搬送されることとなる。
(3)フォトリソグラフィ工程
次に、半導体装置の製造工程の一工程として、ウエハ200上に形成された上述のSiCN膜を含む各種膜を加工してパターンを形成するフォトリソグラフィ工程について、図5を用いて説明する。
[ステップS10、各種膜形成工程]
フォトリソグラフィ工程の前に、ウエハ200上に各種膜が形成される。具体的には、例えば上述の基板処理装置(基板処理ユニット)を用いて、上述した膜形成工程によりウエハ200上に上述のSiCN膜を形成する。なお、SiCN膜を形成する前、および/または、SiCN膜を形成した後、次のステップS11のレジスト層形成工程前に他の膜を形成してもよい。この場合、ウエハ200上には、少なくともSiCN膜を含む各種膜が形成されることとなる。
[ステップS11、レジスト層形成工程]
上述のSiCN膜を含む各種膜を形成する成膜処理がなされたウエハ200は、基板処理装置(基板処理ユニット)としてのレジスト塗布装置(コータ)に搬送され、そこで成膜処理がなされたウエハ200の表面上にレジストが塗布されて、レジスト層が形成される。
[ステップS12、露光工程]
レジスト層が形成されたウエハ200は、基板処理装置(基板処理ユニット)としての露光装置(ステッパ)に搬送され、そこで所定のパターンで露光処理が行われる。
[ステップS13、現像工程]
露光処理がなされたウエハ200は、基板処理装置(基板処理ユニット)としての現像装置(ディべロッパ)に搬送され、そこで現像処理が行われ、レジスト層に所定のパターン(レジストパターン)が形成される。
[ステップS14、エッチング工程]
レジストパターンが形成されたウエハ200は、基板処理装置(基板処理ユニット)としてのエッチング装置(エッチャ)に搬送され、そこでレジストパターンをマスクとしてエッチング処理が行われる。これにより、SiCN膜を含む各種膜のうち少なくとも一部の膜がウエハ200の表面に露出することとなる。ここでは、少なくともSiCN膜がウエハ200の表面に露出するケースについて説明する。
[ステップS15、アッシング工程]
エッチング処理がなされたウエハ200は、基板処理装置(基板処理ユニット)としてのアッシング装置(アッシャ)に搬送され、そこでウエハ200に対してアッシング処理が行われる。アッシング処理は、例えば、O2ガス等の酸素含有ガスを用いたプラズマ処理(酸素プラズマ処理)であり、この処理を、プラズマ酸化処理と呼ぶこともでき、単に酸化処理と呼ぶこともできる。アッシング処理では、エッチング処理がなされた後の、少なくともSiCN膜が表面に露出したウエハ200に対して、酸素含有ガスをプラズマ励起させて供給する。これにより、ウエハ200上に残ったレジストパターンが除去され、その際、ウエハ200の表面に露出したSiCN膜は酸素プラズマ(酸素ラジカル、酸素イオン等)に曝され、酸化処理が施されることとなる。
[ステップS16、HF洗浄工程]
アッシング処理がなされたウエハ200は、基板処理装置(基板処理ユニット)としての洗浄装置に搬送され、そこでウエハ200に対してHFを用いた処理が行われる。HF洗浄処理では、アッシング処理、すなわち、酸化処理がなされた後のSiCN膜が表面に露出したウエハ200に対して、HFが供給され、エッチング処理がなされる。なお、エッチング処理としては、HF水溶液を用いたウェットエッチング処理を行うようにしてもよいし、HFガスを用いたドライエッチング処理を行うようにしてもよい。これにより、ウエハ200の表面が洗浄され、不純物や自然酸化膜が除去される。
なお、上述したレジスト層形成工程(ステップS11)から現像工程(ステップS13)までをフォトリソグラフィ工程と呼ぶこともあり、また、レジスト層形成工程(ステップS11)からアッシング工程(ステップS15)までをフォトリソグラフィ工程と呼ぶこともある。本明細書では、フォトリソグラフィ工程という言葉を、主に後者の意味で用いている。また、上述した工程間において、ウエハ200は、例えばクリーンボックスであるポッド等の基板収納容器に複数枚収容されて搬送される。
(4)本実施形態による効果
上述したように、フォトリソグラフィ工程において、ウエハ200上に形成されたSiCN膜を含む各種膜を加工してパターンを形成する場合、エッチング工程において表面に露出したSiCN膜は、アッシング工程において酸素プラズマに曝された後に、HF洗浄工程においてHFに曝されることとなる。
SiCN膜はHF耐性を有する絶縁膜であるが、アッシング処理等の酸化処理により酸化されるとHF耐性が悪化してしまう。以下、酸化処理前のHF耐性をエッチング耐性と称し、酸化処理後のHF耐性をアッシング耐性と称する。
本実施形態によれば、SiCN膜の成膜直後のアズデポ状態でのC濃度を10at%以上15at%以下とすることで、酸化処理前においても酸化処理後においても優れたHF耐性を有する絶縁膜を形成することが可能となる、すなわち、優れたエッチング耐性と優れたアッシング耐性の両方の加工耐性を備えた膜を形成することが可能となる。
上述の効果は、HCDSガス以外の上述の要件を満たすガスを原料ガスとして用いる場合にも、同様に得ることができる。また、上述の効果は、NH3ガス以外の窒化剤を用いる場合や、C36ガス以外の炭素含有ガスを用いる場合や、N2ガス以外の不活性ガスを用いる場合にも、同様に得ることができる。
<実施例>
以下、実施例について説明する。
図1に示す基板処理装置を用い、図4に示す基板処理シーケンスにより、ウエハ200上にSiCN膜を形成し複数のサンプルを作製した。具体的には、SiCN膜形成時の処理温度を600℃として膜中C濃度を異ならせたサンプルを複数作製し、また、処理温度を630℃として膜中C濃度を異ならせたサンプルを複数作製し、また、処理温度を680℃として膜中C濃度を異ならせたサンプルを複数作製した。
そして、各サンプルのアッシング処理前のSiCN膜のウェットエッチングレート(WER)、すなわち、アズデポ状態でのSiCN膜のWERを測定した。また、各サンプルのアッシング処理後のSiCN膜のWERを測定した。図6(A)及び図6(B)に、それぞれの結果を示す。図6(A)及び図6(B)の縦軸は、各サンプルを1%のDHF(H2Oで希釈したHF水溶液)に浸した場合のWER[Å/min]を示しており、横軸は、SiCN膜のアズデポ状態でのC濃度[at%]を示している。
図6(A)は、ウエハ200上に形成されたSiCN膜のアズデポ状態でのC濃度に対するSiCN膜のWERを示している。図6(A)に示されているように、600℃、630℃及び680℃でSiCN膜が形成されたいずれのサンプルもC濃度が高くなるほどWERが低くなること、すなわちウェットエッチング耐性が高くなることが確認された。特に、600℃、630℃及び680℃で形成されたいずれのサンプルもSiCN膜のアズデポ状態でのC濃度が10at%以上、好ましくは11at%以上となるとWERの低下が顕著となり、5Å/min以下となることが確認された。一方、SiCN膜のアズデポ状態でのC濃度が10at%未満の場合には、C濃度が不充分(C不足)であるため、ウェットエッチング耐性は、SiN膜とあまり変わらないことが確認された。つまり、SiCN膜のアズデポ状態でのC濃度が10at%以上、好ましくは11at%以上となるとウェットエッチング耐性が充分に高くなることが確認された。
図6(B)は、ウエハ200上に形成されたSiCN膜のアズデポ状態でのC濃度に対するアッシング処理後のSiCN膜のWERを示している。図6(B)に示されているように、630℃、680℃でSiCN膜が形成されたサンプルは、SiCN膜のアズデポ状態でのC濃度が15at%以下の場合には、C濃度が高くなるほどWERが低くなり、C濃度が10at%以上15at%以下の場合にWERが最も低くなること、すなわちアッシング耐性が最も高くなることが確認された。また、630℃、680℃で形成されたサンプルは、SiCN膜のアズデポ状態でのC濃度が15%を超えると、WERが高くなり、アッシング耐性が低下することが確認された。なお、600℃で形成されたサンプルは、他のサンプルに比べイレギュラーであり、SiCN膜のアズデポ状態でのC濃度が10at%以上11at%以下の場合に、WERが最も低くなることが確認された。すなわち、SiCN膜のアズデポ状態でのC濃度が10at%以上となるとアッシング耐性は高くなるが、15at%を超えるとWERが上昇して、アッシング耐性は低くなることが確認された。これは、膜中のC濃度が所定濃度を超えた為に、アッシング処理時におけるSiCN膜中からのCの脱離現象及びSiCN膜の酸化反応がより顕著となり、アッシング耐性が低下したものと考えられる。
すなわち、SiCN膜のアズデポ状態でのC濃度を10at%未満とすれば、ウェットエッチング耐性およびアッシング耐性が低下する。また、SiCN膜のアズデポ状態でのC濃度を15at%より高くするとアッシング耐性が低下する。つまり、SiCN膜のアズデポ状態でのC濃度を10at%以上15at%以下、好ましくは11at%以上15at%以下とすることにより、ウェットエッチング耐性およびアッシング耐性を両立させることが可能であることが確認された。
また、SiCN膜を形成する際は、ウエハ200の温度を、600℃以上680℃以下とするのが好ましく、630℃以上680℃以下とすることで、上述の効果がより顕著となり、より好ましいことが確認された。
また、ウエハ200上におけるSiCN膜のアズデポ状態でのC濃度を10at%以上15at%以下とし、このアズデポ状態のSiCN膜(図7(A))に対してアッシング処理を行うと、SiCN膜の表面から1nm以上2nm以下、特に1nm以上1.5nm以下の領域(以下、第1の深さ領域と称する)におけるC濃度は変化して少なくなる。また、SiCN膜の表面から1nm以上2nm以下、特に1nm以上1.5nm以下の領域よりも深い領域(以下、第2の深さ領域と称する)におけるC濃度は変化することなく、維持される(図7(B))。
すなわち、アズデポ状態でのC濃度が10at%以上15at%以下のSiCN膜に対して、アッシング処理を行うと、SiCN膜の第1の深さ領域が酸化され、その領域におけるCがOに置き換わり、それによりCが脱離する。一方、SiCN膜の第2の深さ領域は、酸化されることはなく、その領域におけるCは脱離することなく維持される。
つまり、SiCN膜のアズデポ状態でのC濃度を10at%以上15at%以下とすることで、アッシング処理によるSiCN膜の酸化を、SiCN膜の表面から1nm以上2nm以下、特に1nm以上1.5nm以下の領域に留めることが可能となり、それよりも深い領域においては酸化反応を生じさせず、アズデポ状態でのC濃度を保持することが可能となる。これによりアッシング耐性が高められているものと考えられる。
すなわち、アッシング処理により、ウエハ200上のSiCN膜の表面から1nm以上2nm以下、特に1nm以上1.5nm以下の領域(第1の深さ領域)におけるC濃度がアズデポ状態でのC濃度から変化し、それよりも深い領域(第2の深さ領域)におけるC濃度がアズデポ状態でのC濃度から変化することなくそのC濃度を維持するようなSiCN膜を形成することにより、アッシング耐性を高めることができる。
<他の実施形態>
以上、本発明の実施形態を具体的に説明した。但し、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
例えば、本発明は、反応体としてのC含有ガスとしてC36ガスを用いる例について説明したが、これに限らず、C含有ガスとしてトリエチルアミン(C253N、略称:TEA)ガスを用いて、以下のシーケンスにより、C濃度10at%以上15at%以下のSiCN膜を形成する場合にも、好適に適用することができる。
(HCDS→TEA→NH3)×n ⇒ SiCN
(HCDS→TEA+NH3)×n ⇒ SiCN
また、上述の実施形態では、基板処理装置(基板処理ユニット)として、成膜装置、レジスト塗布装置、露光装置、現像装置、エッチング装置、アッシング装置、洗浄装置等の複数のスタンドアローン型装置で構成される基板処理システムを用いて各処理を行う例について説明した。本発明は上述の実施形態に限定されず、各処理を行う複数の基板処理ユニットとしての複数の処理室が搬送室の回りに設けられたクラスタ型装置として構成される基板処理システムを用いて各処理を行う場合にも、好適に適用できる。
基板処理に用いられるレシピは、処理内容に応じて個別に用意し、電気通信回線や外部記憶装置123を介して記憶装置121c内に格納しておくことが好ましい。そして、基板処理を開始する際、CPU121aが、記憶装置121c内に格納された複数のレシピの中から、基板処理の内容に応じて、適正なレシピを適宜選択することが好ましい。これにより、1台の基板処理装置で様々な膜種、組成比、膜質、膜厚の膜を、再現性よく形成することができるようになる。また、オペレータの負担を低減でき、操作ミスを回避しつつ、処理を迅速に開始できるようになる。
上述のレシピは、新たに作成する場合に限らず、例えば、基板処理装置に既にインストールされていた既存のレシピを変更することで用意してもよい。レシピを変更する場合は、変更後のレシピを、電気通信回線や当該レシピを記録した記録媒体を介して、基板処理装置にインストールしてもよい。また、既存の基板処理装置が備える入出力装置122を操作し、基板処理装置に既にインストールされていた既存のレシピを直接変更するようにしてもよい。
上述の実施形態では、一度に複数枚の基板を処理するバッチ式の基板処理装置を用いて膜を形成する例について説明した。本発明は上述の実施形態に限定されず、例えば、一度に1枚または数枚の基板を処理する枚葉式の基板処理装置を用いて膜を形成する場合にも、好適に適用できる。また、上述の実施形態では、ホットウォール型の処理炉を有する基板処理装置を用いて膜を形成する例について説明した。本発明は上述の実施形態に限定されず、コールドウォール型の処理炉を有する基板処理装置を用いて膜を形成する場合にも、好適に適用できる。
これらの基板処理装置を用いる場合においても、上述の実施形態と同様な処理手順、処理条件にて成膜を行うことができ、これらと同様の効果が得られる。
また、上述の実施形態は、適宜組み合わせて用いることができる。このときの処理手順、処理条件は、例えば、上述の実施形態の処理手順、処理条件と同様とすることができる。
<本発明の好ましい態様>
以下、本発明の好ましい態様について付記する。
(付記1)
本発明の一態様によれば、
基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する工程と、
前記膜が表面に露出した前記基板に対して酸化処理を行う工程と、
前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う工程と、
を有する半導体装置の製造方法、または、基板処理方法が提供される。
(付記2)
付記1に記載の方法であって、好ましくは、
前記酸化処理は酸素含有ガスを用いたプラズマ処理である。
(付記3)
付記1または2に記載の方法であって、
前記酸化処理を行う前に、前記基板上にレジストパターンを形成する工程と、
前記レジストパターンが形成された前記基板に対して前記レジストパターンをマスクとしてエッチング処理を行う工程と、
をさらに有し、前記酸化処理は前記エッチング処理を行った後に前記基板上に残った前記レジストパターンを除去するアッシング処理である。
(付記4)
付記1〜3のいずれか1項に記載の方法であって、
前記酸化処理を行う前に、前記基板上にレジスト層を形成する工程と、
前記レジスト層を露光して現像することでレジストパターンを形成する工程と、
前記レジストパターンが形成された前記基板に対して前記レジストパターンをマスクとしてエッチング処理を行う工程と、
をさらに有し、前記酸化処理は前記エッチング処理を行った後に前記基板上に残った前記レジストパターンを除去するアッシング処理である。
(付記5)
付記1〜4のいずれか1項に記載の方法であって、好ましくは、
前記フッ化水素を用いた処理は前記基板の表面を洗浄する処理である。
(付記6)
付記1〜5のいずれか1項に記載の方法であって、好ましくは、
前記フッ化水素を用いた処理は前記基板に対するエッチング処理である。
(付記7)
付記1〜6のいずれか1項に記載の方法であって、好ましくは、
前記フッ化水素を用いた処理は前記基板に対するウェットエッチング処理である。
(付記8)
付記1〜7のいずれか1項に記載の方法であって、好ましくは、
前記膜を形成する工程では、前記基板の温度を600℃以上680℃以下とする。
(付記9)
付記1〜8のいずれか1項に記載の方法であって、好ましくは、
前記膜を形成する工程では、前記基板の温度を630℃以上680℃以下とする。
(付記10)
付記1〜9のいずれか1項に記載の方法であって、好ましくは、
前記酸化処理により前記膜の第1の深さ領域における炭素濃度が変化し、前記膜の前記第1の深さ領域よりも深い第2の深さ領域における炭素濃度が変化することなく維持されるようにならしめる。
(付記11)
付記1〜10のいずれか1項に記載の方法であって、好ましくは、
前記膜を形成する工程では、前記酸化処理により前記膜の第1の深さ領域における炭素濃度が変化し、前記膜の前記第1の深さ領域よりも深い第2の深さ領域における炭素濃度が変化することなく維持されるような前記膜を形成する。
(付記12)
付記10または11に記載の方法であって、好ましくは、
前記第1の深さ領域は、前記膜の表面から1nm以上2nm以下の領域であり、
前記第2の深さ領域は、前記膜の表面から1nm以上2nm以下の領域よりも深い領域である。
(付記13)
付記10または11に記載の方法であって、好ましくは、
前記第1の深さ領域は、前記膜の表面から1nm以上1.5nm以下の領域であり、
前記第2の深さ領域は、前記膜の表面から1nm以上1.5nm以下の領域よりも深い領域である。
(付記14)
本発明の他の態様によれば、
基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する第1基板処理ユニットと、
前記膜が表面に露出した前記基板に対して酸化処理を行う第2基板処理ユニットと、
前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う第3基板処理ユニットと、
を有する基板処理システムが提供される。
(付記15)
本発明のさらに他の態様によれば、
第1基板処理ユニットと、第2基板処理ユニットと、第3基板処理ユニットと、を有する基板処理システムにおいて、
前記第1基板処理ユニットにおいて、基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する手順と、
前記第2基板処理ユニットにおいて、前記膜が表面に露出した前記基板に対して酸化処理を行う手順と、
前記第3基板処理ユニットにおいて、前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う手順と、
をコンピュータによって前記基板処理システムに実行させるプログラム、または、該プログラムを記録したコンピュータ読み取り可能な記録媒体が提供される。
200 ウエハ(基板)

Claims (16)

  1. 基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する工程と、
    前記膜が表面に露出した前記基板に対して酸化処理を行う工程と、
    前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う工程と、
    を有し、
    前記酸化処理により前記膜の第1の深さ領域における炭素濃度が変化し、前記膜の前記第1の深さ領域よりも深い第2の深さ領域における炭素濃度が変化することなく維持されるようにならしめる半導体装置の製造方法。
  2. 基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する工程と、
    前記膜が表面に露出した前記基板に対して酸化処理を行う工程と、
    前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う工程と、
    を有し、
    前記膜を形成する工程では、前記酸化処理により前記膜の第1の深さ領域における炭素濃度が変化し、前記膜の前記第1の深さ領域よりも深い第2の深さ領域における炭素濃度が変化することなく維持されるような前記膜を形成する半導体装置の製造方法
  3. 前記酸化処理は酸素含有ガスを用いたプラズマ処理である請求項1又は2に記載の半導体装置の製造方法。
  4. 前記酸化処理を行う前に、前記基板上にレジストパターンを形成する工程と、
    前記レジストパターンが形成された前記基板に対して前記レジストパターンをマスクとしてエッチング処理を行う工程と、
    をさらに有し、
    前記酸化処理は前記エッチング処理を行った後に前記基板上に残った前記レジストパターンを除去するアッシング処理である請求項1〜3のいずれか1項に記載の半導体装置の製造方法。
  5. 前記酸化処理を行う前に、前記基板上にレジスト層を形成する工程と、
    前記レジスト層を露光して現像することでレジストパターンを形成する工程と、
    前記レジストパターンが形成された前記基板に対して前記レジストパターンをマスクとしてエッチング処理を行う工程と、
    をさらに有し、前記酸化処理は前記エッチング処理を行った後に前記基板上に残った前記レジストパターンを除去するアッシング処理である請求項1〜3のいずれか1項に記載の半導体装置の製造方法。
  6. 前記フッ化水素を用いた処理は前記基板の表面を洗浄する処理である請求項1〜5のいずれか1項に記載の半導体装置の製造方法。
  7. 前記フッ化水素を用いた処理は前記基板に対するエッチング処理である請求項1〜6のいずれか1項に記載の半導体装置の製造方法。
  8. 前記フッ化水素を用いた処理は前記基板に対するウェットエッチング処理である請求項1〜7のいずれか1項に記載の半導体装置の製造方法。
  9. 前記膜を形成する工程では、前記基板の温度を600℃以上680℃以下とする請求項1〜8のいずれか1項に記載の半導体装置の製造方法。
  10. 前記膜を形成する工程では、前記基板の温度を630℃以上680℃以下とする請求項1〜8のいずれか1項に記載の半導体装置の製造方法。
  11. 前記第1の深さ領域は、前記膜の表面から1nm以上2nm以下の領域であり、
    前記第2の深さ領域は、前記膜の表面から1nm以上2nm以下の領域よりも深い領域である請求項1〜10のいずれか1項に記載の半導体装置の製造方法。
  12. 前記第1の深さ領域は、前記膜の表面から1nm以上1.5nm以下の領域であり、
    前記第2の深さ領域は、前記膜の表面から1nm以上1.5nm以下の領域よりも深い領域である請求項1〜10のいずれか1項に記載の半導体装置の製造方法。
  13. 基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する第1基板処理ユニットと、
    前記膜が表面に露出した前記基板に対して酸化処理を行う第2基板処理ユニットと、
    前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う第3基板処理ユニットと、
    を有する基板処理システムであって、
    前記第2基板処理ユニットは、前記酸化処理により前記膜の第1の深さ領域における炭素濃度が変化し、前記膜の前記第1の深さ領域よりも深い第2の深さ領域における炭素濃度が変化することなく維持されるようにならしめるよう構成される基板処理システム
  14. 基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する第1基板処理ユニットと、
    前記膜が表面に露出した前記基板に対して酸化処理を行う第2基板処理ユニットと、
    前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う第3基板処理ユニットと、
    を有する基板処理システムであって、
    前記第1基板処理ユニットは、前記酸化処理により前記膜の第1の深さ領域における炭素濃度が変化し、前記膜の前記第1の深さ領域よりも深い第2の深さ領域における炭素濃度が変化することなく維持されるような前記膜を形成するよう構成される基板処理システム。
  15. 第1基板処理ユニットと、第2基板処理ユニットと、第3基板処理ユニットと、を有する基板処理システムにおいて、
    前記第1基板処理ユニットにおいて、基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する手順と、
    前記第2基板処理ユニットにおいて、前記膜が表面に露出した前記基板に対して酸化処理を行う手順と、
    前記第3基板処理ユニットにおいて、前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う手順と、
    前記酸化処理により前記膜の第1の深さ領域における炭素濃度が変化し、前記膜の前記第1の深さ領域よりも深い第2の深さ領域における炭素濃度が変化することなく維持されるようにならしめる手順と、
    をコンピュータによって前記基板処理システムに実行させるプログラム。
  16. 第1基板処理ユニットと、第2基板処理ユニットと、第3基板処理ユニットと、を有する基板処理システムにおいて、
    前記第1基板処理ユニットにおいて、基板上に炭素濃度が10at%以上15at%以下であるシリコン、炭素、および窒素を含む膜を形成する手順と、
    前記第2基板処理ユニットにおいて、前記膜が表面に露出した前記基板に対して酸化処理を行う手順と、
    前記第3基板処理ユニットにおいて、前記酸化処理がなされた後の前記膜が表面に露出した前記基板に対してフッ化水素を用いた処理を行う手順と、
    前記膜を形成する手順において、前記酸化処理により前記膜の第1の深さ領域における炭素濃度が変化し、前記膜の前記第1の深さ領域よりも深い第2の深さ領域における炭素濃度が変化することなく維持されるような前記膜を形成する手順と、
    をコンピュータによって前記基板処理システムに実行させるプログラム。
JP2018027565A 2018-02-20 2018-02-20 半導体装置の製造方法、基板処理システムおよびプログラム Active JP6806721B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2018027565A JP6806721B2 (ja) 2018-02-20 2018-02-20 半導体装置の製造方法、基板処理システムおよびプログラム
US16/269,368 US10790136B2 (en) 2018-02-20 2019-02-06 Method of manufacturing semiconductor device, substrate processing system and non-transitory computer-readable recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018027565A JP6806721B2 (ja) 2018-02-20 2018-02-20 半導体装置の製造方法、基板処理システムおよびプログラム

Publications (2)

Publication Number Publication Date
JP2019145630A JP2019145630A (ja) 2019-08-29
JP6806721B2 true JP6806721B2 (ja) 2021-01-06

Family

ID=67618112

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018027565A Active JP6806721B2 (ja) 2018-02-20 2018-02-20 半導体装置の製造方法、基板処理システムおよびプログラム

Country Status (2)

Country Link
US (1) US10790136B2 (ja)
JP (1) JP6806721B2 (ja)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3810309B2 (ja) * 2001-12-03 2006-08-16 Necエレクトロニクス株式会社 半導体装置の製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP2007208069A (ja) * 2006-02-02 2007-08-16 Elpida Memory Inc 半導体装置及びその製造方法
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8609497B2 (en) * 2010-02-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of dual EPI process for semiconductor device
JP5654862B2 (ja) 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP6035161B2 (ja) * 2012-03-21 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9349608B2 (en) * 2013-12-13 2016-05-24 Globalfoundries Inc. Methods of protecting a dielectric mask layer and related semiconductor devices
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films

Also Published As

Publication number Publication date
US20190259603A1 (en) 2019-08-22
JP2019145630A (ja) 2019-08-29
US10790136B2 (en) 2020-09-29

Similar Documents

Publication Publication Date Title
US9587308B2 (en) Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9732426B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9394607B2 (en) Substrate processing apparatus
JP6568508B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP6960953B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US10910214B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6953480B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP6778166B2 (ja) 半導体装置の製造方法
US20160284539A1 (en) Method of Manufacturing Semiconductor Device
JP6055879B1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2018157095A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7371281B2 (ja) 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
JP6760833B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US20240038530A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
WO2017158848A1 (ja) 半導体装置の製造方法、基板処理装置、および記録媒体
WO2021009838A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US11728165B2 (en) Method of processing substrate, substrate processing apparatus, recording medium, and method of manufacturing semiconductor device
JP6806721B2 (ja) 半導体装置の製造方法、基板処理システムおよびプログラム
JP6731527B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
WO2022064586A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP2021141152A (ja) クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP2022023076A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP2022174756A (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20180727

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180821

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20180829

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190905

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200630

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200706

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200902

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20201102

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201204

R150 Certificate of patent or registration of utility model

Ref document number: 6806721

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250