JP2004221275A - 有機絶縁膜及びその製造方法及び有機絶縁膜を用いた半導体装置及びその製造方法。 - Google Patents

有機絶縁膜及びその製造方法及び有機絶縁膜を用いた半導体装置及びその製造方法。 Download PDF

Info

Publication number
JP2004221275A
JP2004221275A JP2003006285A JP2003006285A JP2004221275A JP 2004221275 A JP2004221275 A JP 2004221275A JP 2003006285 A JP2003006285 A JP 2003006285A JP 2003006285 A JP2003006285 A JP 2003006285A JP 2004221275 A JP2004221275 A JP 2004221275A
Authority
JP
Japan
Prior art keywords
film
insulating film
semiconductor device
sioch
organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003006285A
Other languages
English (en)
Other versions
JP3898133B2 (ja
Inventor
Koichi Ooto
光市 大音
Tatsuya Usami
達矢 宇佐美
Noboru Morita
昇 森田
Kazuhiko Endo
和彦 遠藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
NEC Corp
Original Assignee
NEC Electronics Corp
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp, NEC Corp filed Critical NEC Electronics Corp
Priority to JP2003006285A priority Critical patent/JP3898133B2/ja
Priority to TW092137529A priority patent/TWI269373B/zh
Priority to US10/748,821 priority patent/US20040152334A1/en
Priority to KR1020040002722A priority patent/KR100649917B1/ko
Priority to CN2004100018552A priority patent/CN1518075B/zh
Publication of JP2004221275A publication Critical patent/JP2004221275A/ja
Priority to US11/534,941 priority patent/US7763979B2/en
Application granted granted Critical
Publication of JP3898133B2 publication Critical patent/JP3898133B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D35/00Filtering devices having features not specifically covered by groups B01D24/00 - B01D33/00, or for applications not specifically covered by groups B01D24/00 - B01D33/00; Auxiliary devices for filtration; Filter housing constructions
    • B01D35/14Safety devices specially adapted for filtration; Devices for indicating clogging
    • B01D35/147Bypass or safety valves
    • B01D35/1475Pressure relief valves or pressure control valves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J47/00Ion-exchange processes in general; Apparatus therefor
    • B01J47/014Ion-exchange processes in general; Apparatus therefor in which the adsorbent properties of the ion-exchanger are involved, e.g. recovery of proteins or other high-molecular compounds
    • CCHEMISTRY; METALLURGY
    • C02TREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02FTREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02F1/00Treatment of water, waste water, or sewage
    • C02F1/42Treatment of water, waste water, or sewage by ion-exchange
    • CCHEMISTRY; METALLURGY
    • C02TREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02FTREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02F5/00Softening water; Preventing scale; Adding scale preventatives or scale removers to water, e.g. adding sequestering agents
    • C02F5/08Treatment of water with complexing chemicals or other solubilising agents for softening, scale prevention or scale removal, e.g. adding sequestering agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Hydrology & Water Resources (AREA)
  • Environmental & Geological Engineering (AREA)
  • Water Supply & Treatment (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Silicon Polymers (AREA)
  • Formation Of Insulating Films (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

【課題】現在、一般的に検討されているSiCやSiCNは比誘電率が4.5から5程度、SiOCは2.8から3.0程度である。
デバイスの縮小化により、配線サイズと配線間隔の微細化が更に進むと、比誘電率の更なる低減が求められている。
また、SiOCとSiCN及び、SiCとのエッチング選択比がちいさいために、エッチングストッパ膜として、SiCN及びSiCを用いた場合、金属配線層の表面が、フォトレジストを除去する際に酸化し、接続抵抗が高くなるという問題がある。
【構成】少なくともC/Si比が5以上で、且つ、分子量が100以上の有機シランを原料として形成された、SiOCH、SiCNH及び、SiCHからなる有機絶縁膜、及び、該有機絶縁膜を用いた半導体装置、特に、溝構造を有する半導体装置に関するものである。
【選択図】 図2

Description

【0001】
【発明の属する技術分野】
本発明は有機絶縁膜及びそれを用いた半導体装置に関し、特に、低誘電率有機絶縁膜及びその製造方法、ならびに、低誘電率有機絶縁膜を層間絶縁膜に用いた多層配線構造の半導体装置及びその製造方法に関する。
【0002】
【従来の技術】
ICの製造分野では、デバイスの高速化、高集積化にともない、デバイス設計ルールの縮小化が進んできている。デバイスの縮小化により、配線サイズと配線間隔の微細化が進むと、それに反比例して配線抵抗や配線間容量が増加していく傾向にある。配線抵抗や配線間容量が増加するとRC時定数が大きくなるため、信号の伝播速度の低下を招き、デバイスの高速化をおこなう上で問題となっている。
【0003】
このため、配線抵抗と配線間容量の低減がデバイスの高速化を進めていく上で重要となってきている。配線抵抗を低減する方法としては、配線材料として広く用いられてきていたAlよりも比抵抗の低いCuを配線材料として用いた技術、製品が普及してきている。
【0004】
また、配線間容量は、配線の面積、配線間の絶縁膜の比誘電率に比例し、配線間隔に反比例して増加するため、デバイスのデザインを変更せずに配線間容量を減少する方法としては、例えば、従来の酸化膜(SiO)や窒化膜(SiN)よりも比誘電率の低い絶縁膜が検討されている。
【0005】
Cuを配線材料して用いる場合、ドライエッチング技術によるCuの微細加工が困難なことから、通常、図1に示すようなダマシン配線構造が一般的に広く使われている。
【0006】
ダマシン配線の形成方法は、まず、SiO下地層間絶縁膜0001上に、その後に形成されるSiO配線溝層間膜0002とのエッチング選択性に優れたエッチングストッパSiN絶縁膜0003をSiHとNHとNを用いた平行平板型プラズマCVD方法により50〜150nmの厚さで成膜し、次いでSiO配線層間絶縁膜0002を400〜1000nm程度の厚さで成膜する。それから、フォトリソグラフィーとドライエッチング技術により溝パターンを形成し、Oドライアッシング技術とウェット剥離技術によりレジストパターンを除去する。それから溝パターンを、スパッタリング技術とメッキ技術を用いてTaやTaNといったCuの拡散を防止するためのバリアメタルとCuで埋め込み、CMPによりSiO配線層間絶縁膜0002上の余分なCuとバリアメタルを除去してCu配線0007を形成する。
【0007】
ダマシン配線形成後、層間絶縁膜を形成する場合、CuがSiOと容易に反応して拡散してしまうため、通常は拡散防止絶縁膜(バリア絶縁膜)としてSiHとNHとNを用いた平行平板型プラズマCVDによるSiN膜0012をCu上に50〜100nm程度成膜してから、SiOビア層間絶縁膜0010を成膜している。
【0008】
この場合、SiNはCuの拡散防止のためだけではなく、Cuの溝エッチングを行なう際、また、Cuのダマシン配線上にビアホールを開口する際、Cu表面がSiOのエッチングやOレジストアッシングの雰囲気に晒されるのを防止するため、SiOのエッチングストップ層としての役割も担うことになる。このようにSiNは拡散防止とエッチングストップ層としての機能が求められている。
【0009】
近年では、さらに配線間の寄生容量を低減するため、従来のSiOの比誘電率4.1よりも比誘電率の低いSiOFやSiOC等の有機絶縁膜が、また、SiNの比誘電率7よりも比誘電率が低い4MS(テトラメチルシラン)や3MS(トリメチルシラン)を原料とした平行平板型プラズマCVDによるSiCやSiCNといった比誘電率4.5から5程度の有機絶縁膜が広く検討されてきている。
【0010】
図15(a)〜図16(c)は、従来の3MSを原料ガスとして用いて成膜したSiC膜やSiCN膜を用いた従来例である。
【0011】
第1のCu配線805を形成後、上記のガスにより、第2のSiCN膜806を成膜した。次に、第2のSiOC膜807、同様に上記のガスにより形成した第3のSiCN膜808、その上の第3のSiOC膜809、第2のSiO膜810が成膜されている。
【0012】
図15(a)のように、ビア用レジストパターンが形成されたフォトレジストをマスクに、第2のSiO膜810、第3のSiOC膜809、第3のSiCN膜808、第2のSiOC膜807、をエッチングし、第2のSiCN膜806上でエッチングを停止させる。
【0013】
しかしながら、SiOCとSiCNとのエッチング選択比がちいさく、図15(b)に示すように下層の配線上に抜けてしまう場合がある。その後フォトレジストを剥離するため、酸素ガスにより、アッシングを行ったが、この場合、エッチングで抜けた場所のCu配線は、銅の酸化層831が形成されてしまう。これは、SiOCとSiCの場合でも同様である。
【0014】
次に図15(c)に示すように、反射防止膜を塗布した後、フォトレジスト818により、第2の溝配線用レジストパターン819を形成した。
【0015】
図15(d)のように、フォトレジスト818をマスクに、第2のSiO膜810、第3のSiC膜808、をエッチングした。その後、フォトレジスト818を酸素アッシングにより剥離したが、ここで前記のCuの酸化層831はさらに酸化され、その後有機剥離をおこなった。
【0016】
図16(a)のように、全面エッチバックを行い、第2のSiCN膜806をエッチングした。次に図16(b)のように第2のTa/TaN膜を形成し、その後、第2のCu膜を形成した。CMPにより、溝配線以外のメタルを除去し、第2のCu配線を形成した。その上に、図16(c)のように第4のSiCHN膜を形成した。
【0017】
【特許文献1】
特表2002―526916号公報
【0018】
【発明が解決しようとする課題】
現在、一般的に検討されている4MS(テトラメチルシラン)や3MS(トリメチルシラン)を原料とした平行平板プラズマCVDによるSiCやSiCNは比誘電率が4.5から5程度、SiOCは2.8から3.0程度である。
【0019】
デバイスの縮小化により、配線サイズと配線間隔の微細化が更に進むと、比誘電率の更なる低減が求められている。
【0020】
また、SiOCとSiCN及びSiCとのエッチング選択比がちいさいために、エッチングストッパ膜として、SiCN及びSiCを用いた場合、金属配線層の表面が、フォトレジストを除去する際に酸化し、接続抵抗が高くなるという問題がある。
【0021】
【課題を解決するための手段】
本発明は、半導体装置に用いると効果的な誘電率の低い有機絶縁膜と該有機絶縁膜を用いた半導体装置にかかわるものである。
【0022】
本発明の誘電率の低い有機絶縁膜は、少なくともC/Si比が5以上で、且つ、分子量が100以上の有機シランを原料として形成された有機絶縁膜である。この有機絶縁膜は、分子量が100以上の有機シランを原料としてプラズマCVD法により成膜される。
【0023】
有機シランは、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランであることが望ましい。
【0024】
さらに、有機絶縁膜は、C=C結合を有していることが望ましく、さらにビニル基を有しているほうが耐熱性に優れている。
【0025】
この場合、原料となる有機シランは、少なくとも一部にビニル基を有しているほうが良い。この、少なくとも一部にビニル基を有する前記有機シランはトリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン及び、テトラビニルシランからなる群から選択される1以上の有機シランであることが望ましい。
【0026】
特に、SiOCH膜の場合、原料ガスは、少なくともC/Si比が5以上で、且つ、分子量が100以上の有機シランと酸化剤と不活性ガスが必要である。不活性ガスは、ヘリウム、アルゴン、キセノンのいずれかであればよく、酸化剤は、O、O、HO、CO、COのいずれかであればよい。
【0027】
酸化剤は窒素を含有する酸化ガスでもかまわないが、現在使われているノボラック系のフォトレジストには適さない。
【0028】
原料となる、有機シランは、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランであればよいが、耐熱性を向上させる点では、ビニル基を有する方がよい。
【0029】
SiCH膜の場合、原料ガスは、C/Si比が5以上で、且つ、分子量が100以上の有機シランとヘリウム、アルゴン、キセノンのいずれかである不活性ガスである。この場合も、有機シランは、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランであればよく、特に、ビニル基を有する方が耐熱性の向上が図れる。
【0030】
SiCHN膜は、原料ガスがC/Si比が5以上で、且つ、分子量が100以上の有機シランと窒素含有ガスとヘリウム、アルゴン、キセノンのいずれかである不活性ガスである。窒素含有ガスには、アンモニア、N、ヒドラジンがある。有機シランは、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランであればよく、特に、一部にビニル基を有する方が耐熱性の向上が図れる。
【0031】
従来の半導体装置で通常SiO2膜の代替としてはSiOCH膜が、SiN膜の代替としてはSiCH膜又は、SiCHN膜が使用できる。
【0032】
半導体装置としては、多層構造を有する半導体集積回路装置が適しており、特に、近年微細化にともない採用され始めた溝配線構造を有する半導体装置に適している。
【0033】
【発明の実施の形態】
本発明の、実施の形態である有機絶縁膜の構造及び製造方法を説明する。
【0034】
有機絶縁膜の比誘電率を低減していくためには、膜中のC/Si組成比を従来のSiCやSiCN、SiOCよりも大きくする必要があり、このためには、4MSや3MSよりもC/Si組成比が大きい原料ガスを使用する必要がある。
【0035】
一方、膜中のC/Si比を大きくすると、膜中にC−C結合を形成されるが、C−C結合の結合エネルギーはSi−OやSi−C、Si−Nといった結合エネルギーよりも小さく容易に分解しやすいため耐熱性の低い膜となる。耐熱性を向上するため、C−Cよりも結合エネルギーの大きいC=C結合を有する膜を形成することが効果的である。
【0036】
C=C結合を有する有機絶縁膜は、プラズマCVDの電力等を制御することでも可能であるが、原料ガス中にビニル基の結合を有する原料ガスを使用することも効果的である。
【0037】
SiCH、SiCHN、SiOCHの低誘電率化を行なう方法の1つとしては、膜密度を低減することが効果的である。膜密度を低減するためには、原料ガスとして4MS(テトラメチルシラン)や3MS(トリメチルシラン)よりも分子量が大きい原料を用い、かつ、原料ガスの気相での分解を抑制するため、プラズマ密度を低減して成膜する必要がある。
【0038】
本発明は、以上の知見に基づいて従来得られているSiCHあるいはSiCHN、SiOCH膜よりも低誘電率なSiCHあるいはSiCHN、SiOCH膜を提供するものである。
【0039】
さらに、低誘電率なSiCHあるいはSiCHN、SiOCH膜をもちいた半導体装置、特に、溝構造を有する半導体層に関するものである。
【0040】
尚、本発明で使用する、平行平板型プラズマCVD装置について図17を用いて説明する。
【0041】
装置は真空漕内に上部電極1と下部電極2があり、基板3は下部電極に設置され、高周波電源4から発生した高周波は上部電極に印加される。また下部電極はヒーターによる加熱が可能となっている。装置には原料気体を導入するための気体導入部5と、ガス排気部6が接続されている。原料導入部では、封止バルブとマスフローコントローラを介して原料ガスのシリンダーが接続されており、導入部配管は300℃まで加熱できる構造になっている。なお原料に液体原料を用いる場合はマスフローコントローラのかわりに液体気化供給器による供給を行う。
【0042】
なお、平行平板型プラズマCVD以外にも、ECR励起プラズマCVD、ヘリコン波励起、及び誘導結合型プラズマCVDを使用しても、同等の膜が得られる事を確認している。
【0043】
本発明の第1の実施の形態となるSiOCH膜について詳細に説明する。
【0044】
第1の実施の形態であるSiOCH膜は、平行平板プラズマCVD(以下、PECVDと略す)装置中にSiウエハを配置し、150℃〜400℃に加熱し、原料ガスとしてトリメチルビニルシラン(TMVS)、O2、Heをそれぞれ200〜2000sccm、50〜1000sccm、50〜500sccmの流量でPECVD装置内に導入する。チャンバー内の圧力を133〜1330Paとし、200〜1000WのRFパワーを印加する。
【0045】
上記条件により成膜されたSiOCH膜は、C/Si組成比が0.8〜1.3、膜密度が1.1〜1.2g/cmであった。この値は、従来配線層間絶縁膜として用いられているトリメチルシラン(3MS)を原料ガスとして用いたSiOCH膜(C/Si組成比0.7、膜密度1.3g/cm3)と比較して、C/Si比が大きく膜密度小さい。このため比誘電率は2.2〜2.7となり、トリメチルシラン(3MS)を原料ガスとして用いたSiOC膜の比誘電率(2.8〜3.0)と比べて低い値が得られる。また上記条件で屈折率は1.3―1.45の間で変化する。
【0046】
RFパワー400W以上で成膜されたSiOCH膜は、C/Si組成比が0.8以上1.0未満で、この場合、膜中にC−C結合が形成されるため、熱的に不安定な膜となり400℃、30minの熱処理により膜厚が5%程度減少する。これに対して、RFパワー200W〜400Wの範囲では膜中にC=C結合が形成されるため、耐熱性が向上し400℃、30minの熱処理による膜厚減少は1%以下となる。
【0047】
第1の実施形態では、原料ガスとして、トリメチルビニルシランを用いたが、たとえば、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン、トリエチルシランのいずれか1つまたは、その組み合わせであっても良い。
【0048】
特にビニル基を持ったトリメチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシランのいずれかが好ましい。
【0049】
OあるいはNOといったガスを酸化ガスとして用いた場合、SiOCH膜中に微小量のNが存在し、アミン基を形成する。膜中にアミン基が存在すると、ノボラック系のフォトレジストの場合、フォトレジストとアミン基が反応してしまい、露光不良が発生するため、これらのNを含む酸化ガスは使用できない。
【0050】
次に、本発明の第2の実施の形態であるSiCH膜を説明する。
【0051】
本実施の形態では、平行平板型プラズマCVD装置を用いる。
【0052】
マスフローコントローラにより流量を制御し、トリメチルビニルシラン300sccmを流し、同時にHeを1000sccm流す。なお成膜時の圧力は133Pa〜1330Pa、高周波電力100〜400W、基板温度350℃とする。
【0053】
上記条件で作成した膜の比誘電率を測定したところ値は成膜圧力により変化し、133Paで成膜した膜の比誘電率3.3から1330Paで成膜した膜の比誘電率4.2の間で連続的に変化した。
【0054】
3MSもしくは4MSを用いて堆積した膜(それぞれ比誘電率は4.5)よりも低誘電率化出来ている。
【0055】
また上記成膜条件で、膜中のC/Si組成比は0.9−1.4で推移し、膜密度は0.9−1.4g/cm3の範囲で推移した。すなわち3MSで作成したSiCH膜(C/Si組成比0.8、密度1.5g/cm3)よりも低密度化できている。従って、比誘電率に低下が見られたものと考えられる。
【0056】
また上記条件で屈折率は1.70−1.85の間で変化した。FT―IR(フーリエ変換赤外線分光法)による測定の結果、膜中にはSi−C,Si−CH、Si−H結合が存在する。一方、膜中に水分によるSi−OH結合は検出されなかった。
【0057】
Cuのバリア性に関しても良好であり、450℃加熱時にバイアス電圧印加によるCuの拡散加速試験を行っても、Cuの拡散は見られなかった。すなわち従来の3MSを用いて堆積したSiCH膜と同等の性質を持つことが分かった。
【0058】
以上の実施例はトリメチルビニルシランを原料に用いた場合の実施例であるが、その他にも分子量が100以上の有機シランであり、かつ原料のC/Si比が5以上の原料であるトリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン、トリエチルシランを用いた場合にも同等の膜が形成されることを確認した。上記原料を用いた場合の原料化合物の分子量と、堆積膜の密度およびC/Si組成比との関係を図20に示す。分子量が100以上で、かつC/Si比が5以上の原料を用いることにより、膜密度が1.0以上1.4g/cm3以下でありC/Si組成比が0.9以上1.3以下の膜が堆積できることを確認した。
【0059】
なお、平行平板型プラズマCVD以外にも、ECR励起プラズマCVD、ヘリコン波励起、及び誘導結合型プラズマCVDを使用しても、同等の膜が得られる事を確認している。
【0060】
続いて、第2の実施の形態の変形例であるSiCH膜中にビニル基を含有したSiCH膜について説明する。
【0061】
膜中にビニル基を含有するには、プラズマによる原料の解離をできるだけ防止する必要がる。そこでトリメチルビニルシランの流量を300sccm以上に増大し、プラズマ電力を50―100Wと、より低電力にして堆積した。
【0062】
膜中にビニル基が含有されているかどうかを確かめるために、赤外吸収スペクトルを測定したところ、高流量かつ高周波電力50〜100Wで堆積した試料からビニル基に起因する吸収が出現することが分かった。これは、弱いエネルギーのプラズマでは、原料の構造が破壊されずに膜中に取り込まれるためである。
【0063】
以上の様に原料ガスにビニル基が結合している原料を用いて、しかも原料の分解を抑制することにより、ビニル基の含有したSiCH膜を製造できることがわかった。また膜中へのビニル基取り込み量は、プラズマ電力を可変することにより制御可能であり、100W以上に電力を増加するとビニル基の含有は見られなくなった。
【0064】
膜中にビニル基が存在する構造について耐熱性試験を行った結果、窒素雰囲気中450℃、1時間の加熱後、膜収縮は0.1%以内であり、他の膜特性にもほとんど変化は見られなかった。すなわち膜中へのビニル基取り込みは、膜の耐熱性を著しく向上させることが明らかとなった。
【0065】
比誘電率は、ビニル基を含まない場合と同様に成膜圧力により変化し、133Paで成膜した膜の比誘電率3.2から1330Paで成膜した膜の比誘電率4.2の間で連続的に変化することが分かった。すなわち膜中ビニル基の有無に対して、比誘電率は殆ど変化しなかった。また膜のC/Si組成比は、0.9−1.4で推移し、一方膜密度は0.9−1.4g/cm3、屈折率は1.70−1.85の間で推移した。すなわち膜中ビニル基の有無に対して変化はなかった。
【0066】
CUのバリア性に関しても良好であり、450℃加熱時にバイアス電圧印加によるCuの拡散加速試験を行っても、Cuの拡散は見られなかった。すなわち従来の3MSを用いて堆積したSiCH膜と同等の性質を持つことが分かった。
【0067】
以上の実施例はトリメチルビニルシランを原料に用いた場合の実施例であるが、その他にも分子量が100以上の有機シランであり、かつ原料のC/Si比が5以上の原料であるトリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン、トリエチルシランを用いた場合にも同等の膜が形成されることを確認した。
【0068】
なお、平行平板型プラズマCVD以外にも、ECR励起プラズマCVD、ヘリコン波励起、及び誘導結合型プラズマCVDを使用しても、同等の膜が得られる事を確認している。
【0069】
第3の実施形態であるSiCHN膜について説明する。
【0070】
本実施の形態では、マスフローコントローラにより流量を制御し、トリメチルビニルシラン300sccm、アンモニア300sccmを流し、同時にHeを1000sccm流す。なお成膜時の圧力は133Pa〜1330Pa、高周波電力100〜400W、基板温度350℃とする。
【0071】
アンモニアを300sccm導入したところ、膜中に窒素が導入され、SiCHN膜が形成される。
【0072】
比誘電率は成膜圧力により変化し、133Paで成膜した膜の比誘電率3.8から1330Paで成膜した膜の比誘電率4.7の間で連続的に変化する。また上記成膜条件で、膜のC/Si組成比は1.0−1.3と炭素含有量がシリコンよりも多い範囲で推移し、一方膜密度は1.4−1.6g/cm3の範囲で推移し、3MSで作成したSiCHN膜(密度1.7g/cm3)よりも低密度化される。
【0073】
また屈折率は1.77−1.90の間で推移する。FT−IR測定の結果、膜中にはSi−C,Si−CH、Si−H結合が存在し、一方膜中水分によるSi−OH結合は検出されなかった。
【0074】
Cuのバリア性に関しても良好であり、450℃加熱時にバイアス電圧印加によるCuの拡散加速試験を行っても、Cuの拡散は見られなかった。すなわち従来の3MSを用いて堆積したSiCHN膜と同等の性質を持つことが分かった。
【0075】
以上の実施例はトリメチルビニルシランを原料に用いた場合の実施例であるが、その他にも分子量が100以上のオルガノシランであり、かつ原料のC/Si比が5以上の原料であるトリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン、トリエチルシランを用いた場合、アンモニアの変わりにヒドラジン等の他の窒化源を用いても同等の膜が形成されることを確認した。
【0076】
なお、平行平板型プラズマCVD以外にも、ECR励起プラズマCVD、ヘリコン波励起、及び誘導結合型プラズマCVDを使用しても、同等の膜が得られる事を確認している。
【0077】
第3の実施の形態の変形例として、ビニル基を含有したSiCHN膜について説明する。
中にビニル基を含有した。第2の実施形態と同様に、トリメチルビニルシラン300sccmを300sccm以上に増大し、しかもプラズマ電力を50―100Wと、より低電力にして堆積した。
【0078】
膜中にビニル基が含有されているかどうかを確かめるために、赤外吸収スペクトルを測定したところ、高流量かつ高周波電力50〜100Wで堆積した試料からビニル基に起因する吸収が出現することが分かった。これは、SiCHN膜においても、弱いエネルギーのプラズマでは、原料の構造が破壊されずに膜中に取り込まれるためである。
【0079】
また、膜中には同時にSi−C,Si−CH、Si−H結合も存在することが分かった。一方膜中水分によるSi−OH結合は検出されなかった。
【0080】
一方100W以上に電力を上昇すると、ビニル基に起因する吸収は消滅し、膜中からはSi−C,Si−CH、Si−H結合のみが検出された。
【0081】
以上の様に原料ガスにビニル基が結合している原料を用いて、しかも原料の分解を抑制することにより、ビニル基の含有したSiCHN膜を製造できることがわかった。また膜中へのビニル基取り込み量は、プラズマ電力を可変することにより制御可能であることがわかった。
【0082】
さらに膜中にビニル基が存在する構造について耐熱性試験を行った結果、窒素雰囲気中450℃、1時間の加熱後も膜特性は変化しなかった。すなわち膜中へのビニル基取り込みは、膜の耐熱性を著しく向上させることが明らかとなった。また比誘電率は、133Paで成膜した膜の比誘電率3.8から1330Paで成膜した膜の比誘電率4.7の間で連続的に変化した。
【0083】
また膜のC/Si組成比は、1.0−1.3と炭素含有量がシリコンよりも多い範囲で推移し、一方膜密度は1.4−1.6g/cm3の範囲、屈折率は1.77−1.90の間で推移した。すなわち膜中ビニル基の有無に対して変化はなかった。すなわち膜中ビニル基は、比誘電率の顕著な上昇をもたらすことなく、バリア膜の耐熱性を向上するという効果を持つことが分かった。Cuのバリア性に関しても良好であり、450℃加熱時にバイアス電圧印加によるCuの拡散加速試験を行っても、Cuの拡散は見られなかった。すなわち従来の3MSを用いて堆積したSiCH膜と同等の性質を持つことが分かった。
【0084】
本実施の形態では、トリメチルビニルシランを原料に用いた場合であるが、その他にも分子量が100以上の有機シランであり、かつ原料のC/Si比が5以上の原料であるトリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン、トリエチルシランを用いた場合にも同等の膜が形成されることを確認した。
【0085】
なお、平行平板型プラズマCVD以外にも、ECR励起プラズマCVD、ヘリコン波励起、及び誘導結合型プラズマCVDを使用しても、同等の膜が得られる事を確認している。
【0086】
図18、19は、3MS、4Mを用いて成膜したSiOC膜、SiC膜及び、ソースの分子量が100以上で、かつC/Si比が5以上であるTMVSまたはDMVSを用いて成膜したSiOCH膜、SiCH膜の比誘電率を示したものである。3MSおよび、4MSを用いて成膜されたSiOC膜は、比誘電率が2.9であるが、TMVSでは2.6またDMVSでは、2.4を示した。分子量が大きなソースを用いることにより、比誘電率が低い膜ができることが検証できた。
【0087】
以下、本発明の実施の形態にかかる有機絶縁膜を半導体装置に適用した実施例を、図面を参照して説明する。
(実施例1)
図2は、第1の実施例であるシングルダマシン構成を有する半導体装置の部分断面図である。
【0088】
図2に示す半導体装置は、Si基板上に形成されたMOSトランジスタ等の素子を覆う下地絶縁膜201上に、第1のエッチングストッパ膜202、第1のSiOCH膜203、第1のハードマスク膜204、第1のバリア絶縁膜211、第2のSiOCH膜212、第2のハードマスク膜213、第2のエッチングストッパ膜214、第3のSiOC217、第3のハードマスク膜218及び、第2のバアリア絶縁膜223が順次積層されている。
【0089】
第1の銅配線210、第2の銅配線224及び、第1の銅配線210と第2の銅配線224とを接続する銅プラグ228が積層された絶縁膜中に形成されている。
【0090】
第1の銅配線210は、下地絶縁膜201上に順次積層された、第1のエッチングストッパ202、第1のSiOCH膜203、第1のハードマスク膜204からなる積層絶縁膜中に形成される。
【0091】
第2の銅配線224は、第2のエッチングストッパ214、第3のSiOCH膜217、第3のハードマスク膜218からなる積層絶縁膜中に形成される。
【0092】
上層配線となる第2の銅配線224と下層配線となる第1の銅配線210とを接続する銅プラグ228は、上層配線と下層配線とを分離する異層間絶縁膜となる、第1のバリア絶縁膜211、第2のSiOCH膜212、第2のハードマスク膜213からなる積層膜中に形成されている。
【0093】
第1の銅配線210は一部が下地絶縁膜201中に食い込んでいてもかまわない。
【0094】
以上構成されている層間膜のうち、第1および第2のバリア絶縁膜、第1および第1のエッチングストッパは、SiCH膜又は、SiCHN膜のいずれか、又は、SiCH膜と、SiCHN膜の積層膜であってもよい。
【0095】
次に上述した半導体装置の製造方法を図3(a)〜図6(d)の工程断面図を用いて説明する。
【0096】
まず、図3(a)に示すように、下地絶縁膜301上に第1のエッチングストッパ膜302、第1のSiOCH膜303、第1のハードマスク膜304を順次成膜した。
【0097】
第1のエッチングストッパ膜302はSiCH膜又は、SiCHN膜であり、平行平板プラズマCVD法で30nm〜150nmの厚さで成膜した。第1のSiOCH膜303は200〜1000nm程度の厚さで成膜する。第1のハードマスク膜304はSiO、SiN、SiONのいずれかであり、50nm〜200nm程度の厚さで成膜した。
【0098】
それらの上に第1のフォトレジスト305を第1のハードマスク膜304上に形成し、フォトリソグラフィー技術により溝パターン306を形成した。
【0099】
続いて、図3(b)に示すように、溝パターン306がパターニングされた第1のフォトレジスト膜305をマスクとして、ドライエッチング技術により第1のハードマスク膜304、第1のSiOCH膜303をエッチングし、フォトレジスト305を剥離後、全面エッチバックにより、第1のエッチングストッパ302をエッチング除去し、第1の配線溝パターン307を形成した。
【0100】
ここで、第1のエッチングストッパ302をエッチング除去する際に、下地絶縁膜が一部エッチング除去されるが問題はない。
【0101】
第1のエッチングストッパ膜302を省略しても良い。この場合、第1のフォトレジストをマスクに第1のハードマスク304と第1のSiOCH膜303をエッチング除去すればよい。
【0102】
次に、図3(c)に示すように、第1のバリアメタル膜308および第1の導体膜309を形成した。
【0103】
第1のバリアメタル膜308はTa、TaN、TiN等から構成される膜であり、スパッタリング法またはCVD法により形成される。第1の導体膜309はCu膜または、Cu合金膜であり、スパッタリング法、CVD法又は、メッキ法により形成できる。
【0104】
その後、図3(d)に示すようにCMPによりハードマスク膜上のバリアメタル膜308、第1の導体膜309を除去し第1の配線310を形成した。
【0105】
次に、図4(a)に示すように、第1のバリア絶縁膜311、第2のSiOCH膜312、第2のハードマスク膜313を順次成膜した。
【0106】
次に、図4(b)に示すようにそれらの上に前記と同様に、フォトリソグラフィー技術によりフォトレジスト315を用いたビア用レジストパターン316を形成した。
【0107】
次に、ドライエッチング技術により第2のハードマスク膜313、第2のSiOCH膜312をエッチングし、フォトレジスト316を剥離する(図4(c))。
【0108】
その後、全面エッチバックにより、第1のバリア絶縁膜311を抜き、ビアパターンを形成する。
【0109】
次に、図4(d)に示すように、第2のバリアメタル膜326および第2の導体膜327を形成した。
【0110】
第2のバリアメタル膜326はTa、TaN、TiN等から構成される膜であり、スパッタリング法またはCVD法により形成される。第2の導体膜327はCu膜または、Cu合金膜であり、スパッタリング法、CVD法、メッキ法により形成される。
【0111】
その後、図5(a)に示すようにCMPによりハードマスク膜上のバリアメタル膜326、第2の導体膜327を除去し第1の導体プラグ328を形成した。
【0112】
その後、図5(b)のように、その上に第2のエッチングストッパ314を形成する。
【0113】
さらに図5(c)のように、第3のSiOCH膜317を形成し、その上に第3のハードマスク膜318を形成した。その上に反射防止膜325を形成し、さらにその上から、第3のフォトレジスト319で第2の配線溝用レジストパターン320を形成した。
【0114】
図5(d)のようにフォトレジストマスク319により、第3のハードマスク膜318、および第3のSiOCH膜317をエッチング加工し、第3のフォトレジスト319を剥離後、全面エッチバックにより、第2のエッチングストッパ314の配線パターンを抜いた。
【0115】
ここにおいても、第2のエッチングストッパ膜314は、省略できる。この場合も、第3のフォトレジスト319をマスクにエッチングすればよい。しかしながら、この場合は、フォトレジストの除去に酸素によるアッシングを使うと銅の表面が酸化するので有機溶剤を用いる必要がある。
【0116】
続いて、図6(a)のように、第3のバリアメタル321を形成し、第3の導体膜322を形成した。
図6(b)のように、CMPによりハードマスク膜上のバリアメタル膜321、第3の導体膜322を除去し第2の配線324を形成した。
【0117】
図6(c)のように、第2のバリア絶縁膜323を形成した。
【0118】
上記、図4(a)から図6(c)を順次繰り返すことにより、多層化配線を形成することができる。
【0119】
本実施例では、上層配線、下層配線及び、上層配線と下層配線とを接続する接続プラグとは全てCu膜または、Cu合金膜で形成されているが、必ずしもCu又はCu合金である必要はなく、銀または、銀含有合金であっても良い。さらに、少なくとも、上層配線、下層配線及び、上層配線と下層配線とを接続する接続プラグのいずれかが1つがCu膜または、Cu合金膜で形成されていても良い。
【0120】
また、Cu含有合金は、Si、Al、Ag、W、Mg、Be、Zn、Pd、Cd、Au、Hg、Pt、Zr、Ti、Sn、Ni、およびFeからなる群から選択された1以上の金属を含有しても良い。
【0121】
バリアメタル層は、Ti、TiN、TiSiN、Ta、TaN、およびTaSiNからなる群の1以上のバリアメタルからなっている。
【0122】
以上は、これから説明するデュアルダマシン構造においても同様である。
(実施例2)
次に実施例2として、デュアルダマシン構造を図7の部分断面図を用いて説明する。
【0123】
この半導体装置は、Si基板上に形成されたMOSトランジスタ等の素子を覆う下地絶縁膜401上に第1のエッチングストッパ402を30nm〜150nm、その上に、第1のSiOCH膜403を200〜500nm、その上に第1のハードマスク膜404を50〜200nmその積層絶縁層に第1の銅配線410が形成されており、その銅配線の上部を覆うように、第2のバリア絶縁膜411が30nm〜150nm形成されている。その上に第2のSiOCH膜412が200〜500nm異層間絶縁膜として、形成されている。さらにその上層には、第2のエッチングストッパ413を30nm〜150nm、その上に第3のSiOCH膜414を200〜500nm、第2のハードマスク膜417が50〜200nm形成されている。その積層絶縁膜には第2の銅配線422が形成されており、その上に第2のバリア絶縁膜423が30nm〜150nm形成されている。
【0124】
その上層はこの繰り返しが行われ、デユアルダマシン構造の多層化配線が形成される。
【0125】
デュアルダマシン構造においても、シングルダマシン構造と同様に、エッチングストッパ膜は省略できる。
【0126】
次に、図7のデュアルダマシン構造の製造方法を、図面を用いて説明する。
【0127】
図8(a)〜図9(c)はビアファースト法による製造方法を示す工程断面図である。
【0128】
図10(a)〜図11(d)は、ミドルファースト法による製造方法を示す工程断面図である。
【0129】
図12(a)から図14(a)はトレンチファースト法による製造方法を示す工程断面図である。
【0130】
ビアファースト法によるデュアルダマシン構造の製造方法を図8(a)〜図9(c)を参照して説明する。
【0131】
図3(a)から図3(d)と同様に、第1のCu配線510を形成した。次に、図8(a)に示すように第2のSiCHN膜511を形成し、その上に第2のSiOCH膜512、第3のSiCHN膜513、第3のSiOCH膜514、第2のSiO膜515を形成し、その上に反射防止膜516を形成した。フォトレジスト517を、露光・現像を行ない、ビア用レジストパターン518を形成した。
【0132】
次に、フォトレジスト517をマスクにして、第2のSiO膜515、第3のSiOCH膜514、第3のSiCHN膜513、第2のSiOCH膜512をエッチング除去し、第2のSiCHN膜511でエッチストップさせた。その後、フォトレジスト517を剥離した(図8(b))。
【0133】
図8(c)に示すように、反射防止膜519を塗布し、次に、フォトレジスト520に塗布・露光・現像を行ない、第2の溝配線用レジストパターン521を形成した。
【0134】
図8(d)のように、フォトレジスト520をマスクにして、第2のSiO膜515、第3のSiOCH膜514をエッチングした。そして第3のSiCHN膜513でエッチストップさせた。その後、フォトレジスト520除去し、再度エッチバックし、第2のSiCHN膜511および第3のSiCHN膜513をエッチングした。この際のエッチングは若干オーバー目にエッチングするので、第2のSiOCHも一部エッチング除去される。
【0135】
そして、図9(a)のように、第2のTa/TaN膜522を成膜し、その後、第2のCu膜523を成膜した。
図9(b)に示すように、CMPを行い、溝配線以外のメタルを、除去し、第2のCu配線523を形成した。
【0136】
次に、図9(c)のように、第4のSiCHN膜525を成膜した。
【0137】
次にミドルファースト法によるデュアルダマシン構造の製造方法を図10(a)〜図11(d)に記載する。
【0138】
図3(a)から図3(d)と同様に、第1のCu配線610を、形成した。次にその上に第2のSiCH膜611を形成し、さらに、第2のSiOCH膜612を形成した。そのうえに第3のSiCH膜613を形成した(図10(a))。
【0139】
図10(b)に示すように、第3のSiCH膜613上にビア用レジストパターン615のフォトレジスト614を形成した。
【0140】
図10(c)のように、フォトレジスト614をマスクに第3のSiCH膜613をエッチングし、その後アッシングおよび有機剥離を行った。それらの上に第3のSiOCH膜616、第3のSiO膜617を形成した。
【0141】
次に、図10(d)のように、第2の溝配線用レジストパターン619にフォトレジスト618を形成した。
【0142】
図11(a)のように、フォトレジスト618をマスクに、第3のSiO膜617、第3のSiOCH膜616そして第3のSiCH膜613をマスクにして、第2のSiOCH膜612を加工した。その後エッチバックにより、第2のSiCH膜611をエッチングした。
【0143】
図11(b)のように、第2のTa/TaN膜620を成膜した。さらに、第2のCu膜621を成膜した。その後、図11(c)のように、CMPにより、溝配線以外のメタルを除去し、第2のCu配線623を形成し、その後図11(d)のように、第4のSiCH膜622を形成した。
【0144】
次にトレンチファースト法によるデュアルダマシン構造の製造方法を図12(a)〜図14(a)に記載する。
【0145】
図3(a)から図3(d)と同様に、第1層目のCu配線710を、形成した。
【0146】
次に、図12(a)のように、第2のSiCH膜711、第2のSiOCH膜712、第3のSiCHN膜713、第3のSiOCH膜716、第1のSiO膜717、を形成した。その上に反射防止膜725、を形成し、その上に、第2溝配線用レジストパターン719にフォトレジスト718を形成した。
図12(b)のように、フォトレジストマスクにより、第1のSiO膜717、第3のSiOCH膜716をエッチングし、第3のSiCH膜713でエッチストップし、続いて、フォトレジストをアッシングし、有機剥離にて、除去した。
【0147】
図12(c)のように、全面をエッチバックし、第3のSiCH膜713をエッチングした。
【0148】
次に図12(d)のようにビア用レジストパターン715にフォトレジスト714を形成した。
【0149】
図13(a)のように、フォトレジスト714をマスクに、第2のSiOCH膜716をエッチングし、第2のSiCH膜713でエッチストップした後、フォトレジストをアッシングし、有機剥離した。その後、図13(b)のように、全面をエッチバックし、第2のSiCH膜711を抜いた。
【0150】
図13(c)のように、第2のTa/TaN膜720を成膜、その後、第2のCu膜721を成膜した。その後、図13(d)のように、CMPにより、第2の銅配線723以外のメタルを除去した後、図14(a)のように、その上にSiCH膜722を成膜した。
【0151】
尚、前述の実施例1及び2において、SiCHとSiCHNは等価であり置きかえても何ら問題は起こらない。
(実施例3)
SiCHおよびSiCHN絶縁膜を半導体装置のバリア絶縁膜として適用した場合の実施例3について示す。
【0152】
図2に記載の半導体装置の絶縁膜202、211、214、223としてSiCHを適用した。
【0153】
SiCH膜としては、膜中にビニル基を含有した比誘電率3.8のものを用いた。得られた積層構造において、450℃までの耐熱性を調べたところ、450℃加熱時もビア歩留まりの劣化なくきわめて良好な特性を示した。また実効比誘電率は、3MSを用いて堆積した比誘電率4.5のSiCHを用いた半導体装置に比べて10%の低減が見られた。
【0154】
図2に記載の半導体装置のの絶縁膜202、211、214、223として第3の実施の形態であるSiCHNを適用した。
【0155】
SiCHN膜として膜中にビニル基を含有した比誘電率4.2のものを用いた。得られた積層構造において、450℃までの耐熱性を調べたところ、450℃加熱後もビア歩留まりの劣化なくきわめて良好な特性を示した。また実効比誘電率は、3MSを用いて堆積した比誘電率5のSiCHを用いた半導体装置に比べて10%の低減が見られた。
【0156】
【発明の効果】
本発明は、低誘電率かつ高品質のSiOCH膜の製造方法を提供する。また上記SiOCH膜を半導体装置の多層配線の低誘電率絶縁膜に適用することにより、配線の信頼性を保ったまま、実効比誘電率が小さくなる構造を提供できる。
【0157】
本発明の、低誘電率かつ高品質のSiCHおよびSiCHNバリア絶縁膜の製造方法を提供する。また上記SiCHおよびSiCHN膜を半導体装置の多層配線のバリア絶縁膜に適用することにより、配線の信頼性を保ったまま、実効比誘電率が小さくなる構造を提供できる。
【0158】
更に、出来上がった膜質が従来のSiC膜およびSiCN膜よりも膜中に含まれる炭素含有量が多いため、図21に示すように、SiOC膜、SiOCH膜に対し高いエッチング選択比が得られる。
【0159】
従来と本発明のSiOCH/SiCHN膜のエッチング選択比のそれぞれのデータを、図21に示す。エッチングガスはCF系のガスを用いた。3MSとNHと、Heで成膜したSiCN膜は、膜中の炭素含有量が少なく、SiOC膜とのエッチング選択比が8と十分に得られなかった。それに対し、TMVSを用いたSiCHN膜は、約15と十分なエッチング選択比が得られた。そのときの0.2umビア径の500K個チェーンの歩留まりを図22に示す。
【0160】
図22は、ビアファースト法で形成したデュアルダマシン配線のビア歩留まりである。従来の3MSで形成したSiCN膜では、ビア歩留まりが約80%であったのに対し、TMVSで形成した、SiCHNでは。約98%の歩留まりが得られた。
【0161】
ここでは、ビアファースト法によるDDのビア歩留まりのデータを示したが、ミドルファーストによる、DDでも、本発明のTMVSによるSiCH膜のほうが、高歩留まりが得られた。
【0162】
更に、トレンチファーストによるDD配線での配線抵抗を図23に示す。
【0163】
本発明のTMVSを用いたSiCHNの膜構造での層抵抗のばらつきで効果が確認された。層抵抗のばらつきの低減もエッチングストッパ膜のエッチング選択比が改善したことによるもので、図23に示すように、従来の3MSを用いたSiCHN膜のエッチングストッパは、75から90Ω間でばらつきがあったが本願のTMVSを用いたSiCHN膜ではその約半分のばらつきに抑えられた。
【図面の簡単な説明】
【図1】ダマシン構造の説明図。
【図2】本発明の第1の実施の形態図。
【図3】本発明のシングルダマシンのプロセスフローの説明図(1)。
【図4】本発明のシングルダマシンのプロセスフローの説明図(2)。
【図5】本発明のシングルダマシンのプロセスフローの説明図(3)。
【図6】本発明のシングルダマシンのプロセスフローの説明図(4)。
【図7】本発明の第2の実施の形態図。
【図8】本発明のデュアルダマシンのビアファーストプロセスフローの説明図(1)。
【図9】本発明のデュアルダマシンのビアファーストプロセスフローの説明図(2)。
【図10】本発明のデュアルダマシンのミドルファーストプロセスフローの説明図(1)。
【図11】本発明のデュアルダマシンのミドルファーストプロセスフローの説明図(2)。
【図12】本発明のデュアルダマシンのトレンチファーストプロセスフローの説明図(1)。
【図13】本発明のデュアルダマシンのトレンチファーストプロセスフローの説明図(2)。
【図14】本発明のデュアルダマシンのトレンチファーストプロセスフローの説明図(3)。
【図15】従来のデュアルダマシンのビアファーストプロセスフローの説明図(1)。
【図16】従来のデュアルダマシンのビアファーストプロセスフローの説明図(2)。
【図17】本発明で用いた、平行平板型プラズマCVDの構成図。
【図18】各種ガスによるSiOCH膜の比誘電率。
【図19】各種ガスによるSiCH膜の比誘電率。
【図20】原料ガス分子量と、SiCH膜の密度および組成の関係を示す図。
【図21】SiOCHとSiCHN膜のエッチング選択比。
【図22】本発明と従来技術のビアチェーン歩留まり比較。
【図23】本発明と従来技術の配線抵抗ばらつき比較。
【符号の説明】
0001 SiOに下地層間絶縁膜
0002 SiO配線溝層間膜
0003 エッチングストッパSiN絶縁膜
0007 Cu配線
0012 SiN膜(拡散防止絶縁膜)
0010 絶縁膜
1 上部電極
2 下部電極
4 高周波電源
5 気体導入部
6 ガス排気部
201 下地絶縁膜
202 第1のエッチングストッパ膜
203 第1のSiOCH膜
204 第1のハードマスク膜
210 第1の銅配線
211 第1のバリア絶縁膜
212 第2のSiOC膜
213 第2のハードマスク膜
214 第2のエッチングストッパ膜
217 第3のSiOC
218 第3のハードマスク膜
223 第2のバアリア絶縁膜
224 第2の銅配線
228 銅プラグ
301 下地絶縁膜
302 第1のエッチングストッパ膜
303 第1のSiOCH膜
304 第1のハードマスク膜
305 第1のフォトレジスト
306 溝パターン
307 第1の配線溝パターン
308 第1のバリアメタル膜
309 第1の導体膜
310 第1の銅配線
311 第1のバリア絶縁膜
312 第2のSiOCH膜
313 第2のハードマスク膜
314 第2のエッチングストッパ
315 フォトレジスト
316 ビア用レジストパターンク膜
317 第3のSiOCH膜
318 第3のハードマス
319 第3のフォトレジスト
320 第2の配線溝用レジストパターン
321 第3のバリアメタル
322 第3の導体膜
323 第2のバリア絶縁膜
324 第2の配線
325 反射防止膜
326 第2のバリアメタル膜
327 第2の導体膜
328 第1の導体プラグ
401 下地絶縁膜
402 第1のエッチングストッパ
403 第1のSiOCH膜
404 第1のハードマスク膜
410 第1の銅配線
411 第2のバリア絶縁膜
412 第2のSiOCH膜
413 第2のエッチングストッパ
414 第3のSiOCH膜
417 第2のハードマスク膜
422 第2の銅配線
423 第2のバリア絶縁膜
510 第1のCu配線
511 第2のSiCHN膜
512 第2のSiOCH膜
513 第3のSiCHN膜
514 第3のSiOCH膜
515 第2のSiO
516 反射防止膜
517 フォトレジスト
518 ビア用レジストパターン
519 反射防止膜
520 フォトレジスト
521 の溝配線用レジストパターン
522 第2のTa/TaN膜
523 第2のCu膜
524 第2のCu配線
525 第4のSiCHN膜
610 第1のCu配線
611 第2のSiCH膜
612 第2のSiOCH膜
613 第3のSiCH膜
614 フォトレジスト
615 ビア用レジストパターン
616 第3のSiOCH膜
617 第3のSiO
618 フォトレジスト
619 第2の溝配線用レジストパターン
620 第2のTa/TaN膜
621 第2のCu膜
622 第4のSiCH膜
623 第2のCu配線
710 第1の銅配線
711 第2のSiCH膜
712 第2のSiOCH膜
713 第3のSiCH膜
714 フォトレジスト
715 ビア用レジストパターン
716 第3のSiOCH膜
717 第1のSiO
718 フォトレジスト
719 第2溝配線用レジストパターン
720 第2のTa/TaN膜
721 第2のCu膜
723 第2の銅配線
725 反射防止膜
801 下層絶縁膜
802 第1のSiC膜
803 第2のSiOCH膜
804 第1のSiO
805 第1の銅配線
806 第2のSiCN膜
807 第2のSiOC膜
808 第3のSiCN膜
809 第3のSiOC膜
810 第2のSiO
811 反射防止膜
812 フォトレジスト
813 ビアパターン用レジスト
818 フォトレジスト
819 第2の溝配線用レジストパターン
825 反射防止膜
831 銅の酸化膜

Claims (73)

  1. 少なくともC/Si比が5以上で、且つ、分子量が100以上の有機シランを原料として形成されたことを特徴とする有機絶縁膜。
  2. 前記有機シランは、トリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランである請求項1記載の有機絶縁膜。
  3. 前記有機シランは少なくとも一部にビニル基を有する請求項1に記載の有機絶縁膜。
  4. 少なくとも一部にビニル基を有する前記有機シランはトリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン及び、テトラビニルシランからなる群から選択される1以上の有機シランである請求項3記載の有機絶縁膜。
  5. C=C結合を有していることを特徴とする請求項1に記載の有機絶縁膜。
  6. ビニル基を有していることを特徴とする請求項5に記載の有機絶縁膜。
  7. 前記有機絶縁膜は、SiCH膜、SiCHN膜又は、SiOCH膜である請求項1から6のいずれか1項に記載の半導体装置。
  8. 前記SiCH膜は、Si、C及び、H元素からなり、C/Si組成比が0.9以上であることを特徴とする請求項7に記載の有機絶縁膜。
  9. 前記SiCH膜は、密度が1.4g/cm未満であることを特徴とする請求項7又は8に記載の有機絶縁膜。
  10. 前記SiCHN膜は、Si、C、H及び、N元素からなり、C/Si組成比が1.0以上であることを特徴とする請求項7に記載の有機絶縁膜。
  11. 前記SiCHN膜は、密度が1.6g/cm未満であることを特徴とする請求項7又は10に記載の有機絶縁膜。
  12. 前記SiOCH膜は、少なくともSi、C、O及び、H元素からなり、C/Si組成比が0.8以上であることを特徴とする請求項7に記載の有機絶縁膜。
  13. 前記SiOCH膜は、密度が1.2g/cm3未満であることを特徴とする請求項7又は12に記載の有機絶縁膜。
  14. プラズマCVD法による成膜方法であって、原料ガスが少なくともC/Si比が5以上で、且つ、分子量が100以上の有機シランと酸化剤と不活性ガスであることを特徴とする有機絶縁膜の製造方法。
  15. 前記不活性ガスはヘリウム、アルゴン、キセノンのいずれかであることを特徴とする請求項14に記載の有機絶縁膜の製造方法。
  16. 前記酸化剤が、O、O、HO、CO、COのいずれかである請求項14に記載の有機絶縁膜の製造方法。
  17. 前記有機シランが、トリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランである請求項14記載の有機絶縁膜。
  18. 前記有機シランは少なくとも一部にビニル基を有する請求項14に記載の有機絶縁膜。
  19. 少なくとも一部にビニル基を有する前記有機シランはトリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン及び、テトラビニルシランからなる群から選択される1以上の有機シランである請求項18に記載の有機絶縁膜。
  20. 前記有機絶縁膜が、少なくともSi、C、H、O元素からなるSiOCH膜であることを特徴とする請求項14に記載の有機絶縁膜の製造方法。
  21. プラズマCVD法による成膜方法であって、原料ガスがC/Si比が5以上で、且つ、分子量が100以上の有機シランとヘリウム、アルゴン、キセノンのいずれかである不活性ガスであることを特徴とする有機絶縁膜の製造方法。
  22. 前記有機シランが、トリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランである請求項21記載の有機絶縁膜。
  23. 前記有機シランは少なくとも一部にビニル基を有する請求項21に記載の有機絶縁膜。
  24. 少なくとも一部にビニル基を有する前記オルガノシランはトリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン及び、テトラビニルシランからなる群から選択される1以上の有機シランである請求項24記載の有機絶縁膜。
  25. 前記有機絶縁膜が、Si、C、H元素からなるSiCH膜であることを特徴とする請求項21に記載の有機絶縁膜の製造方法。
  26. プラズマCVD法による成膜方法であって、原料ガスがC/Si比が5以上で、且つ、分子量が100以上の有機シランと窒素含有ガスとヘリウム、アルゴン、キセノンのいずれかである不活性ガスであることを特徴とする有機絶縁膜の製造方法。
  27. 前記窒素含有ガスが、アンモニア、N、ヒドラジンのいずれかである請求項26に記載の有機絶縁膜の製造方法。
  28. 前記有機シランが、トリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランである請求項26記載の有機絶縁膜の製造方法。
  29. 前記オルガノシランは少なくとも一部にビニル基を有する請求項26に記載の有機絶縁膜の製造方法。
  30. 少なくとも一部にビニル基を有する前記有機シランはトリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン及び、テトラビニルシランからなる群から選択される1以上の有機シランである請求項29記載の有機絶縁膜の製造方法。
  31. 前記有機絶縁膜が、少なくともSi、C、H、N元素からなるSiCHN膜であることを特徴とする請求項26に記載の有機絶縁膜の製造方法。
  32. 少なくとも層間絶縁膜、エッチングストッパ膜又は、金属のバリア絶縁膜のいずれか1の絶縁膜を有する半導体装置において、
    前記絶縁膜、エッチングストッパ膜又は、金属のバリア絶縁膜が請求項1から5のいずれか1項に記載の有機絶縁膜であることを特徴とする半導体装置。
  33. 前記半導体装置が溝配線構造を有することを特徴とする請求項32に記載の半導体装置。
  34. 半導体基板上に形成された絶縁膜上に形成された第1の絶縁膜と、前記第1の絶縁膜内に形成された第1の溝配線と、第2の絶縁膜と、第3の絶縁膜と、前記第3の絶縁膜内に形成された第2の溝配線と、前記第2の絶縁膜内に形成され、前記第1の溝配線と前記第2の溝配線とを接続する接続プラグとを有する溝配線構造を有する半導体装置において、
    前記第1の絶縁膜、第2の絶縁膜及び、第3の絶縁膜は少なくとも請求項7に記載のSiOCH膜からなることを特徴とする半導体装置。
  35. 前記第1の絶縁膜は前記SiOCH膜とハードマスク膜からなる積層膜である請求項34に記載の半導体装置。
  36. 前記第1の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜からなる積層膜で、
    前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項34に記載の半導体装置。
  37. 前記第2の絶縁膜はバリア絶縁膜と請求項7に記載のSiOCH膜とハードマスク膜とからなる積層膜で、
    前記バリア絶縁膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項34に記載の半導体装置。
  38. 前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とからなる積層膜で、
    前記バリア絶縁膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項34に記載の半導体装置。
  39. 前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とエッチングストッパ膜とからなる積層膜で、
    前記バリア絶縁膜及びエッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項34に記載の半導体装置。
  40. 前記第3の絶縁膜は前記SiOCH膜とハードマスク膜とからなる積層膜である請求項34に記載の半導体装置。
  41. 前記第3の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜とからなる積層膜で、
    前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項34に記載の半導体装置。
  42. 前記第2の溝配線はその上部がバリア絶縁膜で覆われており、
    前記バリア絶縁膜は請求項7に記載のSiCH膜又は、SiCHN膜である請求項34に記載の半導体装置。
  43. 前記エッチングストッパ膜が、請求項7に記載のSiCH膜とSiCHN膜との積層膜である請求項36、39及び、41のいずれか1項に記載の半導体装置。
  44. 前記バリア絶縁膜は、請求項7に記載のSiCH膜とSiCHN膜との積層膜である請求項37、38、39及び、42のいずれか1項に記載の半導体装置。
  45. 少なくとも前記溝配線又は、前記接続プラグの一方は、銅または、銅含有金属からなることを特徴とする請求項34に記載の半導体装置。
  46. 前記銅含有金属は、Si、Al、Ag、W、Mg、Be、Zn、Pd、Cd、Au、Hg、Pt、Zr、Ti、Sn、Ni、およびFeからなる群から選択された1以上の金属を含有することを特徴とする請求項45に項記載の半導体装置。
  47. 前記溝配線及び、前記接続プラグは、Ti、TiN、TiSiN、Ta、TaN、およびTaSiNからなる群の1以上のバリアメタル層を有することを特徴とする請求項34に記載の半導体装置。
  48. 少なくとも層間絶縁膜、エッチングストッパ膜又は、金属のバリア絶縁膜のいずれか1の絶縁膜を有する半導体装置の製造法において、
    前記絶縁膜、エッチングストッパ膜又は、金属のバリア絶縁膜が請求項7に記載の有機絶縁膜であることを特徴とする半導体装置の製造方法。
  49. 前記半導体装置が溝配線構造を有することを特徴とする請求項48に記載の半導体装置の製造方法。
  50. 溝配線構造を有する半導体装置の製造方法において、
    半導体基板上に第1絶縁膜を形成する工程と、
    前記第1の絶縁膜を選択的にエッチングして第1の配線溝パターンを形成する工程と、
    前記第1の配線溝パターンを金属により埋設し第1の溝配線を形成する工程と、
    第2の絶縁膜を形成する工程と、
    前記第2の絶縁膜を選択的にエッチングして前記第1の溝配線の上面に達する接続孔形成する工程と、
    前記接続孔に金属を埋設し接続プラグを形成する工程と、
    第3の絶縁膜を形成する工程と、
    前記第3の絶縁膜を選択的にエッチングして少なくとも一部は前記接続プラグの上面に達する第2の溝パターを形成する工程と、
    前記第2の配線溝パターンを金属により埋設し第2の溝配線を形成する工程と、
    バリア絶縁膜を形成する工程と、を有する半導体装置の製造方法において、
    前記第1、第2及び第3の絶縁膜の少なくとも1つの絶縁膜は、請求項7に記載のSiOCHからなることを特徴とする半導体装置の製造方法。
  51. 前記第1の絶縁膜は前記SiOCH膜とハードマスク膜からなる積層膜である請求項50に記載の半導体装置の製造方法。
  52. 前記第1の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜からなる積層膜で、
    前記エッチングストッパ膜は、請求項5に記載のSiCH膜又は、SiCHN膜である請求項50に記載の半導体装置の製造方法。
  53. 前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とハードマスク膜とからなる積層膜で、
    前記バリア絶縁膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項45に記載の半導体装置の製造方法。
  54. 前記第3の絶縁膜は前記SiOCH膜とハードマスク膜とからなる積層膜である請求項50に記載の半導体装置の製造方法。
  55. 前記第3の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜とからなる積層膜で、
    前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項50に記載の半導体装置の製造方法。
  56. 溝配線構造を有する半導体装置の製造方法において、
    半導体基板上に第1絶縁膜を形成する工程と、
    前記第1の絶縁膜を選択的にエッチングして第1の配線溝パターンを形成する工程と、
    前記第1の配線溝パターンを金属により埋設し第1の溝配線を形成する工程と、
    第2の絶縁膜と第3の絶縁膜を形成する工程と、
    前記第2絶縁膜と第3の絶縁膜とを選択的にエッチングして前記第1絶縁膜の上面に達する接続孔を形成する工程と、
    前記第3の絶縁膜を選択的にエッチングして前記第2の絶縁膜の上面に達する第2の配線溝を形成工程と、
    前記接続孔と前記第2の配線溝を金属で埋設する工程と、
    第4の絶縁膜を形成する工程とを有する半導体装置の製造方法において、
    前記第1、第2及び第3の絶縁膜が少なくとも1つの絶縁膜は、請求項7に記載のSiOCHからなることを特徴とする半導体装置の製造方法。
  57. 溝配線構造を有する半導体装置の製造方法において、
    半導体基板上に第1絶縁膜を形成する工程と、
    前記第1の絶縁膜を選択的にエッチングして第1の配線溝パターンを形成する工程と、
    前記第1の配線溝パターンを金属により埋設し第1の溝配線を形成する工程と、
    第2の絶縁膜と第3の絶縁膜を形成する工程と、
    前記第3の絶縁膜を選択的にエッチングして前記第2の絶縁膜の上面に達する第2の配線溝を形成する工程と、
    前記第2の配線溝の底部の一部を選択的にエッチングして、第1の絶縁膜上部に達する接続孔を形成する工程と、
    前記接続孔と前記第2の配線溝を金属で埋設する工程と、
    第4の絶縁膜を形成する工程とを有する半導体装置の製造方法において、
    前記第1、第2及び第3の絶縁膜の少なくとも1つの絶縁膜は、請求項7に記載のSiOCHからなることを特徴とする半導体装置の製造方法。
  58. 前記第1の絶縁膜は前記SiOCH膜とハードマスク膜からなる積層膜である請求項56又は57に記載の半導体装置の製造方法。
  59. 前記第1の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜からなる積層膜で、
    前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項請求項56又は57に記載の半導体装置の製造方法。
  60. 前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とからなる積層膜で、
    前記バリア絶縁膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項請求項56又は57に記載の半導体装置の製造方法。
  61. 前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とエッチングストッパ膜とからなる積層膜で、
    前記バリア絶縁膜及びエッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項請求項56又は57に記載の半導体装置の製造方法。
  62. 前記第3の絶縁膜は前記SiOCH膜とハードマスク膜とからなる積層膜である請求項請求項56又は57に記載の半導体装置の製造方法。
  63. 前記第3の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜とからなる積層膜で、
    前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項請求項56又は57に記載の半導体装置の製造方法。
  64. 溝配線構造を有する半導体装置の製造方法において、
    半導体基板上に第1絶縁膜を形成する工程と、
    前記第1の絶縁膜を選択的にエッチングして第1の配線溝パターンを形成する工程と、
    前記第1の配線溝パターンを金属により埋設し第1の溝配線を形成する工程と、
    第2の絶縁膜を形成する工程と、
    エッチングストッパ膜を形成する工程と、
    前記エッチングストッパ膜に選択的に孔を開口する工程と、
    第3の絶縁膜を形成する工程と、
    前記第3の絶縁膜を選択的にエッチングして前記第2の絶縁膜の上面に達する第2の配線溝を形成するとともに、前記開口を介して前記第1の配線の上部に達する接続孔を形成する工程と、
    前記接続孔と前記第2の配線溝を金属で埋設する工程と、
    第4の絶縁膜を形成する工程とを有する半導体装置の製造方法において、
    前記第1、第2及び第3の絶縁膜の少なくともいずれか1の絶縁膜は、請求項7に記載のSiOCHからなり、前記エッチングストッパ膜が、請求項7に記載のSiCH又は、SiCHNからなることを特徴とする半導体装置の製造方法。
  65. 前記第1の絶縁膜は前記SiOCH膜とハードマスク膜からなる積層膜である請求項64に記載の半導体装置の製造方法。
  66. 前記第1の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜からなる積層膜で、
    前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項64に記載の半導体装置の製造方法。
  67. 前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とからなる積層膜で、
    前記バリア絶縁膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項64に記載の半導体装置の製造方法。
  68. 前記第3の絶縁膜は前記SiOCH膜とハードマスク膜とからなる積層膜である請求項64に記載の半導体装置の製造方法。
  69. 前記第3の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜とからなる積層膜で、
    前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項64に記載の半導体装置の製造方法。
  70. 前記バリア絶縁膜は請求項7に記載のSiCH膜又は、SiCHN膜である請求項64に記載の半導体装置の製造方法。
  71. 少なくとも前記溝配線又は、前記接続プラグの一方は、銅含有金属からなることを特徴とする請求項64に記載の半導体装置。
  72. 前記銅含有金属は、Si、Al、Ag、W、Mg、Be、Zn、Pd、Cd、Au、Hg、Pt、Zr、Ti、Sn、Ni、およびFeからなる群から選択された1以上の金属を含有することを特徴とする請求項56、57及び、64のいずれか1項に記載の半導体装置の製造方法。
  73. 前記溝配線または、接続プラグは、Ti、TiN、TiSiN、Ta、TaN、およびTaSiNからなる群の1以上のバリアメタルを有することを特徴とする請求項56、57及び、64のいずれか1項に記載の半導体装置の製造方法。
JP2003006285A 2003-01-14 2003-01-14 SiCHN膜の成膜方法。 Expired - Fee Related JP3898133B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2003006285A JP3898133B2 (ja) 2003-01-14 2003-01-14 SiCHN膜の成膜方法。
TW092137529A TWI269373B (en) 2003-01-14 2003-12-30 Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
US10/748,821 US20040152334A1 (en) 2003-01-14 2003-12-30 Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
KR1020040002722A KR100649917B1 (ko) 2003-01-14 2004-01-14 유기 절연막 및 그 제조 방법과, 유기 절연막을 이용한반도체 장치 및 그 제조 방법
CN2004100018552A CN1518075B (zh) 2003-01-14 2004-01-14 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
US11/534,941 US7763979B2 (en) 2003-01-14 2006-09-25 Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003006285A JP3898133B2 (ja) 2003-01-14 2003-01-14 SiCHN膜の成膜方法。

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006294225A Division JP4312785B2 (ja) 2006-10-30 2006-10-30 半導体装置

Publications (2)

Publication Number Publication Date
JP2004221275A true JP2004221275A (ja) 2004-08-05
JP3898133B2 JP3898133B2 (ja) 2007-03-28

Family

ID=32767202

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003006285A Expired - Fee Related JP3898133B2 (ja) 2003-01-14 2003-01-14 SiCHN膜の成膜方法。

Country Status (5)

Country Link
US (2) US20040152334A1 (ja)
JP (1) JP3898133B2 (ja)
KR (1) KR100649917B1 (ja)
CN (1) CN1518075B (ja)
TW (1) TWI269373B (ja)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004235637A (ja) * 2003-01-27 2004-08-19 Asm Japan Kk エッチストップ層の2段階形成方法
JP2005071741A (ja) * 2003-08-22 2005-03-17 Tosoh Corp アルケニル基含有有機シラン化合物を含んでなる絶縁膜用材料、それを用いた絶縁膜および半導体デバイス
JP2005072584A (ja) * 2003-08-20 2005-03-17 Asm Japan Kk 低誘電率及び低膜応力を有するシリコン系絶縁膜を形成する方法
WO2006088015A1 (ja) * 2005-02-18 2006-08-24 Nec Corporation 有機シリコン系膜の形成方法、当該有機シリコン系膜を有する半導体装置及びその製造方法
JP2006294671A (ja) * 2005-04-06 2006-10-26 Mitsui Chemicals Inc 低誘電率炭化珪素膜の製造方法
JP2007019188A (ja) * 2005-07-06 2007-01-25 Renesas Technology Corp 半導体集積回路装置およびその製造方法
JP2007048811A (ja) * 2005-08-08 2007-02-22 Asm Japan Kk 半導体装置の配線層間絶縁膜及びその製造方法
WO2007066658A1 (ja) * 2005-12-05 2007-06-14 Tokyo Electron Limited 誘電体膜の成膜方法
JP2007208069A (ja) * 2006-02-02 2007-08-16 Elpida Memory Inc 半導体装置及びその製造方法
JP2008074963A (ja) * 2006-09-21 2008-04-03 Fujifilm Corp 組成物、膜、およびその製造方法
US7354855B2 (en) 2005-07-06 2008-04-08 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
JP2009510268A (ja) * 2005-10-03 2009-03-12 アッシュ・ウー・エフ ケイ素、炭素、水素および窒素に基づく耐食性被覆
JP2009117652A (ja) * 2007-11-07 2009-05-28 Rohm Co Ltd 半導体装置
JP2010073933A (ja) * 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法及び半導体装置
US7718269B2 (en) 2005-03-14 2010-05-18 Renesas Technology Corp. Semiconductor manufacturing method for inter-layer insulating film
WO2010113693A1 (ja) * 2009-03-30 2010-10-07 株式会社マテリアルデザインファクトリ- ガスバリアフィルム、それを含む電子デバイス、ガスバリア袋、およびガスバリアフィルムの製造方法
KR20150035505A (ko) * 2012-07-02 2015-04-06 어플라이드 머티어리얼스, 인코포레이티드 기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어
JP2017085099A (ja) * 2015-10-29 2017-05-18 株式会社半導体エネルギー研究所 半導体装置及び半導体装置の作製方法
JP2017188572A (ja) * 2016-04-06 2017-10-12 キヤノン株式会社 光電変換装置、光電変換装置の製造方法及び撮像システム
JP2019527933A (ja) * 2016-08-09 2019-10-03 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 半導体デバイスの空隙スペーサを形成する方法および半導体デバイス

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070063499A (ko) * 2004-10-26 2007-06-19 로무 가부시키가이샤 반도체 장치 및 반도체 장치의 제조 방법
US7393460B2 (en) * 2005-03-29 2008-07-01 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US7790630B2 (en) * 2005-04-12 2010-09-07 Intel Corporation Silicon-doped carbon dielectrics
JP4167672B2 (ja) * 2005-04-20 2008-10-15 シャープ株式会社 半導体装置の製造方法
JP4485466B2 (ja) * 2005-12-27 2010-06-23 株式会社神戸製鋼所 半導体装置の配線用金属薄膜及び半導体装置用配線
JP5218412B2 (ja) * 2007-09-10 2013-06-26 富士通株式会社 ケイ素含有被膜の製造方法、ケイ素含有被膜および半導体装置
JP2009088269A (ja) 2007-09-28 2009-04-23 Toshiba Corp 半導体装置、およびその製造方法
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
JP2010003894A (ja) * 2008-06-20 2010-01-07 Nec Electronics Corp 半導体装置の製造方法及び半導体装置
JP5554951B2 (ja) 2008-09-11 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5671253B2 (ja) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20110136273A (ko) * 2010-06-14 2011-12-21 삼성전자주식회사 수직형 반도체 소자의 제조 방법
KR101692389B1 (ko) 2010-06-15 2017-01-04 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US8610280B2 (en) * 2011-09-16 2013-12-17 Micron Technology, Inc. Platinum-containing constructions, and methods of forming platinum-containing constructions
TWI576242B (zh) * 2011-12-28 2017-04-01 Kirin Brewery Gas barrier plastic molded body and manufacturing method thereof
CN109477214A (zh) * 2016-07-19 2019-03-15 应用材料公司 可流动含硅膜的沉积
US10937892B2 (en) 2018-09-11 2021-03-02 International Business Machines Corporation Nano multilayer carbon-rich low-k spacer
CN109817572A (zh) * 2019-01-22 2019-05-28 上海华虹宏力半导体制造有限公司 一种刻蚀方法及大马士革结构的制作方法
US20220349049A1 (en) * 2019-06-21 2022-11-03 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57211239A (en) 1981-06-22 1982-12-25 Nippon Telegr & Teleph Corp <Ntt> Formation of insulating film
EP0295401A3 (de) * 1987-04-30 1990-03-21 Wacker-Chemie Gmbh Verfahren zur Polymerisation von polaren Verbindungen
FR2703040B1 (fr) * 1993-03-23 1995-05-12 Atochem Elf Sa Procédé de préparation de poudre pour céramique en nitrure et/ou carbure métallique et/ou métalloïdique par pyrolyse-flash et la poudre ainsi obtenue.
JPH0782379A (ja) 1993-07-21 1995-03-28 Toray Dow Corning Silicone Co Ltd 有機ケイ素重合体の製造方法
JP2718620B2 (ja) * 1993-09-01 1998-02-25 東芝シリコーン株式会社 ポリオルガノシランの製造方法
JP3538159B2 (ja) 1996-08-29 2004-06-14 松下電器産業株式会社 層間絶縁膜の形成方法
JP3226479B2 (ja) 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
KR100463858B1 (ko) 1996-08-29 2005-02-28 마츠시타 덴끼 산교 가부시키가이샤 층간절연막의형성방법
US6162743A (en) 1998-02-10 2000-12-19 Chu; Cheng-Jye Low dielectric constant film and method thereof
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
DE69941677D1 (de) * 1998-09-25 2010-01-07 Jgc Catalysts & Chemicals Ltd Flüssige beschichtungszusammensetzung für silicabeschichtung mit niedriger durchlössigkeit und mit d
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6331479B1 (en) * 1999-09-20 2001-12-18 Chartered Semiconductor Manufacturing Ltd. Method to prevent degradation of low dielectric constant material in copper damascene interconnects
JP4207335B2 (ja) * 1999-10-26 2009-01-14 ソニー株式会社 記録装置、記録再生システム
FR2802336B1 (fr) 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
JP3365554B2 (ja) 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
US6784485B1 (en) 2000-02-11 2004-08-31 International Business Machines Corporation Diffusion barrier layer and semiconductor device containing same
US6426249B1 (en) * 2000-03-16 2002-07-30 International Business Machines Corporation Buried metal dual damascene plate capacitor
JP2001274153A (ja) 2000-03-24 2001-10-05 Hitachi Kokusai Electric Inc 絶縁膜の製造方法
US6528180B1 (en) 2000-05-23 2003-03-04 Applied Materials, Inc. Liner materials
CN100431110C (zh) 2000-08-18 2008-11-05 东京毅力科创株式会社 低介电氮化硅膜的形成方法和半导体器件及其制造工艺
JP2002083870A (ja) 2000-09-11 2002-03-22 Tokyo Electron Ltd 半導体装置及びその製造方法
JP2001127159A (ja) 2000-09-22 2001-05-11 Nec Corp 半導体装置の製造方法
JP2002118169A (ja) 2000-10-12 2002-04-19 Nec Corp 半導体装置およびその製造方法
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
JP3545364B2 (ja) * 2000-12-19 2004-07-21 キヤノン販売株式会社 半導体装置及びその製造方法
KR100422597B1 (ko) * 2001-11-27 2004-03-16 주식회사 하이닉스반도체 다마신 공정에 의해 형성된 캐패시터와 금속배선을 가지는반도체소자
US6797652B1 (en) * 2002-03-15 2004-09-28 Advanced Micro Devices, Inc. Copper damascene with low-k capping layer and improved electromigration reliability
US6764774B2 (en) * 2002-06-19 2004-07-20 International Business Machines Corporation Structures with improved adhesion to Si and C containing dielectrics and method for preparing the same
KR20050069987A (ko) * 2002-08-20 2005-07-05 더 리젠트스 오브 더 유니버시티 오브 콜로라도 중합체 유도된 세라믹 재료
JP3692109B2 (ja) * 2002-10-24 2005-09-07 株式会社東芝 半導体装置の製造方法
US7023093B2 (en) * 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US20040124420A1 (en) * 2002-12-31 2004-07-01 Lin Simon S.H. Etch stop layer

Cited By (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004235637A (ja) * 2003-01-27 2004-08-19 Asm Japan Kk エッチストップ層の2段階形成方法
JP2005072584A (ja) * 2003-08-20 2005-03-17 Asm Japan Kk 低誘電率及び低膜応力を有するシリコン系絶縁膜を形成する方法
JP2005071741A (ja) * 2003-08-22 2005-03-17 Tosoh Corp アルケニル基含有有機シラン化合物を含んでなる絶縁膜用材料、それを用いた絶縁膜および半導体デバイス
JPWO2006088015A1 (ja) * 2005-02-18 2008-07-03 日本電気株式会社 有機シリコン系膜の形成方法、当該有機シリコン系膜を有する半導体装置及びその製造方法
WO2006088015A1 (ja) * 2005-02-18 2006-08-24 Nec Corporation 有機シリコン系膜の形成方法、当該有機シリコン系膜を有する半導体装置及びその製造方法
JP4900239B2 (ja) * 2005-02-18 2012-03-21 日本電気株式会社 有機シリコン系膜の形成方法、当該有機シリコン系膜を有する半導体装置及びその製造方法
US8084294B2 (en) 2005-02-18 2011-12-27 Nec Corporation Method of fabricating organic silicon film, semiconductor device including the same, and method of fabricating the semiconductor device
US8158266B2 (en) 2005-03-14 2012-04-17 Renesas Electronics Corporation Semiconductor manufacturing method for inter-layer insulating film
US7718269B2 (en) 2005-03-14 2010-05-18 Renesas Technology Corp. Semiconductor manufacturing method for inter-layer insulating film
JP2006294671A (ja) * 2005-04-06 2006-10-26 Mitsui Chemicals Inc 低誘電率炭化珪素膜の製造方法
US7419901B2 (en) 2005-07-06 2008-09-02 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US7968966B2 (en) 2005-07-06 2011-06-28 Renesas Electronics Corporation Semiconductor device with fuse and a method of manufacturing the same
US10141257B2 (en) 2005-07-06 2018-11-27 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US10600683B2 (en) 2005-07-06 2020-03-24 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US9391022B2 (en) 2005-07-06 2016-07-12 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US10796953B2 (en) 2005-07-06 2020-10-06 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US7557034B2 (en) 2005-07-06 2009-07-07 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US7602040B2 (en) 2005-07-06 2009-10-13 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US7629251B2 (en) 2005-07-06 2009-12-08 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US11062938B2 (en) 2005-07-06 2021-07-13 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US8704373B2 (en) 2005-07-06 2014-04-22 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US7354855B2 (en) 2005-07-06 2008-04-08 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US11600522B2 (en) 2005-07-06 2023-03-07 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US8686538B2 (en) 2005-07-06 2014-04-01 Renesas Electronics Corporation Semiconductor device with a fuse formed by a damascene technique and a method of manufacturing the same
US7932606B2 (en) 2005-07-06 2011-04-26 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US9899316B2 (en) 2005-07-06 2018-02-20 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US8581415B2 (en) 2005-07-06 2013-11-12 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US8518821B2 (en) 2005-07-06 2013-08-27 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
JP2007019188A (ja) * 2005-07-06 2007-01-25 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US8487412B2 (en) 2005-07-06 2013-07-16 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US8269309B2 (en) 2005-07-06 2012-09-18 Renesas Electronics Corporation Semiconductor device with a fuse formed by a damascene technique and a method of manufacturing the same
JP2007048811A (ja) * 2005-08-08 2007-02-22 Asm Japan Kk 半導体装置の配線層間絶縁膜及びその製造方法
JP4939539B2 (ja) * 2005-10-03 2012-05-30 アッシュ・ウー・エフ ケイ素、炭素、水素および窒素に基づく耐食性被覆
JP2009510268A (ja) * 2005-10-03 2009-03-12 アッシュ・ウー・エフ ケイ素、炭素、水素および窒素に基づく耐食性被覆
KR101200667B1 (ko) * 2005-12-05 2012-11-12 도쿄엘렉트론가부시키가이샤 SiOCH막의 성막 방법, 성막 장치, 다층 배선 구조, 반도체 장치 및 컴퓨터 프로그램을 기억하는 기억 매체
WO2007066658A1 (ja) * 2005-12-05 2007-06-14 Tokyo Electron Limited 誘電体膜の成膜方法
US7867922B2 (en) 2005-12-05 2011-01-11 Tokyo Electron Limited Film forming method for dielectric film
JP2007158000A (ja) * 2005-12-05 2007-06-21 Tokyo Electron Ltd 成膜方法、多層配線構造、半導体装置、コンピュータ可読記録媒体
JP2007208069A (ja) * 2006-02-02 2007-08-16 Elpida Memory Inc 半導体装置及びその製造方法
JP2008074963A (ja) * 2006-09-21 2008-04-03 Fujifilm Corp 組成物、膜、およびその製造方法
JP2009117652A (ja) * 2007-11-07 2009-05-28 Rohm Co Ltd 半導体装置
JP2010073933A (ja) * 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法及び半導体装置
WO2010113693A1 (ja) * 2009-03-30 2010-10-07 株式会社マテリアルデザインファクトリ- ガスバリアフィルム、それを含む電子デバイス、ガスバリア袋、およびガスバリアフィルムの製造方法
US8871350B2 (en) 2009-03-30 2014-10-28 Material Design Factory Co., Ltd. Gas barrier film, electronic device including the same, gas barrier bag, and method for producing gas barrier film
JP2010235979A (ja) * 2009-03-30 2010-10-21 Material Design Factory:Kk ガスバリアフィルム、それを含む電子デバイス、ガスバリア袋、およびガスバリアフィルムの製造方法
KR102138158B1 (ko) * 2012-07-02 2020-07-27 어플라이드 머티어리얼스, 인코포레이티드 기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어
KR20150035505A (ko) * 2012-07-02 2015-04-06 어플라이드 머티어리얼스, 인코포레이티드 기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어
JP2017085099A (ja) * 2015-10-29 2017-05-18 株式会社半導体エネルギー研究所 半導体装置及び半導体装置の作製方法
US11101293B2 (en) 2015-10-29 2021-08-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the semiconductor device
US11776966B2 (en) 2015-10-29 2023-10-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the semiconductor device
JP2017188572A (ja) * 2016-04-06 2017-10-12 キヤノン株式会社 光電変換装置、光電変換装置の製造方法及び撮像システム
JP2019527933A (ja) * 2016-08-09 2019-10-03 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 半導体デバイスの空隙スペーサを形成する方法および半導体デバイス
US11658062B2 (en) 2016-08-09 2023-05-23 Tessera Llc Air gap spacer formation for nano-scale semiconductor devices

Also Published As

Publication number Publication date
KR20040065187A (ko) 2004-07-21
TW200425287A (en) 2004-11-16
CN1518075B (zh) 2010-05-26
US7763979B2 (en) 2010-07-27
CN1518075A (zh) 2004-08-04
US20070246804A1 (en) 2007-10-25
KR100649917B1 (ko) 2006-11-27
TWI269373B (en) 2006-12-21
JP3898133B2 (ja) 2007-03-28
US20040152334A1 (en) 2004-08-05

Similar Documents

Publication Publication Date Title
JP3898133B2 (ja) SiCHN膜の成膜方法。
US8927442B1 (en) SiCOH hardmask with graded transition layers
US7371461B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
JP4425432B2 (ja) 半導体装置の製造方法
JP4045216B2 (ja) 相互接続構造体
US6475929B1 (en) Method of manufacturing a semiconductor structure with treatment to sacrificial stop layer producing diffusion to an adjacent low-k dielectric layer lowering the constant
JP4068072B2 (ja) 半導体装置及びその製造方法
JP3193335B2 (ja) 半導体装置の製造方法
TW200401339A (en) Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof
JP2007318141A (ja) 貴金属ライナとこれに隣接する誘電材料間の付着性を向上させた相互接続構造およびその製造方法(金属/誘電体界面のための付着性向上)
CN1319148C (zh) 具有改进的层间界面强度的半导体器件及其制备方法
TW200425402A (en) Semiconductor device and manufacturing method thereof
JP4746829B2 (ja) 半導体装置およびその製造方法
JP2001223269A (ja) 半導体装置およびその製造方法
JP2004235548A (ja) 半導体装置およびその製造方法
US6576545B1 (en) Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
JP4312785B2 (ja) 半導体装置
JP2003303880A (ja) 積層層間絶縁膜構造を利用した配線構造およびその製造方法
JPH11233630A (ja) 半導体装置の製造方法およびこれを用いた半導体装置
JP4034197B2 (ja) 半導体装置の製造方法
TW495880B (en) Method of repairing a low dielectric constant material layer
JP2010034517A (ja) 半導体装置および半導体装置の製造方法
US6753607B1 (en) Structure for improving interlevel conductor connections
JP4747755B2 (ja) 有機絶縁膜とその作製方法,及び有機絶縁膜を用いた半導体装置
JP2002134610A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041220

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20050106

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20050106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060125

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20060224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060327

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061030

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20061121

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061220

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3898133

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110105

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110105

Year of fee payment: 4

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110105

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110105

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120105

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120105

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130105

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130105

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140105

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees