CN100452318C - 用于产生栅极叠层侧壁隔片的方法 - Google Patents

用于产生栅极叠层侧壁隔片的方法 Download PDF

Info

Publication number
CN100452318C
CN100452318C CNB2006100005104A CN200610000510A CN100452318C CN 100452318 C CN100452318 C CN 100452318C CN B2006100005104 A CNB2006100005104 A CN B2006100005104A CN 200610000510 A CN200610000510 A CN 200610000510A CN 100452318 C CN100452318 C CN 100452318C
Authority
CN
China
Prior art keywords
carborundum
dielectric constant
silicon
nitrating
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2006100005104A
Other languages
English (en)
Other versions
CN1822330A (zh
Inventor
蕾扎·阿嘎娃尼
迈克尔·丘·宽
夏立群
尹康素
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1822330A publication Critical patent/CN1822330A/zh
Application granted granted Critical
Publication of CN100452318C publication Critical patent/CN100452318C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47CCHAIRS; SOFAS; BEDS
    • A47C4/00Foldable, collapsible or dismountable chairs
    • A47C4/04Folding chairs with inflexible seats
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47CCHAIRS; SOFAS; BEDS
    • A47C7/00Parts, details, or accessories of chairs or stools
    • A47C7/36Support for the head or the back
    • A47C7/40Support for the head or the back for the back
    • A47C7/402Support for the head or the back for the back adjustable in height
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47CCHAIRS; SOFAS; BEDS
    • A47C7/00Parts, details, or accessories of chairs or stools
    • A47C7/36Support for the head or the back
    • A47C7/40Support for the head or the back for the back
    • A47C7/405Support for the head or the back for the back with double backrests
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47CCHAIRS; SOFAS; BEDS
    • A47C7/00Parts, details, or accessories of chairs or stools
    • A47C7/62Accessories for chairs
    • A47C7/622Receptacles, e.g. cup holders, storage containers
    • A47C7/626Receptacles, e.g. cup holders, storage containers directly under the seat
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种用于通过利用PECVD工艺在栅极结构上沉积一个或多个含硅材料层以产生总k值在约3.0到约5.0之间的隔片,从而在栅极叠层上形成侧壁隔片的方法。含硅材料可以是碳化硅、掺氧的碳化硅、掺氮的碳化硅、掺碳的氮化硅、掺氮的碳氧化硅或其组合。沉积在等离子体增强化学气相沉积室中执行,并且沉积温度小于450℃。这样产生的侧壁隔片提供了好的容性电阻以及优秀的结构稳定性和密封性。

Description

用于产生栅极叠层侧壁隔片的方法
技术领域
本发明的实施例一般地涉及用于产生半导体衬底上的栅极叠层的侧壁隔片的方法。
背景技术
超大规模集成(ULSI)电路一般包括多于一百万个晶体管,这些晶体管形成在半导体衬底上,并且在电子器件内协同操作来执行各种功能。这种晶体管可以包括互补金属氧化物半导体(CMOS)场效应晶体管。
CMOS晶体管包括放置在半导体衬底内限定的源极区域和漏极区域之间的栅极结构。栅极结构(叠层)通常包括形成在栅极介电材料上的栅极电极。栅极电极控制电介质下方的电荷载流子在形成在漏极区域和源极区域之间的沟道区域中的流动,从而导通或截止晶体管。一般来说,紧挨栅极叠层布置的是隔片层,隔片层在栅极叠层的每一侧上形成了侧壁。侧壁隔片有好几个功能,包括电隔离栅极电极与源极和漏极触点或互连,在后续处理步骤期间保护栅极叠层免受物理退化的影响,并且提供氧和水气阻挡层以保护栅极金属。这种侧壁隔片结构的一个示例在2003年3月25日提交的美国专利申请No.10/397,776中有所公开。
传统的栅极叠层以介电常数小于约5(k<5)的材料形成,并且一般受氮化硅隔片保护。晶体管尺寸的进一步减小可能要求栅极层的介电常数大于10(k>10)。如果随后以相对高k(k>7)材料(如氮化硅)来制作侧壁隔片,则在使用包含完整的栅极电极的器件的期间可能发生相邻互连线之间的过量信号串扰。尽管可以采用超低k材料(k<3)作为隔片层,但是这些材料通常缺乏必要的结构完整性以承受后续的处理步骤和/或必需的对氧和水气的不透过性以保护栅极金属免受腐蚀。
另外,用来制备氮化硅隔片的传统的热化学气相沉积(CVD)工艺要求高的沉积温度,该温度一般超过600℃。在高温下沉积的氮化物隔片有非常好的保形性(例如≥95%);但是,高沉积温度导致栅极器件的大的热周期,并且不与用于0.09微米技术甚至更细的高级器件制造兼容。
因此,需要一种用于低k栅极叠层的低温和低k侧壁隔片,其中该侧壁隔片拥有在结构稳定性和密封性方面的期望物理性能。
发明内容
本发明提供了一种方法,该方法用于通过利用等离子体增强化学气相沉积(PECVD)工艺在等于或低于450℃的温度下在栅极叠层上沉积一个或多个含硅材料层以产生总介电常数在约3.0到约5.0之间的隔片,从而在栅极叠层上形成侧壁隔片。
在一个实施例中,一种用于形成栅极电极的侧壁隔片的方法包括:将具有栅极结构的衬底放置在等离子体处理室中;使一种或多种处理气体流入等离子体处理室;在等离子体处理室中产生等离子体;以及在等于或小于450℃的温度下,在栅极结构上沉积一个或多个含硅材料层,其中所述一个或多个含硅材料层具有约3.0到约5.0的总k值。
在另一个实施例中,一种用于形成栅极电极的侧壁隔片的方法包括:将具有栅极结构的衬底放置在等离子体处理室中;使一种或多种处理气体流入等离子体处理室;在等离子体处理室中产生等离子体;以及在栅极结构上沉积一个或多个含硅材料层,其中所述一个或多个含硅材料层具有约3.0到约5.0的总k值,并且所述一个或多个含硅材料层选自以下的组,所述组由碳化硅、掺氧的碳化硅、掺氮的碳化硅、掺碳的氮化硅、掺氮的碳氧化硅和其组合的组成。
在另一个实施例中,一种用于形成栅极电极的侧壁隔片的方法包括:将具有栅极结构的衬底放置在等离子体处理室中;使一种或多种处理气体流入等离子体处理室;在等离子体处理室中产生等离子体;以及在等于或小于450℃的温度下,在栅极结构上沉积一个或多个含硅材料层,其中所述一个或多个含硅材料层具有约3.0到约5.0的总k值,并且所述一个或多个含硅材料层选自以下的组,所述组由碳化硅、掺氧的碳化硅、掺氮的碳化硅、掺碳的氮化硅、掺氮的碳氧化硅和其组合组成。
附图说明
从而,通过参考实施例,可以知道可以详细理解本发明的上述特征的方式以及上述简要总结的本发明的更具体描述,在附图中示出了某些实施例。然而,应当注意,附图仅仅图示了本发明的典型实施例,因此并不应认为是对本发明范围的限制,因为本发明可以容许其他等同的实施例。
图1图示了包括根据本发明一个实施例形成的栅极结构的晶体管。
图2示出了PECVD室中薄膜沉积的工艺流程。
其中附图标记表示:
100:衬底
102:场隔离区域
103:阱
104:栅极介电层
106:电极层
124:栅极叠层
125:薄氧化物层
126:隔片层
140:尖端
148:源极/漏极区域
200:等离子体沉积工艺流程
201:将衬底放置在等离子体处理室中
202:使一种或多种处理气体流入等离子体室
203:在等离子体处理室中产生等离子体
204:在等离子体处理室中,在衬底上沉积薄膜
具体实施方式
本发明包括通过向栅极结构施加基于硅的膜来形成栅极叠层的侧壁隔片。在某些实施例中,隔片层通过沉积与栅极电介质紧邻的碳化硅、掺氧的碳化硅、掺氮的碳化硅、或者掺碳的氮化硅来形成。在另一个实施例中,隔片层通过沉积掺碳的氧氮化硅来形成。
图1图示了具有根据本发明一个实施例形成的栅极结构的晶体管。参考图1,在衬底100(例如硅晶片)中形成有多个场隔离区域102。该多个场隔离区域102将一种类型电导性(例如p型)的阱103与其他类型电导性(例如n型)的相邻阱(未示出)隔离开来。然后,在衬底100和隔离区域102上形成栅极介电层104。一般来说,栅极介电层104可以通过沉积或生长诸如氧化硅(SiOn)和/或氧氮化硅之类的材料层来形成,这些材料层形成了介电常数小于约5.0的层。近来栅极介电技术的进展表明较高的介电常数材料(K>10)对于形成栅极介电层104来说是理想的。用于此的合适材料的示例包括但不限于金属氧化物(Al2O3、ZrO2、HfO2、TiO2、Y2O3和La2O3)、铁电体(锆钛酸铅(PZT)和钛酸锶钡(BST))、无定形金属硅酸盐(HfSixOy和ZrSixOy)、无定形硅酸盐氧化物(HfO2和ZrO2)和顺电体(BaxSr1-xTiO3和PbZrxTi1-xO3)。包含这些材料的高k层可以利用各种沉积工艺形成。
另外,导电的栅极电极层106毯覆沉积在栅极介电层104上。通常,栅极电极层106可以包括诸如但不限于掺杂多晶硅、非掺杂多晶硅、碳化硅、或硅锗化合物之类的材料。然而,在可预期的实施例中,栅极电极层106可以包含金属、金属合金、金属氧化物、单晶硅、无定形硅、硅化物或本领域中公知的用于形成栅极电极的其他材料。
诸如氮化物层之类的硬掩模层(未示出)经由CVD工艺沉积在导电层106上。然后,执行光刻工艺,其包括以下步骤:掩蔽、曝光和显影光刻胶层以形成光刻胶掩模(未示出)。通过使用光刻胶掩模来对齐刻蚀将硬掩模层刻蚀到栅极电极层106的顶部,从而在栅极电极层106上产生硬掩模(未示出),使光刻胶掩模的图案转移到硬掩模层上。
通过移去光刻胶,并使用硬掩模来对齐刻蚀将栅极电极层106向下刻蚀到介电层104的顶部,从而产生包括硬掩模下方的栅极电极层106的剩余材料在内的导电结构,来进一步修饰结构。此结构由刻蚀栅极电极层106,而不刻蚀硬掩模或栅极介电层104而得到。继续处理工序,栅极介电层104被刻蚀到衬底100的顶部和隔离区域102的顶部。栅极电极106和栅极电介质104一起限定了集成器件(如晶体管)的复合结构124,有时也称为栅极叠层或栅极。
在晶体管的进一步处理中,通过采用尖端注入工艺来形成尖端或浅的源极/漏极延伸140。栅极电极106保护了栅极电介质104下方的衬底区域不被离子注入。然后,可以执行快速热处(RTP)退火,以将尖端140驱动到栅极电介质104的部分下方。
随后,保形的薄氧化物层125沉积在整个衬底表面上。该氧化物层被用来保护硅表面免受隔片层的影响,隔片层在传统工艺中是氮化硅层。保形的薄氧化物层一般以TEOS源气体在低压化学气相沉积室中在高温下(>600℃)进行沉积。其松弛了硅衬底和氮化物隔片之间的应力,并且还保护了栅极角部免受氮化硅隔片的影响。如果使用低k和非氮化硅材料作为侧壁隔片,则该保形的薄氧化物层125可能被消除或被其他的低k材料取代。
然后,在本发明的一个实施例中,厚度在约200埃到约1000埃范围内,优选地在约400埃到约800埃之间的隔片层126毯覆沉积在栅极叠层124的顶部,并且沿着复合结构124的侧边的整个长度沉积,包括栅极电极106和栅极电介质104的侧壁的整个长度。同时,隔片层126沉积在衬底100或隔离区域102的任何暴露部分的顶部。传统的隔片层是通过热CVD沉积的氮化硅层。对于高级器件制造,氮化硅(k值约为7)的介电常数(k值)太高,这可能导致过量的信号串扰。另外,用来沉积氮化硅的热CVD工艺要求高的沉积温度(>600℃)。高沉积温度导致高的热周期,并且可能改变尖端140的掺杂剂分布。因此,使隔片层沉积工艺具有更低的沉积温度是所希望的。等离子体增强化学气相沉积(PECVD)工艺一般具有更低的沉积温度。对于由等离子体工艺沉积的氮化硅层,沉积温度可以降低到450℃或更低。图2中示出了在等离子体处理室中沉积薄膜的工艺。该工艺开始于步骤201,在步骤201,将衬底放置在等离子体处理室中。随后在步骤202,使一种或多种处理气体流入等离子体处理室中。然后在步骤203,在等离子体处理室中产生等离子体。在步骤204,在等离子体处理室中在衬底上沉积薄膜。由等离子体工艺沉积的隔片层的保形性没有热CVD工艺沉积的那么好。以氮化硅作为示例,由热CVD沉积的氮化硅具有接近100%的保形性,而由PECVD沉积的氮化硅的保形性为约75%。尽管PECVD工艺沉积的隔片层的保形性比热CVD工艺沉积的低,但是低热周期(或热预算)的优点可以胜过低保形性的缺点。因此,采用由PECVD沉积的低k隔片层是理想的。
然后,刻蚀隔片层126和薄氧化物层125,以从栅极电极106的顶部,以及从隔离区域102的顶面和衬底100的大部分顶面(除了直接靠在栅极叠层124的任一侧边的以外)移去隔片层126和薄氧化物层125。然而,各向异性刻蚀留下了隔片层126和薄氧化物层125沿栅极电极106和栅极电介质104的侧壁的整个长度的一部分,从而形成了侧壁隔片126。或者,侧壁隔片126可以被沉积为单个层或两个或更多个顺序沉积的层。
随后,对衬底100进行深度高剂量注入处理,以在阱区域103中形成深结源极/漏极区域148。深度注入包括以用来形成尖端140的相同导电类型杂质注入离子。同时,如果导电栅极电极包括多晶硅,则可以使用深度注入工艺来掺杂栅极电极106中的多晶硅(如果先前未掺杂的话)。可以执行活化退火来活化尖端140和深度注入区148。可以用快速热处理(RTP)来执行退火。
晶体管结构和其形成方法的前述实施例仅是示例性的。可以采用栅极电极和其替换形成方法的另外实施例来实践本发明。关于用于形成栅极叠层的示例性方法和装置的进一步的细节在2003年7月1日提交的共同转让的美国专利申请No.10/612,642中有所公开,该申请要求了2002年7月2日提交的美国临时专利申请No.60/393,393的优先权,这里通过引用并入这两个申请的内容,只要其与本文内容不冲突。
在本发明的各种实施例中,隔片膜(层)126可以由碳化硅、掺氧的碳化硅、掺氮的碳化硅、掺碳的氮化硅、掺氮的碳氧化硅或其组合形成。在这些实施例中,所得到的隔片层126具有约3.0到约5.0的k值。另外,沉积由PECVD工艺在低于450℃的温度下进行。
在一个实施例中,通过使包括硅源、碳源和惰性气体在内的气体混合物在PEVCD室(如DxZTM室)中在等离子体条件下发生反应,由碳化硅形成了隔片层126。DxZTM室可以从位于California,Santa Clara的应用材料公司商业获得。在一个实施例中,处理温度在约200℃到约400℃之间。硅源和碳源可以是单个源,如具有通式SixCyHz的有机硅烷化合物。例如,可以使用甲基硅烷(SiH3CH3)、二甲基硅烷(SiH2(CH3)2)、三甲基硅烷(SiH(CH3)3)、四甲基硅烷(Si(CH3)4)、二乙基硅烷(SiH2(C2H5)2)和二(三甲基甲硅烷基)乙烯(C(Si(CH3)3)2)和其组合,以及其他化合物来提供硅和碳。或者,可以使用包括但不限于硅烷(SiH4)、乙硅烷(Si2H6)和其组合的化合物作为硅源,并且可以采用具有通式CxH2x+2的化合物(包括但不限于甲烷(CH4))、具有通式CxH2x的化合物(包括但不限于乙烯(C2H4))和其组合作为碳源。可以使用氦(He)、氩(Ar)、氮(N2)和其组合以及其他气体作为惰性气体。用于沉积碳化硅层的方法和其物理性能的进一步的细节在共同转让的美国专利No.6,465,366中有所公开,这里通过引用并入其内容,只要其与本文内容不冲突。
如前沉积的碳化硅层具有约3.0到约5.0的介电常数。碳化硅层的介电常数是可调节的,因为其可以作为混频RF功率的比率的函数变化。具体而言,随着低频RF功率对总混合RF功率的比率的减小,如前沉积的碳化硅层的介电常数也减小。碳化硅层的介电常数也可以作为层形成期间气体混合物的组分的函数来被调节。随着气体混合物中碳浓度的增加,如前沉积的碳化硅层的碳含量增加,减小了其介电常数。同样,随着如前沉积的碳化硅层的碳含量的增加,其疏水性增加。
在另一个实施例中,通过使包括一种或多种含氧有机硅化合物和一种或多种无氧有机硅化合物的处理气体发生反应,形成包括碳-硅键并且介电常数在约3.5到约5.0之间的介电层,来由掺氧的碳化硅形成隔片层126。含氧有机硅化合物具有通用结构SiwCxHyOz。无氧有机硅化合物具有通用结构SixCyHz。用于上述工艺的合适的有机硅化合物包括脂肪族有机硅化合物、环状有机硅化合物、或其组合。脂肪族有机硅化合物具有线性或枝化结构。商业可获得的脂肪族有机硅化合物包括无氧有机硅化合物(如烷基硅烷)和含氧有机硅化合物(如烷基硅氧烷)。
上述工艺优选地在适合于沉积有机硅材料同时施加RF功率的等离子体处理室中执行,如DxZTM等离子体增强化学气相沉积室。在一个实施例中,沉积温度在约250℃到约450℃之间。通常,有机硅化合物在包括惰性气体或不活泼气体的等离子体中反应,惰性气体例如是氦(He)或氩(Ar),不活泼气体例如是氮(N2)。用于沉积掺氧的碳化硅层的方法和其物理性能的进一步的细节在2002年7月15日提交的共同转让的美国专利No.6,890,850中有所公开,该专利要求了2001年12月14日提交的美国临时专利申请No.60/340,615的优先权,这里通过引用并入这两个文件的内容,只要其与本文内容不冲突。
在另一个实施例中,通过沉积碳化硅层,然后在等离子体条件下通过提供含氧的气体来向该层引入氧,形成了掺氧的碳化硅层。以含氧的气体进行的等离子体处理可以在如DxZTM室的PECVD室中执行。在一个实施例中,等离子体处理温度维持在约0℃到约500℃之间的范围内,优选地在约100℃到约450℃之间的范围内。掺氧的碳化硅膜具有约1到约30原子百分比的氧含量和约3.5到约5.0的介电常数。在一个实施例中,一种或多种无氧有机硅化合物和一种或多种含氧有机硅化合物进行反应,以沉积具有约1到约30原子百分比的氧含量和约3.5到约5.0的介电常数的掺氧的碳化硅膜。在一个实施例中,沉积在PECVD室中执行,并且温度维持在约-20℃到约500℃之间,优选地在约170℃到约180℃之间。掺氧的碳化硅层的介电常数是可调节的,因为其可以作为所采用的反应物、反应物和惰性气体流率、室温度和施加的RF频率的函数而变化。用于通过碳化硅层的氧掺杂来产生掺氧的碳化硅层的方法的进一步的细节在1999年6月18日提交的共同转让的美国专利No.6,821,571和2003年3月7日提交的共同转让的美国专利No.6,913,992中有所公开,这里通过引用并入这两个文件的内容,只要其与本文内容不冲突。
在另一个实施例中,通过使包括硅源、碳源和氮源的气体混合物进行反应,由掺氮的碳化硅形成了隔片层126。这里,术语“掺氮的碳化硅”指包含硅、氮、碳和(可选的)氢的材料,其中氮含量小于碳含量。在一个实施例中,如前沉积的掺氮的碳化硅层包含约1到约30原子百分比的氮,并且具有约4.0到约5.0的介电常数。沉积可以在如DxZTM室的PECVD室中执行。在一个实施例中,衬底温度维持在约150℃到约450℃之间的范围内。掺氮的碳化硅层的介电常数是可调节的,因为其可以作为沉积工艺期间施加的RF功率的函数而变化。具体而言,随着RF功率的增大,如前沉积的掺氮的碳化硅层的介电常数也增大。另外,介电常数可以作为气体混合物中氮源和/或其浓度的函数而变化。具体而言,随着氮掺杂物的含量增加,沉积的掺氮的碳化硅层的介电常数减小。用于沉积掺氮的碳化硅层的方法和其物理性能的进一步的细节在2000年7月28日提交的共同转让的美国专利No.6,764,958和美国专利No.6,537,733中有所公开,这里通过引用并入这两个文件的内容,只要其与本文内容不冲突。
在另一个实施例中,掺氮的碳化硅层由碳化硅层的氮化来形成。其中,由等离子体工艺制备的碳化硅层被暴露于硝化气体的等离子体中,以在碳化硅层上形成富氮表面。以含氮气体进行的等离子体处理可以在PECVD室中执行,如DxZTM室或ProducerTM PECVD室,这两种室都可以从California,Santa Clara的应用材料公司获得。在一个实施例中,衬底温度维持在约100℃到约450℃之间的范围内。碳化硅层的富氮表面可以包括氮化硅或氮化碳硅,并且在这里被称为氮化表面。硝化气体选自下面的组,该组包括但不限于氨气(NH3)、氮气(N2)、一氧化二氮(N2O)和其组合。另外,氮化工艺可以包括惰性气体,如氩(Ar)和氦(He)。如前沉积的掺氮的碳化硅层包含约1到约30原子百分比的氮,并且具有约4.0到约5.0的介电常数。用于通过碳化硅层的氮化沉积掺氮的碳化硅层的方法的进一步的细节在2001年7月10日提交的共同转让的美国专利No.6,794,311中有所公开,这里通过引用并入该专利的内容,只要其与本文内容不冲突。
在另一个实施例中,通过使包括硅源、碳源和氮源的气体混合物进行反应,由掺碳的氮化硅形成了隔片层126。这里,术语“掺碳的氮化硅”指包含硅、氮、碳和(可选的)氢的材料,其中碳含量小于氮含量。这种层例如可以通过使一种或多种含氮化合物与一种或多种有机硅化合物发生反应来形成。沉积可以在如ProducerTM室的PECVD室中进行。在一个实施例中,沉积温度在约100℃到约500℃之间,优选地在约250℃到约450℃之间。如前沉积的掺碳的氮化硅层包含约1到约30原子百分比的碳,并且具有约4.0到约5.0的介电常数。掺碳的氮化硅层的介电常数是可调节的,因为其可以作为反应压强和气体混合物中氮源和/或其浓度的函数而变化。用于沉积掺碳的氮化硅层的方法和装置的进一步的细节在2004年4月19日提交的共同转让的美国专利申请No.10/828,023和2003年11月25日提交的美国临时专利申请No.60/525,241中有所公开,这里通过引用并入这两个申请的内容,只要其与本文内容不冲突。
在另一个实施例中,隔片层126由掺氮的碳氧化硅形成。这里,术语“掺氮的碳氧化硅”用来指包含硅、碳、氧和氮的化合物。在一个实施例中,本发明的掺氮的碳氧化硅通过使一种或多种有机硅源气体与一种或多种氧源气体和一种或多种氮源气体进行反应来形成。掺氮的碳氧化硅的介电常数在约3.0到约5.0之间。在一个实施例中,沉积工艺可以在PECVD室中进行,并且沉积温度在约-20℃到约500℃之间,优选地在约170℃到约180℃之间。在一个实施例中,在沉积后,沉积材料被在约100℃到约400℃之间的温度下退火,以减少水分含量并增大沉积材料的密实性和硬度。在一个实施例中,沉积材料被在约300℃到约450℃之间的温度下进行等离子体处理,以减少表面对后续沉积的材料的反应性。掺氮的碳氧化硅的介电常数是可调节的,因为其可以作为所采用的反应物和反应室温度的函数而变化。用于沉积掺氮的碳氧化硅的方法的进一步的细节在共同转让的美国专利No.6,656,837和美国专利No.6,627,532中有所公开,这里通过引用并入这两个专利的内容,只要其与本文内容不冲突。
尽管前述材料可以沉积为单个层以形成侧壁隔片,但是本发明不限于此。可以在等离子体增强化学气相沉积反应室中在等离子体条件下,在等于或小于450℃的温度下,顺序或同时沉积一个或多个材料层,以产生总k值在约3.0到约5.0之间的复合隔片层。这可以通过施加每层具有约3.0到约5.0之间的k值的一个或多个材料层来实现。或者,这可以通过施加这样的一个或多个材料层来实现,其中任何一层可以具有大于或小于约3.0到约5.0的k值,从而使复合隔片层具有约3.0到约5.0之间的k值。
尽管前述内容描述了本发明的实施例,但是在不脱离本发明基本范围的前提下可以设计出本发明的其他和另外的实施例,本发明的基本范围由所附权利要求确定。

Claims (19)

1.一种用于形成栅极电极的侧壁隔片的方法,包括:
将具有栅极结构的衬底放置在等离子体处理室中;
使一种或多种处理气体流入所述等离子体处理室;
在所述等离子体处理室中产生等离子体;以及
在等离子体的存在下,在等于或小于450℃的温度下,在所述栅极结构上沉积一个或多个含硅材料层,其中所述一个或多个含硅材料层具有3.0到5.0的总介电常数值,包含选自以下组的材料,所述组由碳化硅、掺氧的碳化硅、掺氮的碳化硅、掺碳的氮化硅、掺氮的碳氧化硅和其组合组成。
2.如权利要求1所述的方法,其中所述侧壁隔片形成为单个层。
3.如权利要求1所述的方法,其中所述侧壁隔片包括碳化硅。
4.如权利要求3所述的方法,其中通过改变沉积期间所述等离子体增强化学气相沉积室的混频功率的比率,来改变所述碳化硅的介电常数。
5.如权利要求3所述的方法,其中通过改变用来沉积碳化硅的气体混合物,来改变所述碳化硅的介电常数。
6.如权利要求1所述的方法,其中所述含硅材料包括掺氧的碳化硅。
7.如权利要求6所述的方法,其中通过改变所述等离子体增强化学气相沉积室的混频比率,来改变所述掺氧的碳化硅的介电常数。
8.如权利要求6所述的方法,其中通过改变所述处理气体或处理气体流率,来改变所述掺氧的碳化硅的介电常数。
9.如权利要求6所述的方法,其中通过改变沉积温度,来改变所述掺氧的碳化硅的介电常数。
10.如权利要求1所述的方法,其中所述含硅材料包括掺氮的碳化硅。
11.如权利要求10所述的方法,其中通过增加RF功率,来增大所述掺氮的碳化硅的介电常数。
12.如权利要求11所述的方法,其中通过调节沉积期间所使用的氮源和/或所述沉积气体混合物中氮源的浓度,来改变所述掺氮的碳化硅的介电常数。
13.如权利要求1所述的方法,其中所述含硅材料包括掺氮的碳化硅,并且所述掺氮的碳化硅由碳化硅的等离子体氮化形成。
14.如权利要求13所述的方法,其中所述等离子体氮化在100℃到450℃之间的温度下执行。
15.如权利要求1所述的方法,其中所述含硅材料包括掺碳的氮化硅。
16.如权利要求15所述的方法,其中所述掺碳的氮化硅具有小于30原子百分比的碳含量。
17.如权利要求15所述的方法,其中通过调节反应压强、沉积期间所使用的氮源和所述沉积气体混合物中气体的浓度,来改变所述掺碳的氮化硅的介电常数。
18.如权利要求1所述的方法,其中所述含硅材料层包括掺碳的氧氮化硅。
19.如权利要求18所述的方法,其中通过调节沉积温度或用来形成所述掺碳的氧氮化硅的反应物,来改变所述掺碳的氧氮化硅的介电常数。
CNB2006100005104A 2005-01-10 2006-01-09 用于产生栅极叠层侧壁隔片的方法 Expired - Fee Related CN100452318C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/032,859 US7253123B2 (en) 2005-01-10 2005-01-10 Method for producing gate stack sidewall spacers
US11/032,859 2005-01-10

Publications (2)

Publication Number Publication Date
CN1822330A CN1822330A (zh) 2006-08-23
CN100452318C true CN100452318C (zh) 2009-01-14

Family

ID=36653843

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100005104A Expired - Fee Related CN100452318C (zh) 2005-01-10 2006-01-09 用于产生栅极叠层侧壁隔片的方法

Country Status (4)

Country Link
US (1) US7253123B2 (zh)
KR (1) KR101164688B1 (zh)
CN (1) CN100452318C (zh)
TW (1) TWI330391B (zh)

Families Citing this family (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
JP4357434B2 (ja) * 2005-02-25 2009-11-04 株式会社東芝 半導体装置の製造方法
US20060228903A1 (en) * 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7371649B2 (en) * 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
EP1949416A2 (en) * 2005-09-23 2008-07-30 Nxp B.V. A method of fabricating a structure for a semiconductor device
JP2007208069A (ja) * 2006-02-02 2007-08-16 Elpida Memory Inc 半導体装置及びその製造方法
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
JP4972350B2 (ja) * 2006-06-30 2012-07-11 株式会社日立製作所 半導体装置の製造方法
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
WO2009045964A1 (en) * 2007-10-01 2009-04-09 Applied Materials, Inc. Low temperature conformal oxide formation and applications
KR20090057730A (ko) * 2007-12-03 2009-06-08 주식회사 동부하이텍 반도체 소자의 층간 절연막 형성 방법
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
KR20100103302A (ko) * 2009-03-13 2010-09-27 삼성전자주식회사 반도체 소자의 제조 방법
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US8222100B2 (en) * 2010-01-15 2012-07-17 International Business Machines Corporation CMOS circuit with low-k spacer and stress liner
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8580646B2 (en) 2010-11-18 2013-11-12 International Business Machines Corporation Method of fabricating field effect transistors with low k sidewall spacers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
CN102446924A (zh) * 2011-10-17 2012-05-09 上海华力微电子有限公司 一种非挥发性存储器单元结构以及形成方法
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9349835B2 (en) 2013-09-16 2016-05-24 Globalfoundries Inc. Methods for replacing gate sidewall materials with a low-k spacer
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
TW201522696A (zh) * 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
SG10201600832VA (en) * 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10163719B2 (en) * 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11063065B2 (en) 2018-07-06 2021-07-13 Samsung Electronics Co., Ltd. Semiconductor device having a negative capacitance using ferroelectrical material
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11530478B2 (en) * 2019-03-19 2022-12-20 Applied Materials, Inc. Method for forming a hydrophobic and icephobic coating

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010034121A1 (en) * 1999-02-05 2001-10-25 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6383951B1 (en) * 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US20040115876A1 (en) * 2002-10-10 2004-06-17 Asm Japan K.K. Method of manufacturing silicon carbide film
US20040192032A1 (en) * 2002-10-17 2004-09-30 Renesas Technology Corp. Semiconductor device and manufacturing method thereof

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
EP1070346A1 (en) * 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6248429B1 (en) * 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
TW437040B (en) * 1998-08-12 2001-05-28 Applied Materials Inc Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics
US6228758B1 (en) * 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) * 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
KR100346449B1 (ko) * 1999-07-24 2002-07-27 주식회사 하이닉스반도체 반도체소자의 제조방법
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6743732B1 (en) * 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6893969B2 (en) * 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6762127B2 (en) * 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
JP2003273398A (ja) * 2002-03-20 2003-09-26 Nippon Telegr & Teleph Corp <Ntt> 半導体材料およびそれを用いた半導体装置
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
WO2004006303A2 (en) * 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7126225B2 (en) * 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US6794571B1 (en) * 2003-11-05 2004-09-21 International Business Machines Corporation EMC sealing system and method for an electrical enclosure
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US6893967B1 (en) * 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
JP2007537602A (ja) * 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6383951B1 (en) * 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US20010034121A1 (en) * 1999-02-05 2001-10-25 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US20040115876A1 (en) * 2002-10-10 2004-06-17 Asm Japan K.K. Method of manufacturing silicon carbide film
US20040192032A1 (en) * 2002-10-17 2004-09-30 Renesas Technology Corp. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
CN1822330A (zh) 2006-08-23
TW200629428A (en) 2006-08-16
KR20060081660A (ko) 2006-07-13
KR101164688B1 (ko) 2012-07-11
US7253123B2 (en) 2007-08-07
US20060154493A1 (en) 2006-07-13
TWI330391B (en) 2010-09-11

Similar Documents

Publication Publication Date Title
CN100452318C (zh) 用于产生栅极叠层侧壁隔片的方法
TWI378505B (en) A new material for contact etch layer to enhance device performance
JP4340830B2 (ja) 半導体装置のゲート絶縁膜形成方法
US7994070B1 (en) Low-temperature dielectric film formation by chemical vapor deposition
US7659158B2 (en) Atomic layer deposition processes for non-volatile memory devices
US20090111284A1 (en) Method for silicon based dielectric chemical vapor deposition
US7365029B2 (en) Method for silicon nitride chemical vapor deposition
CN102047386B (zh) 含硅薄膜的低温沉积
KR101327923B1 (ko) 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법
US20130260564A1 (en) Insensitive dry removal process for semiconductor integration
JP2009512188A (ja) ドープされた窒化シリコン膜の低温堆積のための方法及び装置
WO2004010467A2 (en) Low temperature dielectric deposition using aminosilane and ozone
KR20090107090A (ko) 산화규소 함유 필름의 형성 방법
KR20090094000A (ko) 게이트 스택 구조물에 대한 연속 처리 클러스터링 방법
US8288802B2 (en) Spacer structure wherein carbon-containing oxynitride film formed within
JP4005602B2 (ja) 半導体装置の製造方法
JP2003017689A (ja) 半導体装置とその製造方法及びその製造装置
KR100702027B1 (ko) 반도체 장치와 반도체 장치의 제조 방법
KR101046757B1 (ko) 반도체소자의 캐패시터 및 그 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090114

Termination date: 20150109

EXPY Termination of patent right or utility model