JP2009512188A - ドープされた窒化シリコン膜の低温堆積のための方法及び装置 - Google Patents

ドープされた窒化シリコン膜の低温堆積のための方法及び装置 Download PDF

Info

Publication number
JP2009512188A
JP2009512188A JP2008534536A JP2008534536A JP2009512188A JP 2009512188 A JP2009512188 A JP 2009512188A JP 2008534536 A JP2008534536 A JP 2008534536A JP 2008534536 A JP2008534536 A JP 2008534536A JP 2009512188 A JP2009512188 A JP 2009512188A
Authority
JP
Japan
Prior art keywords
silicon
containing compound
nitrogen
film
boron
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008534536A
Other languages
English (en)
Other versions
JP2009512188A5 (ja
Inventor
アール. スリャナラヤナン アイヤー,
ジェイコッブ ダブリュー. スミス,
ショーン エム. シューター,
カンツァン ツァン,
アンドリュー エム. ラム,
ケヴィン エル. クニンガム,
ファーニ ラマチャンドラン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009512188A publication Critical patent/JP2009512188A/ja
Publication of JP2009512188A5 publication Critical patent/JP2009512188A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

ドープされた窒化シリコン膜の低温堆積のための方法及び装置が開示される。改善には、低温処理のための均一な熱分配とプロセス化学薬品の均一な分配を与えるCVDチャンバの機械的設計と、基板を加熱し、シリコン含有前駆物質を混合領域がアダプタリングと一つ以上のブロッカープレートによって画成された処理チャンバと排気システムへ流し、該アダプタリングと該排気システムの一部を加熱し、水素、ゲルマニウム、ホウ素、又は炭素含有前駆物質の一つ以上を該処理チャンバへ流すことによって、更に、所望により、窒素含有前駆物質を該処理チャンバへ流してもよいことによって、基板上にシリコンと窒素を含む少なくとも一つの層を堆積させる方法が含まれる。
【選択図】 図1

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、基板処理に関する。より具体的には、本発明の実施形態は、化学気相堆積チャンバ及びプロセスに関する。
関連技術の説明
[0002]化学気相堆積(CVD)膜は、集積回路内に物質の層を形成するために用いられる。CVD膜は、特に、誘電体、拡散源、拡散マスクや注入マスク、スペーサ、最終不動態化膜として用いられる。膜は、比熱特性や物質移動特性を有するチャンバ内でしばしば堆積され、シリコンウエハ、ガラスパネル等の基板の表面全体に物理的に且つ化学的に均一な膜の堆積を最適化する。
[0003]CVD膜を堆積させるための化学薬品は、低温で急速に反応する能力について選択され、より均一な結晶構造、低誘電率(k)、且つ改善された応力プロファイルを有する膜を与える。低誘電率膜は、相補型金属酸化物半導体(CMOS)のような多くの用途に望ましい。堆積された膜の応力制御を改善すると、N型金属酸化物半導体(NMOS)の得られた駆動電流の制御が改善される。
[0004]CMOSトランジスタは、半導体基板内に画成されたソース領域とドレイン領域の間にあるゲート構造を含む。ゲート構造は、一般的には、ゲート誘電物質上に形成されるゲート電極である。ゲート電極は、トランジスタをオン又はオフに変えるためにドレイン領域とソース領域の間にあるチャネル領域内のゲート誘電体の下の電荷キャリヤの流れを制御する。スペーサ層がゲートスタックに隣接して配置され、ゲートスタックの両側の側壁を形成する。側壁スペーサは、ソースとドレインのコンタクト又は相互接続部からゲート電極を電気的に絶縁すること、ホウ素のようなドーパント又は水素のような不純物の拡散のバリヤとして作用すること、続いての処理ステップの間、ゲートスタックを物理的劣化から保護すること、また、ゲート金属を保護するために酸素と水分のバリヤを与えることを含む幾つかの機能に利用できる。
[0005]スペーサスタックにおける低誘電率膜は、CMOSの改善された駆動電流のミラー容量を改善した。側壁スペーサが比較的高誘電率膜、例えば、7を超える誘電率を有する物質から製造される場合には、完成したゲート電極を含有するデバイスの使用の間に、隣接した相互接続ライン間の過度のシグナルクロストークが起こり得る。低誘電率物質、例えば、誘電率が3未満の物質をスペーサ層として使うことができるが、それらの物質は、続いての処理ステップ又はホウ素のようなドーパントや腐食からゲート金属を保護する酸素や水分に必要とされる不浸透性を存続させるのに必要な構造の完全さをしばしば欠いている。
[0006]堆積チャンバは、しばしば、基板表面上に複数の要素を製造するより大きい統合ツールの一部である。チャンバは、一度に一枚の基板を処理するか又は複数の基板を処理するように設計される。歴史的には、熱CVDは、基板支持部材を700℃を超える温度に加熱することにより基板を加熱することによって行われた。CVDを高温で行った場合、熱のチャンバへの流入は基本的な設計パラメータである。現在のCVDプロセスは、より低い温度で作動させて、基板に加えられる熱エネルギーを制限し、望ましくない結果を避ける。CVDのより低い温度動作には、より低い温度での熱分配を改善すること、また、CVDチャンバ内により効率的な熱と化学的分配を与えることが必要である。
[0007]更に、熱分配の改善は、チャンバ表面上に凝縮された前駆物質の堆積物と堆積された膜の蓄積を防止するためにより低い基板支持温度が必要とされる。チャンバ表面上の物質の蓄積は、それが砕けやすくなり、基板表面上に堆積し、また、基板膜特性を低下させてしまうことから望ましくない。また、物質の蓄積は、堆積ステップ間に必要とされる洗浄を増加させてしまい、システムを洗浄する休止時間を増加させてしまう。
[0008]それ故、改善されたシリコン含有膜を形成するために、より低温で所望の膜特性を達成する化学薬品を調整する方法及び急速熱化学気相堆積(RTCVD)や低圧化学気相堆積(LPCVD)のための装置が求められている。
発明の概要
[0009]本発明は、ドープされた窒化シリコン薄膜の低温堆積のための方法及び装置を提供する。本発明は、低温処理のための均一な熱分配とプロセス化学薬品の均一な分配を与えるCVDチャンバを提供する。更に、改善には、基板を加熱し、シリコン含有化合物をアダプタリングと少なくとも一つのブロッカープレートによって画成された混合領域と排出システムを有する処理チャンバへ流し、アダプタリングと排出システムの一部を加熱し、水素、ゲルマニウム、ホウ素又は炭素含有化合物を処理チャンバへ流し、更に、所望により窒素含有層を処理チャンバへ流してもよいことにより、基板上にシリコンと窒素を含む少なくとも一つの層を堆積させるための方法が含まれる。
[0010]本発明の上記特徴が、詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明は、実施形態によって参照することができ、その一部が添付の図面に示されている。しかしながら、添付の図面は本発明の典型的な実施形態を示しているだけであり、それ故、本発明の範囲を限定するとみなされず、本発明が他の同等に有効な実施形態を許容することができることは留意すべきである。
詳細な説明
[0023]本発明の実施形態は、基板上に層を堆積させるための方法及び装置を提供する。実施形態の説明的図面を含むハードウエアの検討がはじめに示される。ハードウエアの検討の後に、プロセス変更と試験結果の説明が続く。化学気相堆積(CVD)、大気圧未満化学気相堆積(SACVD)、急速熱化学気相堆積(RTCVD)、低圧化学気相堆積(LPCVD)は、以下の装置及びプロセス変更から利益を得ることができる全ての堆積法である。本装置及びプロセスの実施形態の一部を用いることができるCVD処理チャンバの例としては、カリフォルニア州サンタクララのAppliedMaterials社から市販されているSiNgenTM、SiNgen−PlusTM、FlexStarTMチャンバが挙げられる。
装置
[0024]図1は、リッド110によって上端で閉じられたほぼ円筒状の壁106を持つ単一ウエハCVD処理チャンバの実施形態の断面図である。リッド110は、更に、以下に記載されるガス供給入口と、ガスミキサと、プラズマ源と、一つ以上のガス分配プレートとを含むことができる。壁106の部分は、加熱されてもよい。スリットバルブ開口114は、基板が入るための壁106に位置決めされている。
[0025]基板支持アセンブリ111は、基板を支持し、熱をチャンバに供給することができる。基板支持アセンブリに加えて、チャンバの底には、反射板、又は熱移動を促進させるために調整された他の機構と、チャンバの状態を測定するプローブと、排気アセンブリと、基板を支持するとともにチャンバ環境を制御する他の装置を含む、更に以下に記載される追加の装置を含有することができる。
[0026]供給ガスは、リッド110内のミキサ113と第一ブロッカープレート104内の穴(図示せず)を通過する前に、ガス分配システムを通ってチャンバに入ることができる。その後、供給ガスは、第一ブロッカープレート104と第二ブロッカープレート105の間に作られた混合領域を通って進む。第二ブロッカープレート105は、アダプタリング105によって構造的に支持されている。供給ガスが第二ブロッカープレート105内の穴(図示せず)を通過した後、供給ガスは、フェイスプレート108の穴(図示せず)を通って流れ、チャンバ壁106、フェイスプレート108、基板支持体111によって画成された主処理領域に入る。その後、排気ガスは、排気ポンピングプレート107を通ってチャンバの底でチャンバから出る。所望により、チャンバは、アダプタリング103を加熱して混合領域102を加熱するチャンバ壁106とリッド110の間に挿入ピース101を含んでいてもよい。図1によって示される他のハードウエアオプションは、排気ポンピングプレート109の最上部に載せられている、排気プレートカバー102である。最後に、所望のスリットバルブライナ115は、スリットバルブ開口114を通る熱損失を減少させるために用いることができる。
[0027]図2は、リッドアセンブリの代替的実施形態の拡大図である。リッド209は、熱絶縁素子212によってチャンバの残りから分離することができる。分解素子212は、ヒータジャケット203の上面と下面にある。ヒータジャケット203は、また、ブロッカープレート205とフェイスプレート208に接続することができる。所望により、リッド又はリッド要素の部品が加熱されてもよい。
[0028]リッドアセンブリは、リッド209、熱絶縁素子212、ヒータジャケット203、ブロッカープレート204と205によって画成されたスペース202に入る前に予め混合する最初のガス注入口213を含む。スペース202は、チャンバの基板処理部分に入る前に反応種ガスが混合する滞留時間を増加させる。スペース202を画成する表面にヒータ210によって加熱することができる熱は、表面のスペースに沿って原材料の蓄積を防止するのを援助する。ガスがフェイスプレート208を出てチャンバの基板処理領域へ入るとより良好な加熱と物質移動が促進させるように加熱された表面が反応種ガスも予熱する。
[0029]図2は、また、チャンバへビス(ターシャリ-ブチルアミノ)シラン(BTBAS)のようなシリコン含有化合物を加えるためのガス供給システムの要素の例示である。BTBASは、バルクアンプル401に保存される。BTBASは、バルクアンプル401からプロセスアンプル402に流れ、その後、液体フローメータ403へ流れる。定量BTBASは、蒸発器404、例えば、圧電制御直接液体インゼクタへ流れる。所望により、BTBASは、蒸発器404内でガス源405からの窒素のようなキャリヤガスと混合されてもよい。更に、キャリヤガスは、蒸発器に加える前に予熱されてもよい。その後、得られたガスは、CVDチャンバのリッド209内のガス注入口213に導入される。所望により、パイピングコネクション蒸発器404を接続するパイプとミキサ113が加熱されてもよい。
[0030]図3は、ガス分配システムの実施形態の三次元図である。前駆物質ガスは、ライン1103を通ってシステムに分配される。清浄な通気ライン1101は、前駆ガスを加熱された迂回ライン1102から分ける。加熱された迂回ライン1102を通って流れるガスと流体混合物の部分は、コンベクションゲージ1104と排気部1105を通って流れる。
[0031]図4は、図1に示したガス供給システムの実施形態の分解図である。図4は、リッド110、一つの以上のブロッカープレート104、105、アダプタリング103、フェイスプレート108が、チャンバの処理領域に入る前にガスを加熱し混合するために表面が加熱されたスペースを備えるのにどのように構成されることができるかを示す図である。
[0032]図5は、図1のフェイスプレート108の実施形態を示す図である。フェイスプレート108は、アダプタリング103によって支持されている。フェイスプレート108は、ネジによってアダプタリング103に接続され、チャンバのプロセス領域内で望ましいガス注入分配を生じるように穴116が配置されて構成されている。
[0033]図6は、排気システムの実施形態の断面図である。コンジット901は、減少システムに入るにつれて最後の排気ガスを希釈するように清浄な乾いた空気を供給する。前駆ガスラインは、清浄なライン又は通気ライン902と迂回ライン903を持つ。コンベクションゲージ904は、迂回ライン903とボールバルブ905と連通している。ボールバルブ905は、スロットルバルブ906とスプールピース907と連通している。ボールバルブ905は、ボール型ISOバルブ又はJALAPENOTMバルブであってもよい。JALAPENOTMバルブは、小型の加熱された真空バルブであり、マサチューセッツ州ウィルミントンのHPSProductsから市販されている。バルブヒータは、ボールバルブ905へ熱を供給する。
[0034]図7は、スロットルバルブ1000の実施形態の断面図を示す図である。クランプ1001は、バルブ1000の周りに伸びている。スロットルバルブヒータジャケット1002は、バルブ1000の外側に加熱し、バルブ1002のキャビティ1003を間接的に加熱する。
[0035]図8は、チャンバのプロセス領域からの排気フローを制御するために排気ポンピングプレート109の一実施形態の三次元概略図である。一連のスリット形の穴として示される、囲いからなる排気ポンピングプレート109の部分は、スリットバルブ領域で熱損失を補償するのを援助する。
[0036]図9は、排気プレート109のための排気プレートカバー112の三次元概略図である。一様なガス分配を与えるか或いは意図的に一様でないガス分配を与えて熱損失の不均衡を補償するように不均一な穴が最適化されたカバー112が設計される。
[0037]図10は、図1のスリットバルブライナ115の一実施形態の三次元図である。スリットバルブライナ115は、プロセスガスフローを送り且つスリットバルブを通る熱移動を減少させることによってスリットバルブ開口114を通る熱移動を減少させる。
構造
[0038]図12は、本発明の一実施形態に従って形成されたゲート構造を持つトランジスタを示す図である。複数の電界分離領域122は、基板100内に形成される。複数の電界分離領域122は、一方のタイプの導電性(例えば、p形)のウエル123を他方のタイプの導電性(例えば、n形)の隣接したウエル(図示せず)から分離する。ゲート誘電体層150は、基板100上とウエル123上に形成される。典型的には、ゲート誘電体層150は、約5.0未満の誘電率を有する、酸化シリコン(SiO)及び/又は酸窒化シリコンのような物質の層を堆積させるか又は成長させることによって形成することができる。ゲート絶縁技術の最近の進歩は、より高い誘電率物質(K>10)がゲート誘電体層を形成するために望ましいことを示している。それ故、使われる適切な物質の例としては、金属酸化物(Al、ZrO、HfO、TiO、Y、La)、強誘電体(チタン酸ジルコン酸鉛(PZT)、チタン酸バリウムストロンチウム(BST))、アモルファス金属ケイ酸塩(HfSi、ZrSi)、アモルファスシリケイ酸塩酸化物(HfO、ZrO)、常誘電体(BaSr1-xTiO、PbZrTi1-x)が挙げられるが、これらに限定されない。これらの物質を含有する高k層は、種々の堆積プロセスによって形成することができる。
[0039]更に、導電性ゲート電極層136は、ゲート誘電体層150の上に堆積されたブランケットである。一般的には、ゲート電極層136は、ドープされた多結晶、ドープされていない多結晶、炭化シリコン、又はシリコン・ゲルマニウム化合物のような物質を含むことができる。しかしながら、企図された実施形態は、金属、金属合金、金属酸化物、単結晶シリコン、アモルファスシリコン、シリサイド、又はゲート電極を形成する当該技術において周知の他の物質を含有するゲート電極層136を包含することができる。
[0040]ハードマスク層、例えば、窒化物層(図示せず)は、導電層136上にCVDプロセスによって堆積される。その後、フォトレジストマスク(図示せず)を形成するために、フォトレジスト層をマスキングするステップと、さらすステップと、現像するステップとを含むフォトリソグラフィプロセスが行われる。フォトレジストマスクのパターンは、エッチングを整列するためにフォトレジストマスクを用いて、ゲート電極層136の最上部にハードマスク層をエッチングすることによってハードマスク層に転写されるので、ゲート電極層136上にハードマスク(図示せず)が得られる。
[0041]構造は、更に、エッチングを整列するためにハードマスクを用いて、フォトレジストマスクを除去するとともにゲート電極層150の最上部までゲート電極層136のエッチングすることによって変更されるので、ハードマスクの下にゲート電極層136の残りの物質を含む導電性構造が作成される。この構造は、ゲート電極層136をエッチングすることから得られるが、ハードマスク又はゲート誘電体層から得られない。処理順序を続けると、ゲート誘電体層150は、基板100の最上部まで、また、分離領域122の最上部までエッチングされる。ゲート電極136とゲート誘電体はともに、トランジスタのような集積デバイスのゲートスタック、又はゲートとしてしばしば知られる、複合構造124を画成する。
[0042]ゲートスタックの処理において、更に、注入プロセスを用いることによってソース/ドレインの浅い拡張140が形成される。ゲート電極136は、ゲート誘電体の下の基板領域がイオンで注入されることから保護する。その後、急速熱プロセス(RTP)アニールは、部分的にゲート誘電体の下にある先端140を動かすように行うことができる。
[0043]次に、等角の薄い酸化物層125は、基板表面全体の上に堆積される。この酸化物層は、典型的には窒化シリコン層であるスペーサ層126からシリコン表面を保護するために用いられる。等角の薄い酸化物層は、典型的には、高温(>600℃)の低圧化学気相堆積チャンバ内で堆積される。薄い酸化物層は、シリコン基板と窒化物スペーサの間の応力を緩和し、また、他の物質層を与えることによって窒化シリコンスペーサからゲートコーナーを保護する。低kの非窒化シリコン物質が側壁スペーサとして用いられる場合には、この等角の薄い酸化物層125は、おそらく排除され得るか又は他の低k物質で置換され得る。
[0044]本発明の一実施形態において、約200オングストローム〜約1000オングストローム、好ましくは約400オングストローム〜約800オングストロームの範囲の厚さのスペーサ126は、複合構造124の最上部の上にゲート電極136とゲート誘電体の側壁の全長を含むゲートスタック124の側面の全長に沿って堆積されたブランケットである。同時に、スペーサ層126は、基板100又は分離領域122のさらされたあらゆる部分の最上部上に堆積される。従来のスペーサ126は、熱CVDによって堆積された窒化シリコン層である。最新型デバイス製造の場合、スペーサ層126又は酸化物層125の誘電率が高すぎる場合には、得られた構造は、過度のシグナルクロストークがしばしば生じる。更に、窒化シリコンの堆積するために用いられる熱CVDプロセスは、高堆積温度をしばしば必要とする。高堆積温度によって、高熱サイクルと先端140のドーパントプロファイルの変化がしばしば生じる。それ故、より低い堆積温度による低誘電率スペーサ層堆積プロセスを有することが望ましい。
窒化シリコン膜
[0045]窒化シリコン膜は、シリコン前駆物質と窒素前駆物質との反応により本明細書に記載されるチャンバ内で化学気相堆積することができる。より低温での堆積には、チャンバ表面に沿った凝縮の可能性が低く且つ基板表面上に膜を形成する他の前駆物質と反応する確率の高いガスを選ぶために前駆物質ガスのより緊密な精査が必要である。用いることができるシリコン前駆物質は、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、ビス(ターシャリ-ブチルアミノ)シラン(BTBAS)、シラン(SiH)、ジシラン(Si)等が挙げられる。用いることができる窒素前駆物質としては、アンモニア(NH)、ヒドラジン(N)等が挙げられる。例えば、SiHやNHの化学を用いることができる。
[0046]処理中、SiHは、主にSiH、SiH、おそらくはSiHに解離する。NHは、NH、NH、Hに解離する。これらの中間体は反応して、SiHNH又はSiHNH又はガス境界層を通って拡散する同様のアミノ・シラン前駆物質を形成するとともに基板表面に又は基板表面に非常に近くで反応して窒化シリコン層を形成する。
[0047]種々のNH流速の試験は、その他の前駆物質の流量に相対してNH流量を増加すると膜の堆積が増強されたことを示す。例えば、従来のシステムは、NH:SiHの流量が60:1で作動させることができる。試験結果は、リッドと最後のガス分配プレートの間の間隔が増加した場合に、60:1〜1000:1の従来の比が均一な膜を与えることを示す。最後のガス分配プレートと基板の間の間隔850-1000ミルを用いると、650ミルで堆積される膜と比較して膜の均一性が強化されることが更にわかった。
[0048]また、前駆物質としてのジシランとアンモニアの受容性を求める試験を行った。525℃における窒化シリコンの堆積は、膜内パーティクル加算と誘電率測定の許容され得るレベルを有した。この膜は、また、スペーサ又は酸化物全体にホウ素拡散からの保護を示した。
[0049]更に、試験は、シリコン含有前駆物質としてシラザン(SiN(H))を用いて行った。前駆物質としてシラザンを用いて形成された膜は、より低い熱量を必要とし、ジシラン又は他のシリコン含有前駆物質を用いて堆積された膜に匹敵する膜特性を有した。
窒化シリコンゲルマニウム膜
[0050]水素含量が減少した膜を堆積することは望ましいことである。膜内の水素の存在は、ホウ素の拡散を増加させ、膜の導電性を調整する能力を低下させる。水素含量が減少した膜を堆積するために、シラン又はジシラン以外のシリコン含有前駆物質を用いることが必要とされるのがよい。また、ゲルマン(GeH)又はジゲルマン(Ge)を追加することは有益なことである。ゲルマニウムは、表面水素の脱着を高め、膜内の水素含量を減少させる。水素とシリコンの化学結合が水素・ゲルマニウムの化学結合より強いことから、水素の脱着は、ゲルマニウムの存在によって促進される。シリコン原子からゲルマニウム原子への水素の移動は、高速移動である。ゲルマニウムは、シリコンより表面エネルギーが小さいので、ゲルマニウムは表面へ移動する。従って、ゲルマニウムは、水素分子の形で表面から水素を熱脱着させるための低エネルギーバリヤ路を水素に与える。更に、ゲルマニウムは、膜の成長速度を高めるので、堆積のためにより低い温度を容易にし、全体のシステム熱量を減少させる。このプロセスは、窒素含有前駆物質としてアンモニアを必要としないという利点を持つ。
[0051]二つの堆積技術は、堆積プロセスにおいてゲルマニウムを用いるように選択することができる。シリコン含有ガス、窒素含有ガス、ゲルマニウム含有ガスは、同時にシステムに導入することができる。或いは、ガスは、同時に導入される二つのガスの制限された簡単な重複によって個々に導入することができる。例えば、ゲルマニウム含有ガスは、ゲルマニウム含有ガスがさらされる終わりにシリコン含有ガスの簡単な重複によってチャンバに導入される。その後、シリコン含有ガスは、他の前駆物質を含まずに導入される。シリコン含有前駆物質がさらされる時間の終わりに向かって、窒素含有前駆物質がチャンバに導入され、シリコン含有前駆物質がもはやチャンバへ流れなくなった後にチャンバに流れ続ける。従って、堆積ステップの第一部分で堆積されたゲルマニウムは、シリコン原子と窒素原子が堆積されるにつれて表面に移動する。従って、窒化シリコン膜に組み込まれたままであるゲルマニウムは少なくなる。更に、亜酸化窒素又は酸素のような酸化ガスは、揮発性である酸化ゲルマニウムを形成するために基板に適用することができる。従って、交互のガス導入法でゲルマニウムと酸化ガスの導入を組み合わせた場合、得られた膜は、ゲルマニウムの導入からより低い水素含量と酸化ガスの導入からより低いゲルマニウム含量を持つことになる。
ホウ素ドープされた窒化シリコン膜
[0052]一実施形態において、ホウ素ドープされた窒化シリコン膜を堆積させることができる。ドーパントとしてホウ素の使用は、低温堆積が望ましい。ホウ素ドープされた層を膜の積み重ねに加えると、全体の誘電率が低くなることがある。例えば、窒化シリコン膜の典型的な誘電率は、7.1であり、シリコン窒素炭素水素膜にBTBASを用いると、膜の誘電率が5.5未満に下がる。ホウ素シリコン窒素水素層を積み重ねに加えると、全体の誘電率が4.5未満に低下する。
[0053]BTBAS又は他のシリコン含有前駆物質、アンモニア、又は他の窒素含有前駆物質と、BCl、ジボラン、又は他のホウ素含有前駆物質は、ホウ素ドープされた窒化シリコン膜を堆積するために用いることができる。アンモニア又は他の窒素含有前駆物質は、UVランプを用いることによって励起することができる。
[0054]ホウ素ドープされた膜を用いることにより、Nチャネルにおける歪みを誘発させることによって堆積された積み重ねの応力を制御してNMOSの駆動電流を改善する方法が提供される。誘電率がより低く且つ引張応力の増加した膜を得るために、四つの方法が利用できる。
1.ジランと、UV励起アンモニアと、ジボランをRTCVDを用いて単一基板チャンバへ流す。
2.RTCVDを行ってシリコン窒素水素膜を堆積させ、その後、RTCVDを行ってホウ素窒素水素膜を堆積させる。
3.ジシランと、UV励起アンモニアと、ジボランをLPCVDを用いて流す。
4.LPCVDを行ってシリコン窒素水素膜を堆積させ、その後、LPCVDを行ってホウ素窒素水素膜を堆積させる。
[0055]誘電率が低いことから、ホウ素ドープされたシリコン膜が、630℃を超える堆積温度で、後半工程(BEOL)の層間絶縁と前半工程のゲートスペーサに用いられてきた。しかしながら、チャンバ表面が加熱される場合、例えば、上記図1〜図10に記載されたチャンバ表面加熱システムを用いることによって、ホウ素ドープされたシリコン膜が、前駆ガスとしてジシランと、アンモニアと、ジボランを用いて約450℃で巧く堆積され得る。
[0056]更に、ホウ素ドープされた窒化シリコンが550℃でジシランを用いて堆積された場合、ジシランを用いてより高い温度で堆積した膜と比較して応力は変化せず、堆積速度は142〜265オングストローム/分であり、屈折率は1.98〜2.04であった。
炭素ドープされた窒化シリコン膜
[0057]一実施形態において、BTBASは、本明細書に記載されるチャンバ内で炭素ドープされた窒化シリコン膜の堆積にシリコン含有前駆物質として用いることができる。下記は、t-ブチルアミン副生成物とともに炭素ドープされた窒化シリコン膜を得るように行われる一機構である。BTBASは、イソブチレンを形成するためにt-ブチルアミンと反応させることができる。
Figure 2009512188
[0059]炭素ドープされた窒化シリコン膜を形成するBTBAS反応は、反応速度が制限され、物質移動が制限されないものである。パターン形成基板上に形成された膜は、パターン形成基板のさらされた表面を均一に被覆することができる。BTBASは、従来のシリコン前駆物質よりパターンローディング効果が低いものである。BTBAS以外のシリコン含有前駆物質によって受けるパターンローディング効果は、それらの前駆物質の物質移動の制限によることが考えられる。
[0060]反応種ガスとしてBTBASを用いると、炭素含量の調整も可能になる。即ち、圧力や前駆物質ガス濃度のような作動パラメータの選択によって、得られた膜の炭素含量は、基板の直径全体に均一な炭素濃度を有する膜を得るように変更することができる。BTBASは、0.05〜2.0gm/分の速度でシステムに添加することができ、典型的なシステムは、0.3-0.6g/分を用いることができる。
[0061]表1は、異なるプロセス条件について基板全体に種々の点から採取した試料の元素組成による元素を示す。試料の元素組成を、核反応分析とラザフォート後方散乱分光法によって測定した。図11は、試料を基板の表面全体に集めた場所を示す基板の図である。例えば、位置1のデータは、基板の中央の情報を示した。位置9のデータは、基板の周囲で集めたデータを示し、位置4は、基板の半径の中間点全体に集められたデータを示す。
Figure 2009512188
[0063]表1は、基板表面全体の炭素含量の変化がXPS試験結果に基づいて3.4%であることを示している。2〜18原子パーセントの炭素を持つ炭素ドープされた窒化シリコン膜が本明細書に記載されたチャンバ内で高められた速度で堆積されることがわかった。
[0064]シリコン含有前駆物質としてBTBASを用いると、幾つかの膜特性の利点が得られる。膜の炭素含量が増加すると、ドーパント保持と接合プロファイルを改善することができ、デバイスの正のチャネル金属酸化物半導体(PMOS)部分における性能の改善が得られる。プロセスパラメータは、改善された応力プロファイルを促進するためのBTBASの使用と組み合わせた場合にも調整することができる。増強された膜応力は、デバイスの負のチャネル金属酸化物半導体(NMOS)部分に対するデバイス性能を改善する。膜応力特性は、チャンバ圧、全供給ガスフロー、NHとBTBASとの供給ガス比、また、BTBASの体積分率を調整することによって影響される。
[0065]実験結果は、更に、675℃での膜の不均一性の標準偏差が1.5パーセント未満であること示している。645〜675℃の温度範囲にわたって膜不均一性の組成物の標準偏差は、1パーセント未満であった。パーティクル汚染は、0.12μm以下で30パーティクル未満であった。
[0066]ウエットエッチング比は、低濃度NHと低圧力が選ばれた場合により小さい。試験された圧力の範囲は、50〜275トールであった。ウエットエッチング比は、0.3未満として測定された。膜のウエットエッチング比は、0.25nmと等しい400オングストロームにおいて100:1HFRMS粗さを有する熱酸化物に対して膜エッチングを比較することにより算出した。
[0067]625〜675℃にわたる膜堆積速度は、125〜425オングストロームであった。より高濃度のBTBAS、より低いNH濃度、また、より高い圧力と温度が選ばれた場合に、堆積速度はより高くなった。
[0068]膜の水素濃度は、15パーセント未満であった。水素はN-Hとして膜内にほとんど結合されていると推測される。膜内の炭素濃度は、2〜18パーセントであった。
[0069]観測された応力は、増強されたNMOS 1ドライブについて1E9〜2E10ダイン/cm(0.3〜1.7GPa)であった。応力は、高濃度のNH、低濃度のBTBAS、また、低圧においてより高くなった。
[0070]同じ温度の範囲にわたって測定された屈折率は、1.8〜2.1であった。屈折率は、システムがより低い圧力とより低いBTBAS濃度で動作させた場合により高くなった。
[0071]また、観測された又は推定された炭素濃度は、3〜16パーセントの範囲であった。NH濃度が低く且つBTBAS濃度が高い場合に最高であった。
[0072]最後に、三つのBTBASの形態を用いて追加の解析を行った。表2は、三つの構成について流量、濃度、得られた膜特性を示すものである。
Figure 2009512188
[0073]C5-6%とC12-13パーセントの構成は、予測値である。C8-9%値は、実験的結果である。VRは、基板ヒータの二つのゾーンに印加した電圧比を示している。RIは、屈折率を示している。WERRは、ウエットエッチング速度比を示している。
[0074]四つの例を試験した。圧力、温度、間隔、流量、他の条件を表3に示す。配合1は、他の例より低いBTBAS濃度における一組の動作条件を示している。配合2は、低温での動作を示している。配合3は、最低堆積速度、最低ウエットエッチング比、温度を与える条件を示し、配合4は、最低圧力についての動作パラメータを示している。実施例において、基板ヒータ温度は、675℃〜700℃であり、チャンバ圧は50〜275トールであった。
Figure 2009512188
[0075]CVD反応の追加の成分として水素を用いると、より低温で堆積速度が高められる。例えば、堆積速度の70%までの増加は、水素を取り込むプロセスと水素を取り込まないプロセスにおいて得ることができる。水素を取り込むプロセスの一例は、BTBASとアンモニアと水素のCVD反応であり得る。
[0076]BTBASと組合わせた水素含有触媒の追加の試験は、水素含有触媒を含む場合に、窒化シリコン堆積速度が30〜70パーセント増加することを示している。この改善された堆積速度は、ウエットエッチング速度又は屈折率がほとんど変化せずに膜を生じた。膜は、また、膜の組成がほとんど変化しなかった。
ゲルマニウムと炭素でドープされた窒化シリコン膜
[0077]ジシラン又はシランと比較してBTBAS又は他のより大きな分子シリコン含有前駆物質を用いると、より低温度での堆積が可能になる。ゲルマニウム含有前駆物質とシリコン含有前駆物質とを含むことは、低温窒化シリコン膜堆積に望ましい。窒化シリコンゲルマニウム膜を、その特性を求めるために試験した。
Figure 2009512188
[0078]表4のBTBAS流量は、300mmの基板において305mg/分である。厚さと屈折率、R.Iを、カリフォルニア州サンジョーズのKLA−Tenorから市販されているKLA−TenorF-5エリプソメータを用いて測定した。堆積速度は、300mmの基板の膜堆積速度である。
Figure 2009512188
[0079]表5のBTBAS流量は、300mmの基板について305mg/分である。厚さを、KLA-TenorF-5エリプソメータを用いて測定する。膜の応力を、FS5応力測定ツールを用いて測定した。
[0080]前駆物質としてゲルマンと、BTBASと、アンモニアを用いて約500℃〜約675℃の温度で堆積した場合に、膜は、1.7〜1.9の許容され得る屈折率と100オングストローム/分を超える堆積速度を有したが、10,000を超える膜内パーティクル加算器が見られた。プロセスをアンモニアを含めずに(窒素源としてBTBASのアミノ基を用いて)繰り返した場合、100未満の膜内パーティクル加算器が見られた。屈折率を約1.8〜約2.0で測定し、約100オングストローム/分の堆積速度が見られた。HFにおけるウエットエッチング速度は、200:1であった。50sccmのゲルマンによって550℃で堆積された膜は、RBS分析を用いてSi:Ge:N:C:Hの1:1:1:1:1含有比を有した。膜の応力は、50MPaの圧縮応力に対して約50MPaの引張応力であった。
[0081]ゲルマンをより高い量、例えば、150sccmと300sccmでシステムに導入すると、150sccmゲルマンについて550℃で約700オングストローム/分、300sccmゲルマンについて520℃で約1000オングストローム/分の堆積速度を得た。屈折率は、三つのゲルマン濃度すべてにおいて1.8〜2.0で見られた。
[0082]炭素とゲルマニウムでドープされた窒化シリコン膜は、約400℃〜約675℃と約225トール〜約235トールで堆積させることができる。BTBASの流量は、約155〜610mg/分であるのがよく、ゲルマニウム流量は、約0〜約250sccmであるのがよい。アンモニア流量は、約0〜約500sccmであるのがよい。システムの最上部の全流量は5slmである。
[0083]更に、窒化シリコンの堆積について前駆物質としてゲルマンを含みアンモニアを含まないBTBASを用いることにより、許容され得る屈折率と高ウエットエッチング速度結果を持つ膜が得られた。500℃で堆積された場合、膜は1.65〜1.85の屈折率と、80〜140オングストローム/分の堆積速度を有した。550℃で堆積された場合、堆積速度は、300オングストローム/分を超え、熱酸化物に対するウエットエッチング速度は、約0オングストローム/分である。
炭素とホウ素でドープされた窒化シリコン膜
[0084]炭素とホウ素でドープされた膜を用いると、注入拡散の改善された制御を可能にすることができるとともに低温膜堆積のための基板におけるドーパントの非活性化を減少させることができる。得られた膜の積み重ねの誘電率と応力は、複数の膜の薄膜層を堆積させることによって調整することができる。例えば、炭素源とホウ素源は、炭素とホウ素でドープされた窒化シリコン膜を堆積させるために用いることができる。また、前駆物質を代わりに堆積させることができ、窒化シリコン水素炭素膜が堆積されるか又は窒化シリコン水素膜と窒化ホウ素水素膜が代わりに堆積される。従って、窒化シリコン窒化ホウ素水素膜又は窒化シリコン水素ホウ素膜が堆積される。膜層が代わりに堆積される場合には、窒化シリコン水素炭素/ホウ素窒素水素の積み重ね又はシリコン窒素水素/ホウ素窒素水素の積み重ねが形成される。シリコン源とホウ素源のパルスが、複数の積み重ねを形成するために使用し得る。
[0085]誘電率がより低く引張応力が増加した膜を得るために、四つの方法が推奨される。1.RTCVDを用いてBTBAS、UV励起アンモニア、ジボランを単一基板チャンバに流す。2.シリコン窒素炭素水素膜を堆積するためにRTCVDを行い、その後、ホウ素窒素水素膜を堆積するためにRTCVDを行い、代わりにシリコン含有前駆物質をパルスする。3.LPCVDを用いてBTBAS、UV励起アンモニア、ジボランを流す。4.LPCVDを行ってシリコン窒素炭素水素膜を堆積させる、その後、LPCVDを行ってホウ素窒素水素膜を堆積させる。
[0086]RTCVDを行う場合、前駆物質は、得られた膜厚が1000オングストローム以下については約2〜約5分間堆積されなければならない。チャンバの圧力は、約10〜約350トールの範囲で選択されなければならず、全ガスフローは、約1〜約10slmの範囲で選択されなければならない。得られた膜を低誘電率に調整する場合、温度は約550℃未満に制御されなければならない。得られた膜を高引張応力に調整する場合、温度は約475℃未満に制御されなければならない。シリコン含有前駆物質は、好ましくはジシラン又はBTBASであり、窒素含有前駆物質は、好ましくはアンモニア又はUV励起(間接的又は直接的)アンモニアであり、ホウ素源は、好ましくはジボランである。ジボランは、窒素、水素、アルゴン、又はヘリウムによって希釈されてもよい。希釈ガスは、窒素、アルゴン又はヘリウムであるのがよい。
[0087]LPCVDを行う場合、RTCVDに選ばれたものと同様のプロセス条件と化学薬品を用いることができる。前駆物質は、得られた膜厚が1000オングストローム以下については約2〜約5時間堆積させなければならない。チャンバの圧力は、約100〜約700ミリトールの範囲に選択されなければならず、全ガスフローは、約1〜約10slmの範囲で選択されなければならない。得られた膜を低誘電率に調整する場合、温度は約500℃未満に制御されなければならない。得られた膜を高引張応力に調整する場合、温度は約425℃未満に制御されなければならない。シリコン含有前駆物質は、好ましくはジシラン又はBTBASであり、窒素含有前駆物質は、好ましくはアンモニア又はUV励起(間接的)アンモニアであり、ホウ素源は、好ましくはジボランである。ジボランは、窒素、水素、アルゴン、又はヘリウムによって希釈されてもよい。
[0088]実験において、窒化シリコン膜をドープするためにホウ素と炭素を用いると、引張応力が20パーセントだけ増加した。また、膜が550℃〜675℃と305mg/mのBTBASに対して50〜300sccmのジボランと40sccmのアンモニアによって275トールで堆積された場合、膜の堆積速度は、675℃と150sccmのジボランで435オングストローム/分、550℃と300sccmのジボランで211オングストローム/分であった。従って、膜堆積速度は、ジボランフローが増加するにつれて増加する。また、屈折率と膜応力は、ジボラン流量が増加するにつれて有益な傾向を持つ。550℃で300sccmのジボランと305mg/mのBTBASで堆積された膜についてプローブ電圧の関数として容量をプロットすることから、測定された誘電率は4.5である。堆積速度は、142〜265オングストローム/分であり、200:1のHFにおけるウエットエッチング速度は、1オングストローム/分であり、これは、匹敵するより高い温度のBTBASプロセスより小さい。屈折率は、1.98〜2.04である。膜の誘電値は、膜におけるホウ素含量によって調整されなければならない。
[0089]BTBAS、アンモニア、ジボランが525℃のシステム内の前駆物質である場合、堆積された膜は、膜内パーティクル加算器の許容され得るレベルを有した。誘電率も許容され得るものであった。
Figure 2009512188
[0090]表6は、BTBAS、ジシラン、又はアンモニアと組合わせた、また、所望によりジボランと組合わせてもよい、シリコン含有前駆物質の一つを用いて堆積された膜について応力と堆積温度を比較するものである。150sccmのジボランと組合わせた低温(550℃)のジシランによって、最低応力を有する膜が得られた。追加の試験は、ジボランの流量が増加するにつれて膜堆積速度が増加することを示している。ジボランの流量が増加するにつれて屈折率と膜応力もまたより望ましい結果を有する。
[0091]RBS/HFS試験とFTIR分析もまた、同様の膜について行った。RBS/HFS試験は、測定された膜が理論的な結果に匹敵する実験結果を有したことを示している。膜の組成は、15原子パーセントの水素と、34.6原子パーセントの炭素と、25.9原子パーセントのシリコンと、17.0原子パーセントのホウ素と、5.2原子パーセントの炭素と、2.3原子パーセントの酸素であった。675℃と550℃におけるBTBASと、アンモニアと、ジボランによって堆積された膜を比較したFTIR分析は、より少ないシリコン・窒素とより多いホウ素・水素結合が550℃において堆積された膜で形成されたことを示している。
酸化シリコンと酸窒化シリコン膜
[0092]BTBASは、また、ある化学可撓性のプロセスを与える。BTBASに基づく酸化物プロセスについて、NHはNOのような酸化剤によって置換され得る。
[0093]シリコン酸化窒化膜を製造するために、BTBASはNHとNOのような酸化剤とともに用いることができる。更に、ジシランは500℃程度の低い温度でNOと用いることができる。ジシランとNO前駆物質膜は、200オングストローム/分より大きい堆積速度と2パーセント未満の均一性を持つ。
[0094]上記異なる膜のそれぞれについて、最適特性を有する膜を得る方法の組合わせを用いることができる。即ち、ドーパント又はドーパント含有前駆物質の一つ以上を用いずに組合わせたチャンバ表面の全部ではなく一部を加熱すると、最低誘電率を有する膜と少なくともパーティクル形成を有するチャンバ表面を得ることができる。
[0095]上記は本発明の実施形態に関するが、本発明の他の多くの実施形態が本発明の基本的範囲から逸脱することなく構成されてもよく、本発明の範囲は以下の特許請求の範囲によって決定される。
図1は、チャンバの一実施形態の断面図である。 図2は、単一ウエハ熱CVDプロセスチャンバのためのプロセスキットとチャンバへのプロセスガス分配のための液体分配システムの代替的実施形態の透視概略図である。 図3は、ガス分配システムの実施形態の透視図である。 図4は、プロセスキットの種々の成分の分解図である。 図5は、本発明のフェイスプレートの平面図である。 図6は、排気システムの一実施形態の断面図である。 図7は、スロットルバルブヒータの一実施形態の断面図である。 図8は、排気ポンピングプレートの透視図である。 図9は、排気ポンピングプレート用のカバーの透視図である。 図10は、スリットバルブライナの透視図である。 図11は、試料が基板の表面全体に集められた場所を示す基板の表面の概略図である。 図12は、形成された特徴部の実施形態の概略図である。
符号の説明
100…基板、101…挿入ピース、102…混合領域、103…アダプタリング、104…第一ブロッカープレート、105…第二ブロッカープレート、106…壁、107…排気ポンピングプレート、108…フェイスプレート、109…排気ポンピングプレート、110…リッド、111…基板支持アセンブリ、112…排気プレートカバー、113…ミキサ、114…スリットバルブ開口、115…スリットバルブライナ、116…穴、122…電界分離領域、123…ウェル、124…ゲートスタック、125…酸化物層、126…スペーサ層、136…導電性ゲート電極層、140…先端、150…ゲート誘電体層、202…スペース、203…ヒータジャケット、204…ブロッカープレート、205…ブロッカープレート、209…リッド、210…ヒータ、212…熱絶縁素子、213…ガス注入口、401…バルクアンプル、402…プロセスアンプル、403…液体フローメータ、404…蒸発器、405…ガス源、901…コンジット、902…通気ライン、903…迂回ライン、905…ボールバルブ、907…スプールピース、1000…スロットルバルブ、1001…クランプ、1002…ヒータジャケット、1101…通気ライン、1102…迂回ライン、1103…ライン、1104…コンベクションゲージ、1105…排気部。

Claims (20)

  1. 基板上にシリコンと窒素を含む層を堆積させる方法であって:
    シリコン含有化合物を蒸発させるステップと;
    該シリコン含有化合物を処理チャンバの混合領域へ流すステップであって、該混合領域が加熱されたアダプタリングと少なくとも一つのブロッカープレートによって画成されている、前記ステップと;
    該シリコン含有化合物をガス分配プレートを通って加熱された壁、基板支持体、該ガス分配プレートによって画成された処理領域へ流すステップと;その後、
    残留ガスを加熱された排気システムを通って排気するステップと;
    を含む、前記方法。
  2. シリコンと窒素を含む該層を約475℃〜約800℃の基板支持温度で堆積させるステップを更に含む、請求項1に記載の方法。
  3. 該チャンバの該処理領域が、堆積の間、約10〜約350トールの圧力である、請求項1に記載の方法。
  4. 該チャンバの該処理領域が、約100〜約700ミリトールの圧力である、請求項1に記載の方法。
  5. 該排気システムの一部が、約50℃〜約160℃に加熱される、請求項1に記載の方法。
  6. 該シリコン含有前駆物質が、該混合領域に入る前にアンモニアと組み合わせられる、請求項1に記載の方法。
  7. 該シリコン含有前駆物質が、ジクロロシラン、ヘキサクロロジシラン、ビス(ターシャリ-ブチルアミノ)シラン、シラン、及びジシランからなる群より選ばれる、請求項1に記載の方法。
  8. 窒素含有化合物を該混合領域へ流すステップであって、該窒素含有化合物がアンモニア及びヒドラジンからなる群より選ばれる、前記ステップを更に含む、請求項1に記載の方法。
  9. 希釈ガスを該混合領域へ流すステップであって、該希釈ガスが窒素、水素、ヘリウム、及びアルゴンからなる群より選ばれる、前記ステップを更に含む、請求項1に記載の方法。
  10. ゲルマニウム含有化合物を該混合領域へ流すステップであって、該ゲルマニウム含有化合物がゲルマン及びジゲルマンからなる群より選ばれる、前記ステップを更に含む、請求項1に記載の方法。
  11. 少なくとも一つのドーパントを該混合領域へ流すステップであって、該少なくとも一つのドーパントが炭素含有化合物及びホウ素含有化合物からなる群より選ばれる、前記ステップを更に含む、請求項1に記載の方法。
  12. 該炭素含有化合物が、ビスターシャリーブチルアミノシランである、請求項11に記載の方法。
  13. 該ホウ素含有化合物が、ボラン、ジボラン、及び三塩化ホウ素からなる群より選ばれる、請求項11に記載の方法。
  14. 基板上にシリコンと窒素を含む少なくとも一つの層を堆積させる方法であって:
    シリコン含有化合物を混合領域がアダプタリングと少なくとも一つのブロッカープレートによって画成された処理チャンバへ流すステップと;
    該処理チャンバの該アダプタリングと排気システムの一部を加熱するステップと;
    ボロン含有化合物を該処理チャンバへ流すステップと;
    窒素含有化合物を該処理チャンバへ流すステップと;
    を含む、前記方法。
  15. 該シリコン含有化合物が、炭素含有化合物を有する該処理チャンバへ流す、請求項14に記載の方法。
  16. 該炭素含有化合物が、ビスターシャリーブチルアミノシランである、請求項14に記載の方法。
  17. 該ホウ素含有化合物が、ボラン、ジボラン、及び三塩化ホウ素からなる群より選ばれる、請求項14に記載の方法。
  18. 該シリコン含有化合物が、ジクロロシラン、ヘキサクロロシラン、ビスターシャリーブチルアミノシラン、シラン、及びジシランからなる群より選ばれる、請求項14に記載の方法。
  19. ホウ素窒素水素膜を堆積させるステップを更に含む、請求項14に記載の方法。
  20. 追加の窒化シリコン膜を堆積させるステップを更に含む、請求項19に記載の方法。
JP2008534536A 2005-10-06 2006-08-29 ドープされた窒化シリコン膜の低温堆積のための方法及び装置 Pending JP2009512188A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/245,373 US20070082507A1 (en) 2005-10-06 2005-10-06 Method and apparatus for the low temperature deposition of doped silicon nitride films
PCT/US2006/033470 WO2007044145A2 (en) 2005-10-06 2006-08-29 Method and apparatus for the low temperature deposition of doped silicon nitride films

Publications (2)

Publication Number Publication Date
JP2009512188A true JP2009512188A (ja) 2009-03-19
JP2009512188A5 JP2009512188A5 (ja) 2009-08-13

Family

ID=37911511

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008534536A Pending JP2009512188A (ja) 2005-10-06 2006-08-29 ドープされた窒化シリコン膜の低温堆積のための方法及び装置

Country Status (6)

Country Link
US (1) US20070082507A1 (ja)
JP (1) JP2009512188A (ja)
KR (1) KR20080056287A (ja)
CN (1) CN101283115A (ja)
TW (1) TW200721271A (ja)
WO (1) WO2007044145A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015142038A (ja) * 2014-01-29 2015-08-03 東京エレクトロン株式会社 成膜装置
WO2019087445A1 (ja) * 2017-10-31 2019-05-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7499876B2 (ja) 2020-04-22 2024-06-14 アプライド マテリアルズ インコーポレイテッド シャワーヘッドを有する事前洗浄チャンバ上側シールド

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7602009B2 (en) * 2005-06-16 2009-10-13 Micron Technology, Inc. Erasable non-volatile memory device using hole trapping in high-K dielectrics
US20080000521A1 (en) * 2006-05-15 2008-01-03 Siva Sivoththaman Low-temperature doping processes for silicon wafer devices
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US7993700B2 (en) * 2007-03-01 2011-08-09 Applied Materials, Inc. Silicon nitride passivation for a solar cell
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US20090159958A1 (en) * 2007-12-20 2009-06-25 Spansion Llc Electronic device including a silicon nitride layer and a process of forming the same
CN102165576B (zh) * 2008-09-26 2013-12-25 罗姆股份有限公司 半导体装置以及半导体装置的制造方法
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
SG10201401671SA (en) * 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
GB2471128A (en) * 2009-06-18 2010-12-22 Rec Solar As Surface passivation of silicon wafers
CN102383106B (zh) * 2010-09-03 2013-12-25 甘志银 快速清除残余反应气体的金属有机物化学气相沉积反应腔体
US10504719B2 (en) 2012-04-25 2019-12-10 Applied Materials, Inc. Cooled reflective adapter plate for a deposition chamber
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
JP2014184513A (ja) 2013-03-22 2014-10-02 Toshiba Corp 電気部品およびその製造方法
CN103278124B (zh) * 2013-05-10 2016-03-02 京东方科技集团股份有限公司 薄膜厚度的测试方法和装置
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
CN110178201B (zh) * 2017-01-13 2023-06-16 应用材料公司 用于低温氮化硅膜的方法及设备
JP6270191B1 (ja) * 2017-05-17 2018-01-31 日本新工芯技株式会社 保護材用リング
WO2020050919A1 (en) * 2018-09-05 2020-03-12 Applied Materials, Inc. Gas input system for a substrate processing chamber
KR20200073452A (ko) 2018-12-14 2020-06-24 주성엔지니어링(주) 저온 실리콘 절연막 증착 방법
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005059200A1 (en) * 2003-11-25 2005-06-30 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5676205A (en) * 1993-10-29 1997-10-14 Applied Materials, Inc. Quasi-infinite heat source/sink
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5977519A (en) * 1997-02-28 1999-11-02 Applied Komatsu Technology, Inc. Heating element with a diamond sealing material
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6202656B1 (en) * 1998-03-03 2001-03-20 Applied Materials, Inc. Uniform heat trace and secondary containment for delivery lines for processing system
JP4214585B2 (ja) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6572814B2 (en) * 1998-09-08 2003-06-03 Applied Materials Inc. Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6569482B2 (en) * 1998-10-30 2003-05-27 Excel Corporation Method for surface treating animal tissue
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6351013B1 (en) * 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6548414B2 (en) * 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
KR100390822B1 (ko) * 1999-12-28 2003-07-10 주식회사 하이닉스반도체 이미지센서에서의 암전류 감소 방법
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6660664B1 (en) * 2000-03-31 2003-12-09 International Business Machines Corp. Structure and method for formation of a blocked silicide resistor
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6271054B1 (en) * 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6709721B2 (en) * 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6566246B1 (en) * 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
JP3937892B2 (ja) * 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6613637B1 (en) * 2002-05-31 2003-09-02 Lsi Logic Corporation Composite spacer scheme with low overlapped parasitic capacitance
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP4265409B2 (ja) * 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
DE102004047631B4 (de) * 2004-09-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur in Form eines Feldeffekttransistors mit einem verspannten Kanalgebiet und Halbleiterstruktur

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005059200A1 (en) * 2003-11-25 2005-06-30 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015142038A (ja) * 2014-01-29 2015-08-03 東京エレクトロン株式会社 成膜装置
WO2019087445A1 (ja) * 2017-10-31 2019-05-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7499876B2 (ja) 2020-04-22 2024-06-14 アプライド マテリアルズ インコーポレイテッド シャワーヘッドを有する事前洗浄チャンバ上側シールド

Also Published As

Publication number Publication date
WO2007044145A2 (en) 2007-04-19
WO2007044145A3 (en) 2007-07-12
US20070082507A1 (en) 2007-04-12
TW200721271A (en) 2007-06-01
KR20080056287A (ko) 2008-06-20
CN101283115A (zh) 2008-10-08

Similar Documents

Publication Publication Date Title
JP2009512188A (ja) ドープされた窒化シリコン膜の低温堆積のための方法及び装置
KR101164688B1 (ko) 게이트 스택 측벽 스페이서들을 제조하기 위한 방법
US7294581B2 (en) Method for fabricating silicon nitride spacer structures
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
US7498270B2 (en) Method of forming a silicon oxynitride film with tensile stress
US8084105B2 (en) Method of depositing boron nitride and boron nitride-derived materials
US7001844B2 (en) Material for contact etch layer to enhance device performance
US7365029B2 (en) Method for silicon nitride chemical vapor deposition
US7521354B2 (en) Low k interlevel dielectric layer fabrication methods
KR20060054387A (ko) 증착 전 게르마늄 표면 처리 방법
KR20010064414A (ko) 반도체장치의 TaON 게이트절연막 형성방법
US20080145536A1 (en) METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20030020111A1 (en) Economic and low thermal budget spacer nitride process
US20070190768A1 (en) Manufacturing method of semiconductor device
JP2003224126A (ja) 改善された薄い誘電性フィルムのシステムと方法
KR100944831B1 (ko) 반도체 장치의 제조 방법 및 성막 장치
US6235654B1 (en) Process for forming PECVD nitride with a very low deposition rate
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
CN1420533A (zh) 用于提高介电薄膜的系统和方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090625

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090625

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091105

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111212

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111219

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120314