KR20150058132A - 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 Download PDF

Info

Publication number
KR20150058132A
KR20150058132A KR1020150066335A KR20150066335A KR20150058132A KR 20150058132 A KR20150058132 A KR 20150058132A KR 1020150066335 A KR1020150066335 A KR 1020150066335A KR 20150066335 A KR20150066335 A KR 20150066335A KR 20150058132 A KR20150058132 A KR 20150058132A
Authority
KR
South Korea
Prior art keywords
gas
layer
supplying
wafer
carbon
Prior art date
Application number
KR1020150066335A
Other languages
English (en)
Other versions
KR101570318B1 (ko
Inventor
료타 사사지마
요시노부 나카무라
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20150058132A publication Critical patent/KR20150058132A/ko
Application granted granted Critical
Publication of KR101570318B1 publication Critical patent/KR101570318B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

저온 영역에서 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 형성할 때에, 성막 속도의 저하를 억제하고, 유전율의 증가를 억제하는 것을 과제로 한다. 기판에 대하여 질화 가스를 공급하는 공정을 행한 후, 기판에 대하여 탄소 함유 가스를 공급하는 공정과, 기판에 대하여 소정 원소 함유 가스를 공급하는 공정과, 기판에 대하여 탄소 함유 가스를 공급하는 공정과, 기판에 대하여 산화 가스를 공급하는 공정과, 기판에 대하여 질화 가스를 공급하는 공정을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 기판 상에 형성하는 공정을 포함한다.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECODING MEDIUM}
본 발명은 기판 상에 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체에 관한 것이다.
반도체 장치(디바이스)의 미세화에 수반하여, 트랜지스터의 게이트와 소스 사이의 기생 용량을 저감시키는 요구가 높아지고 있다. 그로 인해, 사이드 월 막 등으로서 종래부터 사용되어 온 실리콘 질화막(SixNy막, 이하, 단순히 'SiN막'이라고도 함) 대신에, 유전율이 보다 낮은 막(Low-k막)을 채용하는 것이 검토되고 있다. SiN막 내에 산소(O) 및 탄소(C)를 첨가한 실리콘 산탄질화막(SiOCN막)에서는, O를 첨가함으로써 저유전율화가 실현됨과 함께, O를 첨가함으로써 열화된 웨트 에칭 내성이나 드라이 에칭 내성을, C를 첨가함으로써 회복시키거나, 개선시킬 수 있다.
실리콘 등의 소정 원소, 산소, 탄소 및 질소를 포함하는 박막인 SiOCN막은, 예를 들어 처리실 내의 가열된 웨이퍼에 대하여 실리콘 함유 가스를 공급하는 공정과, 탄소 함유 가스를 공급하는 공정과, 질화 가스를 공급하는 공정과, 산화 가스를 공급하는 공정을 이 순서로 행하고, 이것을 1 사이클로 하여 이 사이클을 소정 횟수 실시함으로써 성막할 수 있는 것이 알려져 있다(예를 들어 특허문헌 1 참조).
일본 특허 공개 제2011-238894호 공보
최근 들어, 트랜지스터의 게이트 절연막으로서 고유전율 절연막(High-k막)이 채용되도록 된 것에 기인하여, 게이트 주변에 형성되는 사이드 월 막 등의 박막의 성막 온도를, 예를 들어 600℃ 이하, 나아가서는 450℃ 이하의 저온 영역으로 저하시키는 요구가 높아지고 있다. 그러나, 성막 온도를 이와 같은 저온 영역으로 저하시키면, 박막의 성막 속도가 저하되어, 반도체 장치의 생산성이 저하되어 버리는 경우가 있었다.
또한, SiOCN막의 성막 온도를 저하시키면, 성막 온도의 저하에 수반하여 막 내의 산소(O) 농도 및 탄소(C) 농도가 각각 저하되고, 질소(N) 농도가 증가되어 버리는 경우가 있었다. 즉, SiOCN막의 조성이 SiN막에 근접하여 SiOCN막의 유전율이 증가되어 버리는 경우가 있었다.
본 발명의 목적은, 저온 영역에서 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 형성할 때에, 성막 속도의 저하를 억제하고, 유전율의 증가를 억제하는 것이 가능한 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체를 제공하는 데 있다.
본 발명의 일 형태에 의하면, 기판에 대하여 질화 가스를 공급하는 공정을 행한 후, 상기 기판에 대하여 탄소 함유 가스를 공급하는 공정과, 상기 기판에 대하여 소정 원소 함유 가스를 공급하는 공정과, 상기 기판에 대하여 탄소 함유 가스를 공급하는 공정과, 상기 기판에 대하여 산화 가스를 공급하는 공정과, 상기 기판에 대하여 질화 가스를 공급하는 공정을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면, 기판을 수용하는 처리실과, 상기 처리실 내의 기판에 대하여 소정 원소 함유 가스를 공급하는 소정 원소 함유 가스 공급계와, 상기 처리실 내의 기판에 대하여 탄소 함유 가스를 공급하는 탄소 함유 가스 공급계와, 상기 처리실 내의 기판에 대하여 산화 가스를 공급하는 산화 가스 공급계와, 상기 처리실 내의 기판에 대하여 질화 가스를 공급하는 질화 가스 공급계와, 상기 처리실 내의 기판에 대하여 상기 질화 가스를 공급하는 처리를 행한 후, 상기 처리실 내의 상기 기판에 대하여 상기 탄소 함유 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 소정 원소 함유 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 탄소 함유 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 산화 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 질화 가스를 공급하는 처리를 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 처리를 행하도록, 상기 소정 원소 함유 가스 공급계, 상기 탄소 함유 가스 공급계, 상기 산화 가스 공급계 및 상기 질화 가스 공급계를 제어하도록 구성되는 제어부를 포함하는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면, 처리실 내의 기판에 대하여 질화 가스를 공급하는 수순을 행한 후, 상기 처리실 내의 상기 기판에 대하여 탄소 함유 가스를 공급하는 수순과, 상기 처리실 내의 상기 기판에 대하여 소정 원소 함유 가스를 공급하는 수순과, 상기 처리실 내의 상기 기판에 대하여 탄소 함유 가스를 공급하는 수순과, 상기 처리실 내의 상기 기판에 대하여 산화 가스를 공급하는 수순과, 상기 처리실 내의 상기 기판에 대하여 질화 가스를 공급하는 수순을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 수순을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 따른 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체에 의하면, 저온 영역에서 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 형성할 때에, 성막 속도의 저하를 억제하고, 유전율의 증가를 억제하는 것이 가능하게 된다.
도 1은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도로서, 처리로 부분을 종단면도로 나타낸 도면이다.
도 2는 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도로서, 처리로 부분을 도 1의 A-A선 단면도로 나타낸 도면이다.
도 3은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도이다.
도 4a는 본 실시 형태의 제1 시퀀스에서의 가스 공급의 타이밍을 나타낸 도면이며, 도 4b는 그 변형예에서의 가스 공급의 타이밍을 나타낸 도면이다.
도 5a는 본 실시 형태의 제2 시퀀스에서의 가스 공급의 타이밍을 나타낸 도면이며, 도 5b는 그 변형예에서의 가스 공급의 타이밍을 나타낸 도면이다.
도 6a는 실시예에서의 가스 공급의 타이밍을 나타낸 도면이며, 도 6b는 참고예에서의 가스 공급의 타이밍을 나타낸 도면이다.
도 7은 실시예 1에서의 SiOCN막의 조성에 관한 평가 결과를 나타낸 도면이다.
도 8은 실시예 2에서의 SiOCN막의 조성에 관한 평가 결과를 나타낸 도면이다.
도 9는 참고예 1에서의 SiOCN막의 조성에 관한 평가 결과를 나타낸 도면이다.
도 10은 참고예 2에서의 SiOCN막의 조성에 관한 평가 결과를 나타낸 도면이다.
이하에 본 발명의 실시 형태를 도면에 기초하여 설명한다.
(1) 기판 처리 장치의 구성
도 1은, 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로(爐)의 개략 구성도로서, 처리로(202) 부분을 종단면도로 나타내고 있다. 도 2는, 본 실시 형태에서 바람직하게 이용되는 종형 처리로의 개략 구성도로서, 처리로(202) 부분을 도 1의 A-A선 단면도로 나타내고 있다. 또한, 본 발명은 본 실시 형태에 따른 기판 처리 장치에 한정되지 않고, 매엽식, 핫월(Hot Wall)형, 콜드월(Cold Wall)형의 처리로를 갖는 기판 처리 장치에도 바람직하게 적용할 수 있다.
도 1에 도시된 바와 같이, 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 유지판으로서의 히터 베이스(도시생략)에 지지됨으로써 수직으로 설치되어 있다. 또한, 히터(207)는 후술하는 바와 같이 가스를 열로 활성화시키는 활성화 기구로서도 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성되어 있다. 반응관(203)의 통 중공부에는 처리실(201)이 형성되어 있으며, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향으로 다단으로 정렬한 상태에서 수용 가능하게 구성되어 있다.
처리실(201) 내에는, 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c), 제4 노즐(249d)이 반응관(203)의 하부를 관통하도록 설치되어 있다. 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c), 제4 노즐(249d)에는, 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제4 가스 공급관(232d)이 각각 접속되어 있다. 이와 같이, 반응관(203)에는 4개의 노즐(249a, 249b, 249c, 249d)과, 4개의 가스 공급관(232a, 232b, 232c, 232d)이 설치되어 있으며, 처리실(201) 내로 복수 종류, 여기에서는 4종류의 가스를 공급할 수 있도록 구성되어 있다.
또한, 반응관(203)의 하방에, 반응관(203)을 지지하는 금속제의 매니폴드를 설치하고, 각 노즐을, 이 금속제의 매니폴드의 측벽을 관통하도록 설치해도 된다. 이 경우, 이 금속제의 매니폴드에, 후술하는 배기관(231)을 더 설치해도 된다. 또한, 이 경우에도, 배기관(231)을 금속제의 매니폴드가 아니라, 반응관(203)의 하부에 설치해도 된다. 이와 같이, 처리로(202)의 노구부를 금속제로 하고, 이 금속제의 노구부에 노즐 등을 부착하여도 된다.
제1 가스 공급관(232a)에는, 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC: 241a) 및 개폐 밸브인 밸브(243a)가 설치되어 있다. 또한, 제1 가스 공급관(232a)의 밸브(243a)보다도 하류측에는, 제1 불활성 가스 공급관(232e)이 접속되어 있다. 이 제1 불활성 가스 공급관(232e)에는, 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241e) 및 개폐 밸브인 밸브(243e)가 설치되어 있다. 또한, 제1 가스 공급관(232a)의 선단부에는, 전술한 제1 노즐(249a)이 접속되어 있다. 제1 노즐(249a)은 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향해 상승하도록 설치되어 있다. 즉, 제1 노즐(249a)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 제1 노즐(249a)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되어 있으며, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승하도록 설치되어 있다. 제1 노즐(249a)의 측면에는 가스를 공급하는 가스 공급 구멍(250a)이 형성되어 있다. 가스 공급 구멍(250a)은 반응관(203)의 중심을 향하도록 개구되어 있으며, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250a)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 가지며, 또한 동일한 개구 피치로 형성되어 있다. 주로, 제1 가스 공급관(232a), 매스 플로우 컨트롤러(241a), 밸브(243a)에 의해 제1 가스 공급계가 구성된다. 또한, 제1 노즐(249a)을 제1 가스 공급계에 포함시켜 생각해도 된다. 또한, 주로, 제1 불활성 가스 공급관(232e), 매스 플로우 컨트롤러(241e), 밸브(243e)에 의해 제1 불활성 가스 공급계가 구성된다. 제1 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제2 가스 공급관(232b)에는, 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC: 241b) 및 개폐 밸브인 밸브(243b)가 설치되어 있다. 또한, 제2 가스 공급관(232b)의 밸브(243b)보다도 하류측에는, 제2 불활성 가스 공급관(232f)이 접속되어 있다. 이 제2 불활성 가스 공급관(232f)에는, 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241f) 및 개폐 밸브인 밸브(243f)가 설치되어 있다. 또한, 제2 가스 공급관(232b)의 선단부에는, 전술한 제2 노즐(249b)이 접속되어 있다. 제2 노즐(249b)은 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향해 상승하도록 설치되어 있다. 즉, 제2 노즐(249b)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 제2 노즐(249b)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되어 있으며, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승하도록 설치되어 있다. 제2 노즐(249b)의 측면에는 가스를 공급하는 가스 공급 구멍(250b)이 형성되어 있다. 가스 공급 구멍(250b)은 반응관(203)의 중심을 향해 개구되어 있으며, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250b)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 가지며, 또한 동일한 개구 피치로 형성되어 있다. 주로, 제2 가스 공급관(232b), 매스 플로우 컨트롤러(241b), 밸브(243b)에 의해 제2 가스 공급계가 구성된다. 또한, 제2 노즐(249b)을 제2 가스 공급계에 포함시켜 생각해도 된다. 또한, 주로, 제2 불활성 가스 공급관(232f), 매스 플로우 컨트롤러(241f), 밸브(243f)에 의해 제2 불활성 가스 공급계가 구성된다. 제2 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제3 가스 공급관(232c)에는, 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC: 241c) 및 개폐 밸브인 밸브(243c)가 설치되어 있다. 또한, 제3 가스 공급관(232c)의 밸브(243c)보다도 하류측에는, 제3 불활성 가스 공급관(232g)이 접속되어 있다. 이 제3 불활성 가스 공급관(232g)에는, 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241g) 및 개폐 밸브인 밸브(243g)가 설치되어 있다. 또한, 제3 가스 공급관(232c)의 선단부에는, 전술한 제3 노즐(249c)이 접속되어 있다. 제3 노즐(249c)은 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향해 상승하도록 설치되어 있다. 즉, 제3 노즐(249c)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 제3 노즐(249c)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되어 있으며, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승하도록 설치되어 있다. 제3 노즐(249c)의 측면에는 가스를 공급하는 가스 공급 구멍(250c)이 형성되어 있다. 가스 공급 구멍(250c)은 반응관(203)의 중심을 향하도록 개구되어 있으며, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250c)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 가지며, 또한 동일한 개구 피치로 형성되어 있다. 주로, 제3 가스 공급관(232c), 매스 플로우 컨트롤러(241c), 밸브(243c)에 의해 제3 가스 공급계가 구성된다. 또한, 제3 노즐(249c)을 제3 가스 공급계에 포함시켜 생각해도 된다. 또한, 주로, 제3 불활성 가스 공급관(232g), 매스 플로우 컨트롤러(241g), 밸브(243g)에 의해 제3 불활성 가스 공급계가 구성된다. 제3 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제4 가스 공급관(232d)에는, 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC: 241d) 및 개폐 밸브인 밸브(243d)가 설치되어 있다. 또한, 제4 가스 공급관(232d)의 밸브(243d)보다도 하류측에는, 제4 불활성 가스 공급관(232h)이 접속되어 있다. 이 제4 불활성 가스 공급관(232h)에는, 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241h) 및 개폐 밸브인 밸브(243h)가 설치되어 있다. 또한, 제4 가스 공급관(232d)의 선단부에는, 전술한 제4 노즐(249d)이 접속되어 있다. 제4 노즐(249d)은 가스 분산 공간인 버퍼실(237) 내에 설치되어 있다.
버퍼실(237)은, 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에, 또한, 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에, 웨이퍼(200)의 적재 방향을 따라서 설치되어 있다. 즉, 버퍼실(237)은, 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역으로, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부에는, 가스를 공급하는 가스 공급 구멍(250e)이 형성되어 있다. 가스 공급 구멍(250e)은 반응관(203)의 중심을 향하도록 개구되어 있으며, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250e)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 가지며, 또한 동일한 개구 피치로 형성되어 있다.
제4 노즐(249d)은 버퍼실(237)의 가스 공급 구멍(250e)이 형성된 단부와 반대측의 단부에, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향해 상승하도록 설치되어 있다. 즉, 제4 노즐(249d)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 제4 노즐(249d)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되어 있으며, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승하도록 설치되어 있다. 제4 노즐(249d)의 측면에는 가스를 공급하는 가스 공급 구멍(250d)이 형성되어 있다. 가스 공급 구멍(250d)은 버퍼실(237)의 중심을 향하도록 개구되어 있다. 이 가스 공급 구멍(250d)은 버퍼실(237)의 가스 공급 구멍(250e)과 마찬가지로, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되어 있다. 이 복수의 가스 공급 구멍(250d) 각각의 개구 면적은, 버퍼실(237) 내와 처리실(201) 내의 차압이 작은 경우에는, 상류측(하부)으로부터 하류측(상부)까지, 각각 동일한 개구 면적에서 동일한 개구 피치로 하면 되지만, 차압이 큰 경우에는 상류측으로부터 하류측을 향해 각각 개구 면적을 크게 하거나, 개구 피치를 작게 하면 된다.
본 실시 형태에서는, 제4 노즐(249d)의 가스 공급 구멍(250d) 각각의 개구 면적이나 개구 피치를, 상류측으로부터 하류측에 걸쳐서 전술한 바와 같이 조절함으로써, 우선, 가스 공급 구멍(250d) 각각으로부터, 유속의 차는 있지만, 유량이 거의 동일량인 가스를 분출시킨다. 그리고 이 가스 공급 구멍(250d) 각각으로부터 분출하는 가스를, 일단, 버퍼실(237) 내로 도입하고, 버퍼실(237) 내에서 가스의 유속차의 균일화를 행하도록 하고 있다. 즉, 제4 노즐(249d)의 가스 공급 구멍(250d) 각각으로부터 버퍼실(237) 내로 분출된 가스는 버퍼실(237) 내에서 각 가스의 입자 속도가 완화된 후, 버퍼실(237)의 가스 공급 구멍(250e)으로부터 처리실(201) 내로 분출된다. 이에 의해, 제4 노즐(249d)의 가스 공급 구멍(250d) 각각으로부터 버퍼실(237) 내로 분출된 가스는, 버퍼실(237)의 가스 공급 구멍(250e) 각각으로부터 처리실(201) 내로 분출될 때에는, 균일한 유량과 유속을 갖는 가스로 된다.
주로, 제4 가스 공급관(232d), 매스 플로우 컨트롤러(241d), 밸브(243d)에 의해 제4 가스 공급계가 구성된다. 또한, 제4 노즐(249d) 및 버퍼실(237)을 제4 가스 공급계에 포함시켜 생각해도 된다. 또한, 주로, 제4 불활성 가스 공급관(232h), 매스 플로우 컨트롤러(241h), 밸브(243h)에 의해 제4 불활성 가스 공급계가 구성된다. 제4 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
이와 같이, 본 실시 형태에서의 가스 공급 방법은, 반응관(203)의 내벽과, 적재된 복수매의 웨이퍼(200)의 단부로 정의되는 원호 형상의 세로로 긴 공간 내에 배치한 노즐(249a, 249b, 249c, 249d) 및 버퍼실(237)을 경유하여 가스를 반송하고, 노즐(249a, 249b, 249c, 249d) 및 버퍼실(237)에 각각 개구된 가스 공급 구멍(250a, 250b, 250c, 250d, 250e)으로부터 웨이퍼(200)의 근방에서 비로소 반응관(203) 내로 가스를 분출시키고 있으며, 반응관(203) 내에서의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 하고 있다. 이러한 구성으로 함으로써, 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있어, 각 웨이퍼(200)에 형성되는 박막의 막 두께를 균일하게 할 수 있는 효과가 있다. 또한, 반응 후의 잔류 가스는, 배기구, 즉, 후술하는 배기관(231)의 방향을 향해 흐르지만, 이 잔류 가스의 흐름 방향은, 배기구의 위치에 따라 적절히 특정되며, 수직 방향으로 한정된 것은 아니다.
제1 가스 공급관(232a)으로부터는, 소정 원소 함유 가스로서, 예를 들어 실란계 가스 등의 실리콘 원료 가스, 즉, 소정 원소로서의 실리콘(Si)을 포함하는 가스(실리콘 함유 가스)가 매스 플로우 컨트롤러(241a), 밸브(243a), 제1 노즐(249a)을 통해 처리실(201) 내로 공급된다. 실리콘 함유 가스로서는, 예를 들어 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스를 사용할 수 있다. 또한, HCDS와 같이 상온 상압하에서 액체 상태인 액체 원료를 사용하는 경우에는, 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 원료 가스(HCDS 가스)로서 공급하게 된다.
제2 가스 공급관(232b)으로부터는, 탄소 함유 가스, 즉, 탄소(C)를 포함하는 가스가, 매스 플로우 컨트롤러(241b), 밸브(243b), 제2 노즐(249b)을 통해 처리실(201) 내로 공급된다. 탄소 함유 가스로서는, 예를 들어 프로필렌(C3H6) 가스 등의 탄화수소계 가스를 사용할 수 있다.
제3 가스 공급관(232c)으로부터는, 산화 가스, 즉, 산소(O)를 포함하는 가스(산소 함유 가스)가 매스 플로우 컨트롤러(241c), 밸브(243c), 제3 노즐(249c)을 통해 처리실(201) 내로 공급된다. 산화 가스로서는, 예를 들어 산소(O2) 가스를 사용할 수 있다.
제4 가스 공급관(232d)으로부터는, 질화 가스, 즉, 질소(N)를 포함하는 가스(질소 함유 가스)가 매스 플로우 컨트롤러(241d), 밸브(243d), 제4 노즐(249d), 버퍼실(237)을 통해 처리실(201) 내로 공급된다. 질화 가스로서는, 예를 들어 암모니아(NH3) 가스를 사용할 수 있다.
불활성 가스 공급관(232e, 232f, 232g, 232h)으로부터는, 예를 들어 질소(N2) 가스가, 각각 매스 플로우 컨트롤러(241e, 241f, 241g, 241h), 밸브(243e, 243f, 243g, 243h), 가스 공급관(232a, 232b, 232c, 232d), 노즐(249a, 249b, 249c, 249d) 및 버퍼실(237)를 통해 처리실(201) 내로 공급된다.
또한, 예를 들어 각 가스 공급관으로부터 전술한 바와 같은 가스를 각각 흘리는 경우, 제1 가스 공급계에 의해 소정 원소 함유 가스 공급계, 즉, 실리콘 함유 가스 공급계(실란계 가스 공급계)가 구성된다. 또한, 제2 가스 공급계에 의해 탄소 함유 가스 공급계, 즉, 탄화수소계 가스 공급계가 구성된다. 또한, 제3 가스 공급계에 의해 산화 가스 공급계, 즉, 산소 함유 가스 공급계가 구성된다. 또한, 제4 가스 공급계에 의해 질화 가스 공급계, 즉, 질소 함유 가스 공급계가 구성된다. 또한, 소정 원소 함유 가스 공급계를, '원료 가스 공급계', 또는 단순히 '원료 공급계'라고도 한다. 또한, 탄소 함유 가스, 산화 가스 및 질화 가스를 총칭하여 '반응 가스'라고 하는 경우, 탄소 함유 가스 공급계, 산화 가스 공급계 및 질화 가스 공급계에 의해 반응 가스 공급계가 구성된다.
버퍼실(237) 내에는, 도 2에 도시한 바와 같이, 가늘고 긴 구조를 갖는 제1 전극인 제1 막대 형상 전극(269) 및 제2 전극인 제2 막대 형상 전극(270)이, 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라서 배치되어 있다. 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 각각은, 제4 노즐(249d)과 평행하게 설치되어 있다. 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 각각은, 상부로부터 하부에 걸쳐 각 전극을 보호하는 보호관인 전극 보호관(275)에 의해 덮임으로써 보호되어 있다. 이 제1 막대 형상 전극(269) 또는 제2 막대 형상 전극(270) 중 어느 한쪽은, 정합기(272)를 통해 고주파 전원(273)에 접속되고, 다른 쪽은, 기준 전위인 접지에 접속되어 있다. 정합기(272)를 통해 고주파 전원(273)으로부터 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 사이에 고주파 전력을 인가함으로써, 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다. 주로, 제1 막대 형상 전극(269), 제2 막대 형상 전극(270), 전극 보호관(275)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 플라즈마원이 구성된다. 또한, 정합기(272), 고주파 전원(273)을 플라즈마원에 포함시켜 생각해도 된다. 또한, 플라즈마원은, 후술하는 바와 같이 가스를 플라즈마로 활성화(여기)시키는 활성화 기구(여기부)로서 기능한다.
전극 보호관(275)은, 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 각각을 버퍼실(237) 내의 분위기와 격리한 상태에서 버퍼실(237) 내에 삽입할 수 있는 구조로 되어 있다. 여기서, 전극 보호관(275) 내부의 산소 농도가 외기(대기)의 산소 농도와 동일 정도이면, 전극 보호관(275) 내에 각각 삽입된 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270)은, 히터(207)에 의한 열로 산화되어버린다. 따라서, 전극 보호관(275)의 내부를 질소 가스 등의 불활성 가스로 충전해 두거나, 전극 보호관(275) 내부를 불활성 가스 퍼지 기구를 이용하여 질소 가스 등의 불활성 가스로 퍼지함으로써 전극 보호관(275)의 내부의 산소 농도를 저감시켜서, 제1 막대 형상 전극(269) 또는 제2 막대 형상 전극(270)의 산화를 방지할 수 있도록 구성되어 있다.
반응관(203)에는, 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치되어 있다. 배기관(231)에는, 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 통하여, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. 또한, APC 밸브(244)는 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있으며, 나아가서는, 진공 펌프(246)를 작동시킨 상태에서 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있는 밸브이다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 또한, 진공 펌프(246)를 배기계에 포함시켜 생각해도 된다. 배기계는, 진공 펌프(246)를 작동시키면서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 APC 밸브(244)의 밸브의 개방도를 조절함으로써, 처리실(201) 내의 압력이 소정의 압력(진공도)으로 되도록 진공 배기할 수 있게 구성되어 있다.
반응관(203)의 하방에는, 반응관(203)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은 반응관(203)의 하단에 수직 방향 하측으로부터 접촉되도록 구성되어 있다. 시일 캡(219)은 예를 들어 스테인리스 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 상면에는 반응관(203)의 하단과 접촉하는 시일 부재로서의 O링(220)이 설치되어 있다. 시일 캡(219)의 처리실(201)과 반대측에는, 후술하는 기판 유지구로서의 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은 시일 캡(219)을 관통하여 보트(217)에 접속되어 있다. 회전 기구(267)는, 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강하도록 구성되어 있다. 보트 엘리베이터(115)는 시일 캡(219)을 승강시킴으로써, 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성되어 있다. 즉, 보트 엘리베이터(115)는 보트(217) 즉 웨이퍼(200)를, 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성된다.
기판 지지구로서의 보트(217)는 예를 들어 석영이나 탄화규소 등의 내열성 재료로 이루어지고, 복수매의 웨이퍼(200)를 수평 자세이면서, 서로 중심을 맞춘 상태로 정렬시켜서 다단으로 지지하도록 구성되어 있다. 또한, 보트(217)의 하부에는, 예를 들어 석영이나 탄화규소 등의 내열성 재료로 이루어지는 단열 부재(218)가 설치되어 있으며, 히터(207)로부터의 열이 시일 캡(219) 측으로 전달되기 어렵게 되도록 구성되어 있다. 또한, 단열 부재(218)는, 석영이나 탄화규소 등의 내열성 재료로 이루어지는 복수매의 단열판과, 이들 단열판을 수평 자세로 다단으로 지지하는 단열판 홀더에 의해 구성해도 된다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되어 있으며, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성되어 있다. 온도 센서(263)는 노즐(249a, 249b, 249c, 249d)과 마찬가지로 L자형으로 구성되어 있으며, 반응관(203)의 내벽을 따라서 설치되어 있다.
도 3에 도시된 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는 CPU(Central Processing Unit: 121a), RAM(Random Access Memory: 121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 통하여, CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이, 판독 가능하게 저장되어 있다. 또한, 프로세스 레시피는, 후술하는 기판 처리 공정에서의 각 수순을 컨트롤러(121)에 실행시켜서, 소정의 결과를 얻을 수 있도록 조합된 것으로, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여, 단순히 '프로그램'이라고도 한다. 또한, 본 명세서에서 프로그램이라고 하는 단어를 사용한 경우에는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양쪽 모두를 포함하는 경우가 있다. 또한, RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(121d)는 전술한 매스 플로우 컨트롤러(241a, 241b, 241c, 241d, 241e, 241f, 241g, 241h), 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 고주파 전원(273), 정합기(272), 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. 그리고, CPU(121a)는 판독한 프로세스 레시피의 내용을 따르도록, 매스 플로우 컨트롤러(241a, 241b, 241c, 241d, 241e, 241f, 241g, 241h)에 의한 각종 가스의 유량 조정 동작, 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초한 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초한 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작, 고주파 전원(273)의 전력 공급, 정합기(272)에 의한 임피던스 조정 동작 등을 제어하도록 구성되어 있다.
또한, 컨트롤러(121)는 전용 컴퓨터로서 구성되어 있는 경우에 한정되지 않고, 범용 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 전술한 프로그램을 저장한 외부 기억 장치(123: 예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)를 준비하고, 이러한 외부 기억 장치(123)를 이용하여 범용 컴퓨터에 프로그램을 인스톨하는 것 등에 의해, 본 실시 형태에 따른 컨트롤러(121)를 구성할 수 있다. 또한, 컴퓨터에 프로그램을 공급하기 위한 수단은, 외부 기억 장치(123)를 통해 공급하는 경우에 한정되지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 이용하여, 외부 기억 장치(123)를 통하지 않고 프로그램을 공급하도록 해도 된다. 또한, 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여, 단순히 '기록 매체'라고도 한다. 또한, 본 명세서에서 '기록 매체'라고 하는 단어를 사용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양쪽 모두를 포함하는 경우가 있다.
(2) 기판 처리 공정
다음으로, 전술한 기판 처리 장치의 처리로를 이용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판 상에 박막을 성막하는 시퀀스예에 대하여 설명한다. 또한, 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
또한, 본 실시 형태에서는, 형성하는 박막의 조성비가 화학양론 조성 또는, 화학양론 조성과는 다른 소정의 조성비가 되도록 하는 것을 목적으로 하여, 형성하는 박막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스의 공급 조건을 제어한다. 예를 들어, 형성하는 박막을 구성하는 복수의 원소 중 적어도 하나의 원소가 다른 원소보다도 화학양론 조성에 대하여 과잉이 되도록 하는 것을 목적으로 하여, 공급 조건을 제어한다. 이하, 형성하는 박막을 구성하는 복수의 원소의 비율, 즉, 박막의 조성비를 제어하면서 성막을 행하는 시퀀스예에 대하여 설명한다.
(제1 시퀀스)
우선, 본 실시 형태의 제1 시퀀스에 대하여 설명한다.
도 4a는 본 실시 형태의 제1 시퀀스에서의 가스 공급의 타이밍을 나타낸 도면이다.
본 실시 형태의 제1 시퀀스에서는, 웨이퍼(200)에 대하여 질화 가스를 공급하는 공정을 행한 후, 웨이퍼(200)에 대하여 탄소 함유 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 소정 원소 함유 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 탄소 함유 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 산화 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 질화 가스를 공급하는 공정을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 웨이퍼(200) 상에 형성하는 공정을 실시한다.
또한, 박막을 형성하는 공정에서는, 사이클을 소정 횟수 행하기 전에, 웨이퍼(200)에 대하여 질화 가스를 공급함으로써, 웨이퍼(200) 최표면을 개질한다.
또한, 박막을 형성하는 공정에서는, 웨이퍼(200)에 대하여 탄소 함유 가스를 공급함으로써, 질화 가스에 의해 개질된 웨이퍼(200) 최표면의 일부에 제1 탄소 함유층을 형성하고, 웨이퍼(200)에 대하여 소정 원소 함유 가스를 공급함으로써, 질화 가스에 의해 개질되고, 그 일부에 제1 탄소 함유층이 형성된 웨이퍼(200) 최표면 상에 소정 원소 함유층을 형성하고, 웨이퍼(200)에 대하여 탄소 함유 가스를 공급함으로써, 소정 원소 함유층 상에 제2 탄소 함유층을 형성하고, 웨이퍼(200)에 대하여 산화 가스를 공급함으로써, 제1 탄소 함유층, 소정 원소 함유층 및 제2 탄소 함유층을 포함하는 층을 산화하여, 소정 원소, 산소 및 탄소를 포함하는 층을 형성하고, 웨이퍼(200)에 대하여 질화 가스를 공급함으로써, 소정 원소, 산소 및 탄소를 포함하는 층을 질화하여, 소정 원소, 산소, 탄소 및 질소를 포함하는 층을 형성함과 함께 그 최표면을 개질한다.
또한, 제1 탄소 함유층은, 질화 가스에 의해 개질된 웨이퍼(200) 최표면의 일부에 탄소 함유 가스를 흡착시켜서 형성한다. 구체적으로는, 제1 탄소 함유층의 적어도 일부는, 질화 가스에 의해 개질된 웨이퍼(200) 최표면의 적어도 일부에 흡착한 질화 가스의 일부를 탄소 함유 가스로 치환하여 형성한다.
이하, 본 실시 형태의 제1 시퀀스를 구체적으로 설명한다. 여기에서는, 소정 원소 함유 가스로서 실리콘 함유 가스인 HCDS 가스를, 탄소 함유 가스로서 C3H6 가스를, 산화 가스로서 O2 가스를, 질화 가스로서 NH3 가스를 사용하여, 도 4a의 성막 시퀀스, 즉, NH3 가스를 공급하는 공정을 행한 후, C3H6 가스를 공급하는 공정과, HCDS 가스를 공급하는 공정과, C3H6 가스를 공급하는 공정과, O2 가스를 공급하는 공정과, NH3 가스를 공급하는 공정을 이 순서로 행하는 사이클을 소정 횟수 행하는 성막 시퀀스에 의해, 웨이퍼(200) 상에 실리콘, 산소, 탄소 및 질소를 포함하는 실리콘 산탄질화막(SiOCN막)을 형성하는 예에 대하여 설명한다.
또한, 본 명세서에서 '웨이퍼'라고 하는 단어를 사용한 경우에는, '웨이퍼 바로 그 자체'를 의미하는 경우나, '웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과의 적층체(집합체)'를 의미하는 경우(즉, 표면에 형성된 소정의 층이나 막 등을 포함하여 '웨이퍼'라 불리는 경우)가 있다. 또한, 본 명세서에서 '웨이퍼의 표면'이라고 하는 단어를 사용한 경우에는, '웨이퍼 바로 그 자체의 표면(노출면)'을 의미하는 경우나, '웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉, 적층체로서의 웨이퍼 최표면'을 의미하는 경우가 있다.
따라서, 본 명세서에서 '웨이퍼에 대하여 소정의 가스를 공급한다'라고 기재한 경우에는, '웨이퍼 바로 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다'는 것을 의미하는 경우나, '웨이퍼 상에 형성되어 있는 층이나 막 등에 대하여 즉, 적층체로서의 웨이퍼 최표면에 대하여 소정의 가스를 공급한다'는 것을 의미하는 경우가 있다. 또한, 본 명세서에서 '웨이퍼 상에 소정의 층(또는 막)을 형성한다'라고 기재한 경우에는, '웨이퍼 바로 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다'는 것을 의미하는 경우나, '웨이퍼 상에 형성되어 있는 층이나 막 등의 위, 즉, 적층체로서의 웨이퍼 최표면 상에 소정의 층(또는 막)을 형성한다'는 것을 의미하는 경우가 있다.
또한, 본 명세서에서 '기판'이라고 하는 단어를 사용한 경우에도, '웨이퍼'라고 하는 단어를 사용한 경우와 마찬가지이며, 그 경우, 상기 설명에서, '웨이퍼'를 '기판'으로 치환하여 생각하면 된다.
(웨이퍼 차지 및 보트 로드)
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 도 1에 도시된 바와 같이, 복수매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어 올려져서 처리실(201) 내로 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은 O링(220)을 통해 반응관(203)의 하단을 시일한 상태로 된다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)으로 되도록 진공 펌프(246)에 의해 진공 배기된다. 이 때, 처리실(201) 내의 압력은 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다(압력 조정). 또한, 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 항상 작동시킨 상태를 유지한다. 또한, 처리실(201) 내가 원하는 온도가 되도록 히터(207)에 의해 가열된다. 이 때, 처리실(201) 내가 원하는 온도 분포가 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다(온도 조정). 또한, 히터(207)에 의한 처리실(201) 내의 가열은, 적어도 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 계속해서 행해진다. 이어서, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 개시한다(웨이퍼 회전). 또한, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은, 적어도 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 계속해서 행해진다.
〔실리콘 산탄질화막 형성 공정〕
다음으로, 후술하는 표면 개질 스텝을 행하고, 그 후, 후술하는 5개의 스텝, 즉, 스텝 1 내지 5를 순차적으로 실행한다.
[표면 개질 스텝]
(NH3 가스 공급)
제4 가스 공급관(232d)의 밸브(243d)를 열어, 제4 가스 공급관(232d) 내로 NH3 가스를 흘린다. 제4 가스 공급관(232d) 내를 흐른 NH3 가스는, 매스 플로우 컨트롤러(241d)에 의해 유량 조정된다. 유량 조정된 NH3 가스는, 제4 노즐(249d)의 가스 공급 구멍(250d)으로부터 버퍼실(237) 내로 공급된다. 이 때, 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 사이에는 고주파 전력을 인가하지 않는다. 이에 의해, 버퍼실(237) 내로 공급된 NH3 가스는 열로 활성화되어, 가스 공급 구멍(250e)으로부터 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 열로 활성화된 NH3 가스가 공급되게 된다. 또한, 이 때 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 사이에 고주파 전력을 인가하여, 버퍼실(237) 내로 공급된 NH3 가스를 플라즈마로 활성화시켜서 공급할 수도 있다. 그 경우, 고주파 전원(273)으로부터 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 사이에 인가하는 고주파 전력은, 예를 들어 50 내지 1000W의 범위 내의 전력이 되도록 설정된다. 그 밖의 처리 조건은, NH3 가스를 열로 활성화시켜서 공급하는 경우의 처리 조건(후술)과 마찬가지로 한다.
이 때 동시에 밸브(243h)를 열어, 제4 불활성 가스 공급관(232h) 내로 N2 가스를 흘린다. 제4 불활성 가스 공급관(232h) 내를 흐른 N2 가스는, NH3 가스와 함께 버퍼실(237)을 통해 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다. 또한, 이 때, 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c) 내로의 NH3 가스의 침입을 방지하기 위해서, 밸브(243e, 243f, 243g)를 열어, 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232g) 내로 N2 가스를 흘린다. N2 가스는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c)을 통해 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다.
NH3 가스를 열로 활성화하여 흘릴 때에는, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 6000Pa의 범위 내의 압력으로 한다. 매스 플로우 컨트롤러(241d)로 제어하는 NH3 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241h, 241e, 241f, 241g)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 이 때, 처리실(201) 내에서의 NH3 가스의 분압은, 예를 들어 0.01 내지 5941Pa의 범위 내의 압력으로 한다. NH3 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은 예를 들어 1 내지 600초의 범위 내의 시간으로 한다. 또한, 표면 개질 스텝에서의 NH3 가스의 가스 공급 시간은, 후술하는 스텝 5에서의 NH3 가스의 가스 공급 시간보다도 길게 하는 것이 바람직하다. 이에 의해, 성막 전의 웨이퍼(200) 최표면에 대하여 충분히 표면 개질 처리(후술함)를 행할 수 있다. 또한, 이 때의 히터(207) 온도는, 웨이퍼(200)의 온도가 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃의 범위 내의 온도로 되도록 설정된다. NH3 가스는 반응 온도가 높고, 상기와 같은 웨이퍼 온도에서는 반응하기 어려우므로, 처리실(201) 내의 압력을 상기와 같은 비교적 높은 압력으로 함으로써 열적으로 활성화하는 것을 가능하게 하고 있다. 또한, NH3 가스는 열로 활성화시켜서 공급한 쪽이, 소프트한 반응을 발생시킬 수 있어, 후술하는 표면 개질을 소프트하게 행할 수 있다.
웨이퍼(200) 최표면(SiOCN막을 형성할 때의 하지면)에 대하여 활성화된 NH3 가스를 공급함으로써, 웨이퍼(200) 최표면이 개질된다(표면 개질 처리). 구체적으로는, 웨이퍼(200) 최표면에 NH3 가스가 흡착함으로써, 웨이퍼(200) 최표면에, NH3 가스의 흡착층이 형성된다. 또한, 그 때, 웨이퍼(200) 최표면이 활성화된 NH3 가스와 반응하여 질화함으로써, 웨이퍼(200) 최표면에, Si-N 결합을 갖는 층, 즉, 실리콘(Si) 및 질소(N)를 포함하는 질화층(실리콘 질화층)이 더 형성될 수도 있다. 즉, 웨이퍼(200) 최표면에, NH3 가스의 흡착층과 질화층이 양쪽 모두 형성될 수도 있다.
NH3 가스의 흡착층은, NH3 가스의 가스 분자의 연속적인 화학 흡착층 외에, 불연속인 화학 흡착층도 포함한다. 즉, NH3 가스의 흡착층은, NH3 가스 분자로 구성되는 1 분자층 또는 1 분자층 미만인 두께의 화학 흡착층을 포함한다. 또한, NH3 가스의 흡착층을 구성하는 NH3 가스 분자는, N와 H의 결합이 일부 끊긴 것(NxHy 분자)도 포함한다. 즉, NH3 가스의 흡착층은, NH3 가스 분자 및/또는 NxHy 분자의 연속적인 화학 흡착층이나 불연속인 화학 흡착층을 포함한다. 또한, 질화층은, Si 및 N를 포함하는 연속적인 층 외에, 불연속인 층도 포함한다. 즉, 질화층은, Si-N 결합을 포함하는 1 원자층 미만으로부터 수 원자층 정도의 두께 층을 포함한다. 또한, 1 분자층 미만의 두께 층이란 불연속으로 형성되는 분자층을 의미하고 있으며, 1 분자층의 두께 층이란 연속적으로 형성되는 분자층을 의미하고 있다. 또한, 1 원자층 미만의 두께 층이란 불연속으로 형성되는 원자층을 의미하고 있으며, 1 원자층의 두께 층이란 연속적으로 형성되는 원자층을 의미하고 있다.
표면 개질 처리 후의 웨이퍼(200) 최표면은, 후술하는 스텝 2에서 공급되는 HCDS 가스가 흡착하기 쉬워 Si이 퇴적하기 쉬운 표면 상태로 된다. 즉, 표면 개질 스텝에서 사용하는 NH3 가스는, HCDS 가스나 Si의 웨이퍼(200) 최표면으로의 흡착이나 퇴적을 촉진시키는 흡착 및 퇴적 촉진 가스로서 작용하게 된다.
(잔류 가스 제거)
그 후, 제4 가스 공급관(232d)의 밸브(243d)를 닫아, NH3 가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(244)는 개방한 채로, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 또는 웨이퍼(200)의 표면 개질에 기여한 후의 NH3 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한, 이 때, 밸브(243h, 243e, 243f, 243g)는 개방한 채로, N2 가스의 처리실(201) 내로의 공급을 유지한다. 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 표면 개질에 기여한 후의 NH3 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한, 이 때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되며, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 1에서 악영향이 생기는 일은 없다. 이 때 처리실(201) 내로 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없이, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 스텝 1에서 악영향이 생기지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
질화 가스로서는, 암모니아(NH3) 가스 이외에, 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8 가스 등을 사용해도 된다. 불활성 가스로서는, N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용해도 된다.
[스텝 1]
(C3H6 가스 공급)
표면 개질 스텝이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제2 가스 공급관(232b)의 밸브(243b)를 열어, 제2 가스 공급관(232b) 내로 C3H6 가스를 흘린다. 제2 가스 공급관(232b) 내를 흐른 C3H6 가스는, 매스 플로우 컨트롤러(241b)에 의해 유량 조정된다. 유량 조정된 C3H6 가스는, 제2 노즐(249b)의 가스 공급 구멍(250b)으로부터 처리실(201) 내로 공급된다. 처리실(201) 내로 공급된 C3H6 가스는 열로 활성화되고, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 열로 활성화된 C3H6 가스가 공급되게 된다.
이 때 동시에 밸브(243f)를 열어, 제2 불활성 가스 공급관(232f) 내로 N2 가스를 흘린다. 제2 불활성 가스 공급관(232f) 내를 흐른 N2 가스는, C3H6 가스와 함께 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다. 또한, 이 때, 제1 노즐(249a), 제3 노즐(249c), 제4 노즐(249d), 버퍼실(237) 내로의 C3H6 가스의 침입을 방지하기 위해서, 밸브(243e, 243g, 243h)를 열어, 제1 불활성 가스 공급관(232e), 제3 불활성 가스 공급관(232g), 제4 불활성 가스 공급관(232h) 내로 N2 가스를 흘린다. N2 가스는, 제1 가스 공급관(232a), 제3 가스 공급관(232c), 제4 가스 공급관(232d), 제1 노즐(249a), 제3 노즐(249c), 제4 노즐(249d), 버퍼실(237)을 통해 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다.
이 때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 6000Pa의 범위 내의 압력으로 한다. 매스 플로우 컨트롤러(241b)로 제어하는 C3H6 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241f, 241e, 241g, 241h)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 이 때, 처리실(201) 내에서의 C3H6 가스의 분압은, 예를 들어 0.01 내지 5941Pa의 범위 내의 압력으로 한다. C3H6 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은 예를 들어 1 내지 200초, 바람직하게는 1 내지 120초, 보다 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 이 때의 히터(207) 온도는, 표면 개질 스텝과 마찬가지로, 웨이퍼(200)의 온도가 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃의 범위 내의 온도로 되도록 설정된다. 또한, C3H6 가스는 열로 활성화시켜서 공급한 쪽이, 소프트한 반응을 발생시킬 수 있어, 후술하는 제1 탄소 함유층의 형성이 용이하게 된다.
웨이퍼(200)에 대하여 열로 활성화된 C3H6 가스를 공급함으로써, 표면 개질 스텝에서 NH3 가스에 의해 개질된 웨이퍼(200) 최표면의 일부에, 제1 탄소 함유층이 형성된다. 제1 탄소 함유층의 적어도 일부는, 표면 개질 스텝에서 NH3 가스에 의해 개질된 웨이퍼(200) 최표면의 적어도 일부에 흡착한 NH3 가스의 일부가, C3H6 가스로 치환됨으로써 형성된다. 즉, 제1 탄소 함유층의 적어도 일부는, 표면 개질 스텝에서 웨이퍼(200) 최표면에 형성된 NH3 가스의 흡착층을 구성하는 NH3 가스의 일부가, 활성화된 C3H6 가스 에너지에 의해 웨이퍼(200) 최표면으로부터 탈리하고, 그 후, 웨이퍼(200) 최표면의 NH3 가스가 탈리한 부분에 C3H6 가스가 화학 흡착함으로써 형성된다. 또한, 이 때, C3H6 가스의 화학 흡착층에 한정되지 않고, C3H6이 분해한 물질(CxHy)의 화학 흡착층이나 탄소층(C층)이 형성되는 경우도 있지만, 이들 층도, 제1 탄소 함유층의 일부에 포함시켜 생각할 수 있다.
또한, 이 때, NH3 가스와의 치환을 수반하지 않고, 즉, 웨이퍼(200) 최표면으로부터의 NH3 가스의 탈리를 수반하지 않고, 웨이퍼(200) 최표면의 일부에 C3H6 가스가 흡착하기도 한다. 예를 들어, 표면 개질 스텝에서 웨이퍼(200) 최표면에 형성된 NH3 가스의 흡착층 상에 웨이퍼(200)에 대하여 공급된 C3H6 가스의 일부가 흡착하기도 한다. 또한, 표면 개질 스텝에서 웨이퍼(200) 최표면에 형성된 질화층 상에 웨이퍼(200)에 대하여 공급된 C3H6 가스의 일부가 흡착하기도 한다. 또한, NH3 가스의 흡착층이나 질화층이 형성되어 있지 않은 웨이퍼(200) 최표면의 일부에, 웨이퍼(200)에 대하여 공급된 C3H6 가스의 일부가 흡착하기도 한다. 이와 같이, 웨이퍼(200) 최표면의 일부에, NH3 가스와의 치환을 수반하지 않고 C3H6 가스가 흡착하는 경우도 있지만, 이와 같이 하여 형성된 C3H6 가스의 화학 흡착층도, 제1 탄소 함유층의 일부에 포함시켜 생각할 수 있다. 또한, 이 경우에서도, C3H6 가스의 화학 흡착층에 한정되지 않고, C3H6이 분해한 물질(CxHy)의 화학 흡착층이나 탄소층(C층)이 형성되는 경우도 있지만, 이들 층도, 제1 탄소 함유층의 일부에 포함시켜 생각할 수 있다.
또한, 전술한 처리 조건하에서는, NH3 가스의 치환을 수반하는 C3H6 가스의 흡착은, NH3 가스의 흡착층을 구성하는 NH3 가스의 전부가 아니라, 그 일부의 치환(탈리)을 수반하는 것에 그친다. 즉, NH3 가스의 흡착층을 구성하는 NH3 가스는, 그 모두가 치환되지 않고(탈리하지 않고), 일부는 흡착한 상태를 유지하게 된다. 또한, NH3 가스의 치환을 수반하지 않는 C3H6 가스의 흡착은, 웨이퍼(200) 최표면을 전면적으로 덮는 연속적인 흡착(포화 흡착)으로는 되지 않고, 불연속인 흡착(불포화 흡착)으로 된다. 그로 인해, 스텝 1에서 형성되는 제1 탄소 함유층은, 1 분자층 미만의 두께 층, 즉, 불연속인 층으로 되어, 표면 개질 스텝에서 NH3 가스에 의해 개질된 웨이퍼(200) 최표면의 일부만을 덮는 층으로 된다. 즉, 표면 개질 스텝에서 NH3 가스에 의해 개질된 웨이퍼(200) 최표면의 일부는, 스텝 1에 의한 제1 탄소 함유층의 형성 후에도, 제1 탄소 함유층에 덮이지 않고 노출된 그대로의 상태로 되어, 후술하는 스텝 2에서 공급되는 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태 그대로, 유지되게 된다.
C3H6 가스의 웨이퍼(200) 최표면으로의 흡착 상태를 불포화 상태로 하기 위해서는, 스텝 1에서의 처리 조건을 전술한 처리 조건으로 하면 되지만, 나아가서는 스텝 1에서의 처리 조건을 다음 처리 조건으로 함으로써, C3H6 가스의 웨이퍼(200) 최표면으로의 흡착 상태를 불포화 상태로 하는 것이 용이하게 된다.
웨이퍼 온도: 500 내지 650℃
처리실 내 압력: 133 내지 5332Pa
C3H6 가스 분압: 33 내지 5177Pa
C3H6 가스 공급 유량: 1000 내지 10000sccm
N2 가스 공급 유량: 300 내지 3000sccm
C3H6 가스 공급 시간: 6 내지 200초
(잔류 가스 제거)
제1 탄소 함유층이 형성된 후, 제2 가스 공급관(232b)의 밸브(243b)를 닫아, C3H6 가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(244)는 개방한 채로, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응된 C3H6 가스나, 반응 부생성물이나, 웨이퍼(200) 최표면으로부터 탈리한 NH3 가스를 처리실(201) 내로부터 배제한다. 또한, 이 때, 밸브(243f, 243e, 243g, 243h)는 개방한 채로, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응된 C3H6 가스나, 반응 부생성물이나, 웨이퍼(200) 최표면으로부터 탈리한 NH3 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한, 이 때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 2에서 악영향이 생기는 일은 없다. 이 때 처리실(201) 내로 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없이, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 스텝 2에서 악영향이 생기지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
탄소 함유 가스로서는, 프로필렌(C3H6) 가스 이외에, 아세틸렌(C2H2) 가스나 에틸렌(C2H4) 가스 등의 탄화수소계 가스를 사용해도 된다.
[스텝 2]
(HCDS 가스 공급)
스텝 1이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제1 가스 공급관(232a)의 밸브(243a)를 열어, 제1 가스 공급관(232a) 내로 HCDS 가스를 흘린다. 제1 가스 공급관(232a) 내를 흐른 HCDS 가스는, 매스 플로우 컨트롤러(241a)에 의해 유량 조정된다. 유량 조정된 HCDS 가스는, 제1 노즐(249a)의 가스 공급 구멍(250a)으로부터 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다. 이 때, 웨이퍼(200)에 대하여 HCDS 가스가 공급되게 된다.
이 때 동시에 밸브(243e)를 열어, 제1 불활성 가스 공급관(232e) 내로 N2 가스 등의 불활성 가스를 흘린다. 제1 불활성 가스 공급관(232e) 내를 흐른 N2 가스는, 매스 플로우 컨트롤러(241e)에 의해 유량 조정된다. 유량 조정된 N2 가스는, HCDS 가스와 함께 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다. 또한, 이 때, 제2 노즐(249b), 제3 노즐(249c), 제4 노즐(249d), 버퍼실(237) 내로의 HCDS 가스의 침입을 방지하기 위해서, 밸브(243f, 243g, 243h)를 열어, 제2 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232g), 제4 불활성 가스 공급관(232h) 내로 N2 가스를 흘린다. N2 가스는, 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제4 가스 공급관(232d), 제2 노즐(249b), 제3 노즐(249c), 제4 노즐(249d), 버퍼실(237)을 통해 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다.
이 때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13300Pa, 바람직하게는 20 내지 1330Pa의 범위 내의 압력으로 한다. 매스 플로우 컨트롤러(241a)로 제어하는 HCDS 가스의 공급 유량은, 예를 들어 1 내지 1000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241e, 241f, 241g, 241h)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. HCDS 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은 예를 들어 1 내지 200초, 바람직하게는 1 내지 120초, 보다 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 이 때의 히터(207) 온도는, 스텝 1과 마찬가지의 온도이며, 처리실(201) 내로 CVD 반응이 발생하는 정도의 온도, 즉 웨이퍼(200)의 온도가, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃의 범위 내의 온도로 되도록 설정된다. 또한, 웨이퍼(200)의 온도가 250℃ 미만이 되면 웨이퍼(200) 상에 HCDS가 흡착하기 어려워져서, 실용적인 성막 속도를 얻을 수 없게 되는 경우가 있다. 웨이퍼(200)의 온도를 250℃ 이상으로 함으로써 이것을 해소하는 것이 가능해진다. 또한, 웨이퍼(200)의 온도를 300℃ 이상으로 함으로써 웨이퍼(200) 상에 HCDS를 보다 충분히 흡착시키는 것이 가능해져서, 보다 충분한 성막 속도가 얻어지게 된다. 또한, 웨이퍼(200)의 온도가 700℃를 초과하면 CVD 반응이 강해지게 됨(기상 반응이 지배적으로 됨)으로써, 막 두께 균일성이 악화되기 쉬워져서, 그 제어가 곤란하게 된다. 웨이퍼(200)의 온도를 700℃ 이하로 함으로써, 막 두께 균일성의 악화를 억제할 수 있어, 그 제어가 가능해진다. 특히 웨이퍼(200)의 온도를 650℃ 이하로 함으로써, 표면 반응이 지배적으로 되고, 막 두께 균일성을 확보하기 쉬워져서, 그 제어가 용이하게 된다. 따라서, 웨이퍼(200)의 온도는 250 내지 700℃, 바람직하게는 300 내지 650℃ 범위 내의 온도로 하는 것이 좋다.
HCDS 가스의 공급에 의해, 표면 개질 스텝에서 NH3 가스에 의해 개질되고, 그 일부에 제1 탄소 함유층이 형성된 웨이퍼(200) 최표면 상에 예를 들어 1 원자층 미만으로부터 수 원자층 정도 두께의 실리콘 함유층이 형성된다. 이에 의해, 웨이퍼(200) 최표면 상에 실리콘 및 탄소를 포함하는 제1층, 즉, 제1 탄소 함유층 및 실리콘 함유층을 포함하는 층이 형성된다. 실리콘 함유층은 HCDS 가스의 흡착층이어도 되고, 실리콘층(Si층)이어도 되며, 그 양쪽 모두를 포함하고 있어도 된다. 단, 실리콘 함유층은 실리콘(Si) 및 염소(Cl)를 포함하는 층인 것이 바람직하다.
여기서, 실리콘층이란, 실리콘(Si)에 의해 구성되는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐서 생기는 실리콘 박막도 포함하는 총칭이다. 또한, Si에 의해 구성되는 연속적인 층을 실리콘 박막이라고 하는 경우도 있다. 또한, 실리콘층을 구성하는 Si은, Cl와의 결합이 완전히 끊어져 있지 않은 것도 포함한다.
또한, HCDS 가스의 흡착층은, HCDS 가스의 가스 분자의 연속적인 화학 흡착층 외에, 불연속인 화학 흡착층도 포함한다. 즉, HCDS 가스의 흡착층은, HCDS 분자로 구성되는 1 분자층 또는 1 분자층 미만인 두께의 화학 흡착층을 포함한다. 또한, HCDS 가스의 흡착층을 구성하는 HCDS(Si2Cl6) 분자는, Si과 Cl와의 결합이 일부 끊어진 것(SixCly 분자)도 포함한다. 즉, HCDS의 흡착층은, Si2Cl6 분자 및/또는 SixCly 분자의 연속적인 화학 흡착층이나 불연속인 화학 흡착층을 포함한다. 또한, 1 원자층 미만의 두께 층이란 불연속으로 형성되는 원자층을 의미하고 있으며, 1 원자층의 두께 층이란 연속적으로 형성되는 원자층을 의미하고 있다. 또한, 1 분자층 미만의 두께 층이란 불연속으로 형성되는 분자층을 의미하고 있으며, 1 분자층의 두께 층이란 연속적으로 형성되는 분자층을 의미하고 있다.
HCDS 가스가 자기 분해(열 분해)하는 조건하, 즉, HCDS의 열분해 반응이 발생하는 조건하에서는, 웨이퍼(200) 상에 Si이 퇴적함으로써 실리콘층이 형성된다. HCDS 가스가 자기 분해(열분해)하지 않는 조건하, 즉, HCDS의 열분해 반응이 발생하지 않는 조건하에서는, 웨이퍼(200) 상에 HCDS 가스가 흡착함으로써 HCDS 가스의 흡착층이 형성된다. 또한, 웨이퍼(200) 상에 HCDS 가스의 흡착층을 형성하는 것보다도, 웨이퍼(200) 상에 실리콘층을 형성하는 쪽이, 성막 레이트를 높게 할 수 있어, 바람직하다.
웨이퍼(200) 상에 형성되는 실리콘 함유층 두께가 수 원자층을 초과하면, 후술하는 스텝 4, 5에서의 개질 작용이 실리콘 함유층의 전체에 미치지 않게 된다. 또한, 웨이퍼(200) 상에 형성 가능한 실리콘 함유층 두께의 최소값은 1 원자층 미만이다. 따라서, 실리콘 함유층 두께는 1 원자층 미만으로부터 수 원자층 정도로 하는 것이 바람직하다. 또한, 실리콘 함유층 두께를 1 원자층 이하, 즉, 1 원자층 또는 1 원자층 미만으로 함으로써, 후술하는 스텝 4, 5에서의 개질 반응의 작용을 상대적으로 높일 수 있어, 스텝 4, 5의 개질 반응에 필요로 하는 시간을 단축할 수 있다. 스텝 2의 실리콘 함유층 형성에 필요로 하는 시간을 단축할 수도 있다. 결과적으로, 1 사이클당 처리 시간을 단축할 수 있어, 토탈 처리 시간을 단축하는 것도 가능해진다. 즉, 성막 레이트를 높게 하는 것도 가능해진다. 또한, 실리콘 함유층의 두께를 1 원자층 이하로 함으로써, 막 두께 균일성의 제어성을 높이는 것도 가능해진다.
(잔류 가스 제거)
실리콘 함유층이 형성된 후, 제1 가스 공급관(232a)의 밸브(243a)를 닫아, HCDS 가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(244)는 개방한 채로, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 HCDS 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한, 이 때, 밸브(243e, 243f, 243g, 243h)는 개방한 채로, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 HCDS 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한, 이 때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되며, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 3에서 악영향이 생기는 일은 없다. 이 때 처리실(201) 내로 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없이, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 스텝 3에서 악영향이 생기지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
실리콘 함유 가스로서는, 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스 외에, 테트라클로로실란 즉 실리콘 테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스, 모노실란(SiH4) 가스 등의 무기 원료 가스뿐만 아니라, 아미노실란계의 테트라키스메틸아미노실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스, 트리스디메틸아미노실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스, 비스디에틸아미노실란(Si[N(C2H5)2]2H2, 약칭: 2DEAS) 가스, 비스터셔리-부틸아미노실란(SiH2[NH(C4H9)]2, 약칭: BTBAS) 가스 등의 유기 원료 가스를 사용해도 된다. 불활성 가스로서는, N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용해도 된다.
[스텝 3]
(C3H6 가스 공급)
스텝 2가 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 웨이퍼(200)에 대하여 열로 활성화된 C3H6 가스를 공급한다. 이 때의 처리 수순 및 처리 조건은, 전술한 스텝 1에서의 C3H6 가스 공급 시에서의 처리 수순 및 처리 조건과 마찬가지이다.
이 때, 처리실(201) 내로 흘리고 있는 가스는 열적으로 활성화된 C3H6 가스이며, 처리실(201) 내로는 HCDS 가스는 흘리지 않고 있다. 따라서, C3H6 가스는 기상 반응을 일으키지 않고, 활성화된 상태에서 웨이퍼(200)에 대하여 공급되어, 이 때, 스텝 2에서 웨이퍼(200) 상에 형성된 실리콘 함유층 상에 제2 탄소 함유층으로서, 1 분자층 미만 또는 1 원자층 미만인 두께의 탄소 함유층, 즉, 불연속인 탄소 함유층이 형성된다. 이에 의해, 웨이퍼(200) 최표면 상에 실리콘 및 탄소를 포함하는 제2층, 즉, 제1 탄소 함유층, 실리콘 함유층 및 제2 탄소 함유층을 포함하는 층이 형성된다. 또한, 조건에 따라서는, 실리콘 함유층의 일부와 스텝 3에서 공급한 C3H6 가스가 반응하여, 실리콘 함유층이 개질(탄화)됨으로써, 웨이퍼(200) 최표면 상에 실리콘 및 탄소를 포함하는 제2층, 즉, 제1 탄소 함유층 및 개질(탄화)된 실리콘 함유층을 포함하는 층이 형성되는 경우도 있다.
실리콘 함유층 상에 형성되는 제2 탄소 함유층은, 탄소 함유 가스(C3H6 가스)의 화학 흡착층이어도 되고, C3H6이 분해한 물질(CxHy)의 화학 흡착층이어도 되며, 탄소층(C층)이어도 된다. 여기서, C3H6나 CxHy의 화학 흡착층은, C3H6 분자나 CxHy 분자의 불연속인 화학 흡착층으로 할 필요가 있다. 또한, 탄소층은, 탄소에 의해 구성되는 불연속인 층으로 할 필요가 있다. 또한, 실리콘 함유층상에 형성되는 제2 탄소 함유층을 연속적인 층으로 한 경우, 예를 들어 C3H6나 CxHy의 실리콘 함유층 위로의 흡착 상태를 포화 상태로 하고, 실리콘 함유층 상에 C3H6나 CxHy의 연속적인 화학 흡착층을 형성한 경우, 실리콘 함유층의 표면이 전체적으로 C3H6나 CxHy의 화학 흡착층에 의해 덮이게 된다. 이 경우, 제2층(제1 탄소 함유층, 실리콘 함유층 및 제2 탄소 함유층을 포함하는 층)의 표면에 실리콘이 존재하지 않게 되어, 그 결과, 후술하는 스텝 4에서의 제2층의 산화 반응이나, 후술하는 스텝 5에서의 제3층의 질화 반응이 곤란해지는 경우가 있다. 전술한 바와 같은 처리 조건하에서는, 질소나 산소는 실리콘과는 결합하지만, 탄소와는 결합하기 어렵기 때문이다. 후술하는 스텝 4나 스텝 5에서 원하는 산화 반응이나 질화 반응을 일으키기 위해서는, C3H6나 CxHy의 실리콘 함유층 위로의 흡착 상태를 불포화 상태로 하여, 제2층의 표면에 실리콘이 노출된 상태로 할 필요가 있다.
C3H6나 CxHy의 실리콘 함유층 위로의 흡착 상태를 불포화 상태로 하기 위해서는, 스텝 3에서의 처리 조건을 전술한 처리 조건으로 하면 되지만, 나아가서는 스텝 3에서의 처리 조건을 다음 처리 조건으로 함으로써, C3H6나 CxHy의 실리콘 함유층 위로의 흡착 상태를 불포화 상태로 하는 것이 용이하게 된다.
웨이퍼 온도: 500 내지 650℃
처리실 내 압력: 133 내지 5332Pa
C3H6 가스 분압: 33 내지 5177Pa
C3H6 가스 공급 유량: 1000 내지 10000sccm
N2 가스 공급 유량: 300 내지 3000sccm
C3H6 가스 공급 시간: 6 내지 200초
(잔류 가스 제거)
제2 탄소 함유층이 형성된 후, 제2 가스 공급관(232b)의 밸브(243b)를 닫아, C3H6 가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(244)는 개방한 채로, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 또는 제2 탄소 함유층 형성에 기여한 후의 C3H6 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한, 이 때, 밸브(243f, 243e, 243g, 243h)는 개방한 채로, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 제2 탄소 함유층 형성에 기여한 후의 C3H6 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한, 이 때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 4에서 악영향이 생기는 일은 없다. 이 때 처리실(201) 내로 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없이, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 스텝 4에서 악영향이 생기지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
탄소 함유 가스로서는, 프로필렌(C3H6) 가스 이외에, 아세틸렌(C2H2) 가스나 에틸렌(C2H4) 가스 등의 탄화수소계 가스를 사용해도 된다.
[스텝 4]
(O2 가스 공급)
스텝 3이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제3 가스 공급관(232c)의 밸브(243c)를 열어, 제3 가스 공급관(232c) 내로 O2 가스를 흘린다. 제3 가스 공급관(232c) 내를 흐른 O2 가스는, 매스 플로우 컨트롤러(241c)에 의해 유량 조정된다. 유량 조정된 O2 가스는, 제3 노즐(249c)의 가스 공급 구멍(250c)으로부터 처리실(201) 내로 공급된다. 처리실(201) 내로 공급된 O2 가스는 열로 활성화되고, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 열로 활성화된 O2 가스가 공급되게 된다.
이 때 동시에 밸브(243g)를 열어, 제3 불활성 가스 공급관(232g) 내로 N2 가스를 흘린다. 제3 불활성 가스 공급관(232g) 내를 흐른 N2 가스는, O2 가스와 함께 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다. 또한, 이 때, 제1 노즐(249a), 제2 노즐(249b), 제4 노즐(249d), 버퍼실(237) 내로의 O2 가스의 침입을 방지하기 위해서, 밸브(243e, 243f, 243h)를 열어, 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f), 제4 불활성 가스 공급관(232h) 내로 N2 가스를 흘린다. N2 가스는, 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제4 가스 공급관(232d), 제1 노즐(249a), 제2 노즐(249b), 제4 노즐(249d), 버퍼실(237)을 통해 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다.
이 때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 6000Pa의 범위 내의 압력으로 한다. 매스 플로우 컨트롤러(241c)로 제어하는 O2 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241g, 241e, 241f, 241h)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 이 때, 처리실(201) 내에서의 O2 가스의 분압은, 예를 들어 0.01 내지 5941Pa의 범위 내의 압력으로 한다. O2 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은 예를 들어 1 내지 200초, 바람직하게는 1 내지 120초, 보다 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 이 때의 히터(207) 온도는, 스텝 1 내지 3과 마찬가지로, 웨이퍼(200)의 온도가 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃의 범위 내의 온도로 되도록 설정된다. O2 가스는 상기와 같은 조건하에서 열적으로 활성화된다. 또한, O2 가스는 열로 활성화시켜서 공급한 쪽이, 소프트한 반응을 발생시킬 수 있어, 후술하는 산화를 소프트하게 행할 수 있다.
이 때, 처리실(201) 내로 흘리고 있는 가스는 열적으로 활성화된 O2 가스이며, 처리실(201) 내로는 HCDS 가스도 C3H6 가스도 흘리지 않고 있다. 따라서, O2 가스는 기상 반응을 일으키지 않고, 활성화된 상태에서 웨이퍼(200)에 대하여 공급되고, 스텝 3에서 웨이퍼(200) 상에 형성된 실리콘 및 탄소를 포함하는 제2층(제1 탄소 함유층, 실리콘 함유층 및 제2 탄소 함유층을 포함하는 층)의 적어도 일부와 반응한다. 이에 의해 제2층은, 논 플라즈마로 열적으로 산화되어서, 실리콘, 산소 및 탄소를 포함하는 제3층, 즉, 실리콘 산탄화층(SiOC층)으로 변화된다(개질된다).
이 때, 제2층의 산화 반응은 포화시키지 않도록 한다. 예를 들어, 스텝 1에서 1 원자층 미만인 두께의 제1 탄소 함유층을 형성하고, 스텝 2에서 수 원자층 두께의 실리콘 함유층을 형성하고, 스텝 3에서 1 원자층 미만인 두께의 제2 탄소 함유층을 형성한 경우에는, 그 표면층(표면의 1 원자층)의 적어도 일부를 산화시킨다. 이 경우, 제2층의 전체를 산화시키지 않도록, 제2층의 산화 반응이 불포화로 되는 조건하에서 산화를 행한다. 또한, 조건에 따라서는 제2층의 표면층으로부터 아래의 수 층을 산화시킬 수도 있지만, 그 표면층만을 산화시키는 쪽이, SiOCN막의 조성비의 제어성을 향상시킬 수 있어 바람직하다. 또한, 예를 들어 스텝 1에서 1 원자층 미만인 두께의 제1 탄소 함유층을 형성하고, 스텝 2에서 1 원자층 또는 1 원자층 미만인 두께의 실리콘 함유층을 형성하고, 스텝 3에서 1 원자층 미만인 두께의 제2 탄소 함유층을 형성한 경우에도, 마찬가지로 그 표면층의 일부를 산화시킨다. 이 경우에도, 제2층의 전체를 산화시키지 않도록, 제2층의 산화 반응이 불포화로 되는 조건하에서 산화를 행한다.
또한, 제2층의 산화 반응을 불포화로 하기 위해서는, 스텝 4에서의 처리 조건을 전술한 처리 조건으로 하면 되지만, 나아가서는 스텝 4에서의 처리 조건을 다음 처리 조건으로 함으로써, 제2층의 산화 반응을 불포화로 하는 것이 용이하게 된다.
웨이퍼 온도: 500 내지 650℃
처리실 내 압력: 133 내지 5332Pa
O2 가스 분압: 12 내지 5030Pa
O2 가스 공급 유량: 1000 내지 5000sccm
N2 가스 공급 유량: 300 내지 10000sccm
O2 가스 공급 시간: 6 내지 200초
또한 이 때, 특히, O2 가스의 희석율을 높이거나(농도를 저하시키거나), O2 가스의 공급 시간을 단축하거나, O2 가스의 분압을 내리도록 전술한 처리 조건을 조정함으로써, 스텝 4에서의 산화력을 적절하게 저하시킬 수 있어, 제2층의 산화 반응을 불포화로 하는 것이 보다 용이하게 되어, 바람직하다. 도 4a의 성막 시퀀스는, 스텝 4에서 공급하는 N2 가스의 공급 유량을, 다른 스텝에서 공급하는 N2 가스의 공급 유량보다도 크게 함으로써, O2 가스의 분압을 내리고, 산화력을 저하시키는 모습을 예시하고 있다.
스텝 4에서의 산화력을 저하시킴으로써, 산화 과정에서, 제2층 내로부터 탄소(C)가 탈리하는 것을 억제하기 쉬워진다. Si-C 결합보다도 Si-O 결합 쪽이 결합 에너지가 크기 때문에, Si-O 결합을 형성하면 Si-C 결합이 끊어져 버리는 경향이 있지만, 스텝 4에서의 산화력을 적절히 저하시킴으로써, 제2층 내에 Si-O 결합을 형성할 때에, Si-C 결합이 끊어져 버리는 것을 억제할 수 있어, Si과의 결합이 끊어진 C가 제2층으로부터 탈리하는 것을 억제하기 쉬워진다.
또한, 스텝 4에서의 산화력을 저하시킴으로써, 산화 처리 후의 제2층, 즉, 제3층의 최표면에 Si이 노출된 상태를 유지할 수 있다. 제3층의 최표면에 Si이 노출된 상태를 유지함으로써, 후술하는 스텝 5에서, 제3층의 최표면을 질화시키는 것이 용이하게 된다. 가령, 제3층의 최표면 전체에 걸쳐 Si-O 결합이나 Si-C 결합이 형성되고, 그 최표면에 Si이 노출되어 있지 않은 상태에서는, 후술하는 스텝 5의 조건하에서는 Si-N 결합이 형성되기 어려운 경향이 있다. 그러나, 제3층의 최표면에 Si이 노출된 상태를 유지함으로써, 즉, 제3층의 최표면에, 후술하는 스텝 5의 조건하에서 N와 결합할 수 있는 Si을 존재시켜 둠으로써, Si-N 결합을 형성하는 것이 용이하게 된다.
(잔류 가스 제거)
제3층이 형성된 후, 제3 가스 공급관(232c)의 밸브(243c)를 닫아, O2 가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(244)는 개방한 채로, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 또는 제3층 형성에 기여한 후의 O2 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한, 이 때, 밸브(243g, 243e, 243f, 243h)는 개방한 채로, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 제3층 형성에 기여한 후의 O2 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한, 이 때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되며, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 5에서 악영향이 생기는 일은 없다. 이 때 처리실(201) 내로 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없이, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 스텝 5에서 악영향이 생기지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
산화 가스로서는, 산소(O2) 가스 이외에, 수증기(H2O) 가스, 일산화질소(NO) 가스, 아산화질소(N2O) 가스, 이산화질소(NO2) 가스, 일산화탄소(CO) 가스, 이산화탄소(CO2) 가스, 오존(O3) 가스, 수소(H2) 가스+O2 가스, H2 가스+O3 가스 등을 사용해도 된다.
[스텝 5]
(NH3 가스 공급)
스텝 4가 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 웨이퍼(200)에 대하여 열로 활성화된 NH3 가스를 공급한다. 이 때의 처리 수순 및 처리 조건은, 전술한 표면 개질 스텝에서의 NH3 가스 공급 시에서의 처리 수순 및 처리 조건과 거의 마찬가지이다. 단, NH3 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은 예를 들어 1 내지 200초, 바람직하게는 1 내지 120초, 보다 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 또한, 스텝 5에서도, NH3 가스는 열로 활성화시켜서 공급한다. NH3 가스는 열로 활성화시켜서 공급한 쪽이, 소프트한 반응을 발생시킬 수 있어, 후술하는 질화를 소프트하게 행할 수 있다. 단, 전술한 표면 개질 스텝과 마찬가지로, NH3 가스는 플라즈마로 활성화시켜서 공급할 수도 있다.
이 때, 처리실(201) 내로 흘리고 있는 가스는 열적으로 활성화된 NH3 가스이며, 처리실(201) 내로는 HCDS 가스도 C3H6 가스도 O2 가스도 흘리지 않고 있다. 따라서, NH3 가스는 기상 반응을 일으키지 않고, 활성화된 상태에서 웨이퍼(200)에 대하여 공급되어, 스텝 4에서 웨이퍼(200) 상에 형성된 제3층으로서의 실리콘, 산소 및 탄소를 포함하는 층의 적어도 일부와 반응한다. 이에 의해 제3층은, 논 플라즈마로 열적으로 질화되어서, 실리콘, 산소, 탄소 및 질소를 포함하는 제4층, 즉, 실리콘 산탄질화층(SiOCN층)으로 변화된다(개질된다).
또한, 웨이퍼(200)에 대하여 활성화된 NH3 가스를 공급함으로써, 제3층이 질화되는 과정에서, 제3층의 최표면이 개질된다(표면 개질 처리). 구체적으로는, 제3층의 최표면에 NH3 가스가 흡착함으로써, 제3층의 최표면, 즉, 제4층의 최표면에, NH3 가스의 흡착층이 형성된다. 또한, 그 때, 제3층의 최표면이 활성화된 NH3 가스와 반응하여 질화함으로써, 제3층의 최표면, 즉, 제4층의 최표면에, Si-N 결합을 갖는 층, 즉, 실리콘(Si) 및 질소(N)를 포함하는 질화층(실리콘 질화층)이 더 형성되는 경우도 있다. 즉, 제3층의 최표면, 즉, 제4층의 최표면에, NH3 가스의 흡착층과 질화층이 양쪽 모두 형성되는 경우도 있다.
질화 과정에서 표면 개질 처리가 실시된 후의 제3층의 최표면, 즉, 제4층의 최표면은, 다음 사이클에서 공급되는 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태로 된다. 즉, 스텝 5에서 사용하는 NH3 가스는, 다음 사이클에서의 HCDS 가스나 Si의 제4층의 최표면(웨이퍼(200)의 최표면)으로의 흡착이나 퇴적을 촉진시키는 흡착 및 퇴적 촉진 가스로서도 작용하게 된다.
또한, 이 때, 제3층의 질화 반응은 포화시키지 않도록 한다. 예를 들어 스텝 1 내지 4에서 수 원자층 두께의 제3층을 형성한 경우에는, 그 표면층(표면의 1 원자층)의 적어도 일부를 질화시킨다. 이 경우, 제3층 전체를 질화시키지 않도록, 제3층의 질화 반응이 불포화로 되는 조건하에서 질화를 행한다. 또한, 조건에 따라서는 제3층의 표면층으로부터 아래 수 층을 질화시킬 수도 있지만, 그 표면층만을 질화시키는 쪽이, SiOCN막의 조성비의 제어성을 향상시킬 수 있어 바람직하다. 또한, 예를 들어 스텝 1 내지 4에서 1 원자층 또는 1 원자층 미만인 두께의 제3층을 형성한 경우에도, 마찬가지로 그 표면층의 일부를 질화시킨다. 이 경우에도, 제3층의 전체를 질화시키지 않도록, 제3층의 질화 반응이 불포화로 되는 조건하에서 질화를 행한다.
또한, 제3층의 질화 반응을 불포화로 하기 위해서는, 스텝 5에서의 처리 조건을 전술한 처리 조건으로 하면 되지만, 나아가서는 스텝 5에서의 처리 조건을 다음 처리 조건으로 함으로써, 제3층의 질화 반응을 불포화로 하는 것이 용이하게 된다.
웨이퍼 온도: 500 내지 650℃
처리실 내 압력: 133 내지 5332Pa
NH3 가스 분압: 33 내지 5030Pa
NH3 가스 공급 유량: 1000 내지 5000sccm
N2 가스 공급 유량: 300 내지 3000sccm
NH3 가스 공급 시간: 6 내지 200초
(잔류 가스 제거)
제4층이 형성된 후, 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 또는 제4층 형성에 기여한 후의 NH3 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 이 때의 처리 수순 및 처리 조건은, 전술한 표면 개질 스텝에서의 잔류 가스 제거 시에서의 처리 수순 및 처리 조건과 마찬가지이다.
질화 가스로서는, 표면 개질 스텝과 마찬가지로, 암모니아(NH3) 가스 이외에, 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8 가스 등을 사용해도 된다.
전술한 스텝 1 내지 5를 1 사이클로 하여, 이 사이클을 1회 이상 행함으로써, 웨이퍼(200) 상에 소정 막 두께의 실리콘, 산소, 탄소 및 질소를 포함하는 박막, 즉, 실리콘 산탄질화막(SiOCN막)을 성막할 수 있다. 또한, 전술한 사이클은, 복수회 반복하는 것이 바람직하다. 즉, 1 사이클당 형성하는 SiOCN층의 두께를 원하는 막 두께보다도 작게 하여, 전술한 사이클을 원하는 막 두께가 될 때까지 복수회 반복하는 것이 바람직하다.
또한, 사이클을 복수회 행하는 경우, 두번째 사이클 이후의 스텝 1에서, '표면 개질 스텝에서 NH3 가스에 의해 개질된 웨이퍼(200) 최표면의 일부에, 제1 탄소 함유층이 형성된다'라고 기재한 부분은, '스텝 5에서 NH3 가스에 의해 개질된 제3층의 최표면, 즉, 제4층의 최표면의 일부에, 제1 탄소 함유층이 형성된다'라는 것을 의미하고, '제1 탄소 함유층의 적어도 일부는, 표면 개질 스텝에서 NH3 가스에 의해 개질된 웨이퍼(200) 최표면의 적어도 일부에 흡착한 NH3 가스의 일부가, C3H6 가스로 치환됨으로써 형성된다'라고 기재한 부분은, '제1 탄소 함유층의 적어도 일부는, 스텝 5에서 NH3 가스에 의해 개질된 제3층의 최표면, 즉, 제4층의 최표면의 적어도 일부에 흡착한 NH3 가스의 일부가, C3H6 가스로 치환됨으로써 형성된다'는 것을 의미하고 있다.
즉, 사이클을 복수회 행하는 경우, 적어도 두번째 사이클 이후의 각 스텝에서, '웨이퍼(200)에 대하여 소정의 가스를 공급한다'라고 기재한 부분은, '웨이퍼(200) 상에 형성되어 있는 층에 대하여 즉, 적층체로서의 웨이퍼(200) 최표면에 대하여 소정의 가스를 공급한다'는 것을 의미하고, '웨이퍼(200) 상에 소정의 층을 형성한다'라고 기재한 부분은, '웨이퍼(200) 상에 형성되어 있는 층 위, 즉, 적층체로서의 웨이퍼(200) 최표면 상에 소정의 층을 형성한다'는 것을 의미하고 있다. 이 점은, 전술한 바와 같다. 또한, 이 점은, 후술하는 다른 성막 시퀀스나 각 변형예에서도 마찬가지이다.
또한, 본 성막 시퀀스에서는, SiOCN막을 형성할 때, C3H6 가스를, 1 사이클당 2회로 나누어(2개의 스텝으로 나누어) 공급하도록 하고 있다. 즉, C3H6 가스를, HCDS 가스를 공급하는 스텝 2의 전후 2회로 나누어(스텝 1, 3으로 나누어) 공급하도록 하고 있다. 이에 의해, SiOCN막 내의 질소(N) 농도, 탄소(C) 농도를 제어하는 것이 가능해진다. 예를 들어, SiOCN막 내의 N 농도를 저하시켜서, C 농도를 상승시킬 수 있게 된다.
또한, 본 성막 시퀀스에서는, SiOCN막을 형성할 때, 각 스텝에서의 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어함으로써, SiOCN층에서의 각 원소 성분, 즉, 실리콘 성분, 산소 성분, 탄소 성분, 질소 성분의 비율, 즉, 실리콘 농도, 산소 농도, 탄소 농도, 질소 농도를 조정할 수 있고, SiOCN막의 조성비를 제어할 수 있다.
예를 들어, 스텝 1에서의 C3H6 가스의 가스 공급 시간이나, 스텝 1에서의 처리실(201) 내의 C3H6 가스의 분압이나 농도를 제어함으로써, 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)에 형성된 NH3 가스의 흡착층으로부터의 NH3 가스의 탈리량이나, 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)으로의 C3H6 가스의 흡착량을 제어할 수 있다. 이에 의해, SiOCN막 내의 N 농도, C 농도를 미세 조정할 수 있게 된다. 예를 들어, 스텝 1에서의 C3H6 가스의 가스 공급 시간을 길게 하거나, 스텝 1에서의 처리실(201) 내의 C3H6 가스의 분압이나 농도를 높임으로써, 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)에 형성된 NH3 가스의 흡착층으로부터의 NH3 가스의 탈리량을 증가시키거나, 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)으로의 C3H6 가스의 흡착량을 증가시킬 수 있다. 이에 의해, SiOCN막 내의 N 농도를 저하시켜서, C 농도를 상승시킬 수 있게 된다. 단, 스텝 1에서의 C3H6 가스의 가스 공급 시간을 지나치게 길게 하면, SiOCN막의 성막 속도가 저하되는 것도 고려된다. 그로 인해, 스텝 1에서의 C3H6 가스의 가스 공급 시간은, 예를 들어 스텝 3에서의 C3H6 가스의 가스 공급 시간과 동등 또는 그보다도 짧게 하는 것이 바람직하다.
또한, 예를 들어 스텝 3에서의 C3H6 가스의 가스 공급 시간이나, 스텝 3에서의 처리실(201) 내의 C3H6 가스의 분압이나 농도를 제어함으로써, 스텝 3에서의 웨이퍼(200) 최표면(또는 제1층의 최표면)으로의 C3H6 가스의 흡착량이나, 스텝 4에서의 산화량을 제어할 수 있다. 이에 의해, SiOCN막 내의 C 농도, O 농도를 미세 조정할 수 있게 된다. 예를 들어, 스텝 3에서의 C3H6 가스의 가스 공급 시간이나 분압이나 농도를 적절한 값으로 조정하여, C3H6의 실리콘 함유층 위로의 흡착 상태를 적정한 불포화 상태로 함으로써, 즉, 제2 탄소 함유층을 적정한 불연속층으로 함으로써, 제2층의 표면에 실리콘이 적정하게 노출된 상태를 유지할 수 있어, 스텝 4에서의 산화 반응을 적정하게 진행시킬 수 있게 된다.
이들 결과, SiOCN막 내의 O 농도, C 농도, N 농도를 적정하게 제어할 수 있게 된다. 예를 들어, SiOCN막 내의 O 농도의 저하를 억제하면서, N 농도를 저하시켜서, C 농도를 증가시킬 수 있게 된다. 그리고, 예를 들어 SiOCN막의 성막 온도를 저하시킨 경우에도, SiOCN막의 유전율의 증가를 억제하거나, 나아가서는 저하시킬 수 있게 된다.
(퍼지 및 대기압 복귀)
소정 조성을 갖는 소정 막 두께의 SiOCN막을 형성하는 성막 처리가 이루어지면, N2 등의 불활성 가스가 처리실(201) 내로 공급되고, 배기됨으로써 처리실(201) 내가 불활성 가스로 퍼지된다(가스 퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되어, 반응관(203)의 하단이 개구됨과 함께, 처리 완료 웨이퍼(200)가 보트(217)에 지지된 상태로 반응관(203)의 하단으로부터 반응관(203)의 외부로 반출(보트 언로드)된다. 그 후, 처리 완료 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지).
(제2 시퀀스)
다음으로, 본 실시 형태의 제2 시퀀스에 대하여 설명한다.
도 5a는 본 실시 형태의 제2 시퀀스에서의 가스 공급의 타이밍을 나타낸 도면이다.
본 실시 형태의 제2 시퀀스에서는, 웨이퍼(200)에 대하여 질화 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 탄소 함유 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 소정 원소 함유 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 탄소 함유 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 산화 가스를 공급하는 공정을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 점이, 전술한 제1 시퀀스와 다르다.
또한, 박막을 형성하는 공정은, 전술한 사이클을 소정 횟수 행한 후, 웨이퍼(200)에 대하여 질화 가스를 공급하는 공정을 더 포함하는 점이, 전술한 제1 시퀀스와 다르다.
즉, 본 실시 형태의 제2 시퀀스에서는, 웨이퍼(200)에 대하여 질화 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 탄소 함유 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 소정 원소 함유 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 탄소 함유 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 산화 가스를 공급하는 공정을 이 순서로 행하는 사이클을 소정 횟수 행한 후, 웨이퍼(200)에 대하여 질화 가스를 공급하는 공정을 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 웨이퍼(200) 상에 형성하는 점이, 전술한 제1 시퀀스와 다르다.
이하, 본 실시 형태의 제2 시퀀스를 구체적으로 설명한다. 여기에서는, 소정 원소 함유 가스로서 HCDS 가스를, 탄소 함유 가스로서 C3H6 가스를, 산화 가스로서 O2 가스를, 질화 가스로서 NH3 가스를 사용하여, 도 5a의 성막 시퀀스, 즉, NH3 가스를 공급하는 공정과, C3H6 가스를 공급하는 공정과, HCDS 가스를 공급하는 공정과, C3H6 가스를 공급하는 공정과, O2 가스를 공급하는 공정을 이 순서로 행하는 사이클을 소정 횟수 행한 후, NH3 가스를 공급하는 공정을 행하는 성막 시퀀스에 의해, 실리콘, 산소, 탄소 및 질소를 포함하는 실리콘 산탄질화막(SiOCN막)을 웨이퍼(200) 상에 형성하는 예에 대하여 설명한다.
(웨이퍼 차지 내지 웨이퍼 회전)
웨이퍼 차지, 보트 로드, 압력 조정, 온도 조정, 웨이퍼 회전까지는, 제1 시퀀스와 마찬가지로 행한다.
〔실리콘 산탄질화막 형성 공정〕
이어서, 후술하는 5개의 스텝, 즉, 스텝 1 내지 5를 1 사이클로 하여, 이 사이클을 1회 이상 행한 후, 후술하는 질화 스텝을 행한다.
[스텝 1]
스텝 1은 제1 시퀀스의 표면 개질 스텝 또는 스텝 5와 마찬가지로 행한다. 스텝 1에서의 처리 수순 및 처리 조건은, 제1 시퀀스에서의 표면 개질 스텝 또는 스텝 5에서의 처리 수순 및 처리 조건과 마찬가지이다.
또한, 첫회(첫번째)의 사이클에서 스텝 1에서 발생시키는 반응, 형성하는 층 등은, 제1 시퀀스에서의 표면 개질 스텝에서의 그들과 마찬가지이다. 즉, 이 스텝에서는, 웨이퍼(200) 최표면(SiOCN막을 형성할 때의 하지면)에 대하여 활성화된 NH3 가스를 공급함으로써, 웨이퍼(200) 최표면을, HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태로 변화시킨다(개질시킨다). 즉, 웨이퍼(200) 최표면에는, NH3 가스의 흡착층이 형성된다. 나아가서는, Si 및 N를 포함하는 질화층이 웨이퍼(200) 최표면에 형성되는 경우도 있다.
또한, 사이클을 복수회 행하는 경우, 2회째 이후의 사이클에서, 스텝 1에서 발생시키는 반응, 형성하는 층 등은, 제1 시퀀스에서의 스텝 5에서의 그들과 마찬가지이다. 즉, 이 스텝에서는, 처리실(201) 내로의 NH3 가스의 공급에 의해, 후술하는 스텝 5에서 형성된 제3층의 적어도 일부를 질화시킴으로써, 실리콘, 산소, 탄소 및 질소를 포함하는 제4층을 웨이퍼(200) 상에 형성한다. 또한, 이 스텝에서는, 제3층의 표면에 대하여 활성화된 NH3 가스를 공급함으로써, 제3층이 질화되어 이루어지는 제4층의 최표면을, HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태로 변화시킨다(개질시킨다). 즉, 제4층의 최표면에는, NH3 가스의 흡착층이 형성된다. 또한, NH3 가스의 흡착층과 함께, Si 및 N를 포함하는 질화층이 제4층의 최표면에 더 형성되는 경우도 있다.
[스텝 2]
스텝 2는 제1 시퀀스의 스텝 1과 마찬가지로 행한다. 스텝 2에서의 처리 수순, 처리 조건, 발생시키는 반응, 형성하는 층 등은, 제1 시퀀스에서의 스텝 1에서의 그들과 마찬가지이다. 즉, 이 스텝에서는, 처리실(201) 내로의 C3H6 가스의 공급에 의해, NH3 가스의 공급에 의해 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면) 상에 제1 탄소 함유층을 형성한다.
제1 탄소 함유층은, 1 분자층 미만의 두께 층, 즉, 불연속인 층으로 되고, 스텝 1에서 NH3 가스에 의해 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)의 일부만을 덮는 층으로 된다. 즉, 스텝 1에서 NH3 가스에 의해 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)의 일부는, 제1 탄소 함유층에 덮이지 않고 노출된 그대로의 상태로 되고, 후술하는 스텝 3에서 공급되는 HCDS 가스가 흡착하기 쉽도록 Si이 퇴적하기 쉬운 표면 상태 그대로, 유지되게 된다.
[스텝 3]
스텝 3은 제1 시퀀스의 스텝 2와 마찬가지로 행한다. 스텝 3에서의 처리 수순, 처리 조건, 발생시키는 반응, 형성하는 층 등은, 제1 시퀀스에서의 스텝 2에서의 그들과 마찬가지이다. 즉, 이 스텝에서는, 처리실(201) 내로의 HCDS 가스의 공급에 의해, NH3 가스의 공급에 의해 개질되고, 그 일부에 제1 탄소 함유층이 형성된 웨이퍼(200) 최표면(또는 제4층의 최표면) 상에 예를 들어 1 원자층 미만으로부터 수 원자층 정도 두께의 실리콘 함유층을 형성한다. 이에 의해, 웨이퍼(200) 최표면(또는 제4층의 최표면) 상에는, 실리콘 및 탄소를 포함하는 제1층, 즉, 제1 탄소 함유층 및 실리콘 함유층을 포함하는 층이 형성된다.
[스텝 4]
스텝 4는 제1 시퀀스의 스텝 3과 마찬가지로 행한다. 스텝 4에서의 처리 수순, 처리 조건, 발생시키는 반응, 형성하는 층 등은, 제1 시퀀스에서의 스텝 3에서의 그들과 마찬가지이다. 즉, 이 스텝에서는, 처리실(201) 내로의 C3H6 가스의 공급에 의해, 스텝 3에서 형성된 실리콘 함유층 상에 제2 탄소 함유층을 형성한다. 이에 의해, 웨이퍼(200) 최표면(또는 제4층의 최표면) 상에는, 실리콘 및 탄소를 포함하는 제2층, 즉, 제1 탄소 함유층, 실리콘 함유층 및 제2 탄소 함유층을 포함하는 층이 형성된다.
[스텝 5]
스텝 5는 제1 시퀀스의 스텝 4와 마찬가지로 행한다. 스텝 5에서의 처리 수순, 처리 조건, 발생시키는 반응, 형성하는 층 등은, 제1 시퀀스에서의 스텝 4에서의 그들과 마찬가지이다. 즉, 이 스텝에서는, 처리실(201) 내로의 O2 가스의 공급에 의해, 제2층(제1 탄소 함유층, 실리콘 함유층 및 제2 탄소 함유층을 포함하는 층)의 적어도 일부를 산화시킴으로써, 실리콘, 산소 및 탄소를 포함하는 제3층을 웨이퍼(200) 상에 형성한다.
전술한 스텝 1 내지 5를 1 사이클로 하여, 이 사이클을 1회 이상 행함으로써, 소정 막 두께의 SiOCN막을 웨이퍼(200) 상에 성막할 수 있다. 또한, 전술한 사이클은, 복수회 반복하는 것이 바람직하다. 즉, 1 사이클당 형성하는 SiOCN층의 두께를 원하는 막 두께보다도 작게 하여, 전술한 사이클을 원하는 막 두께가 될 때까지 복수회 반복하는 것이 바람직하다.
또한, 본 성막 시퀀스에서는, SiOCN막을 형성할 때, C3H6 가스를, 1 사이클당 2회로 나누어(2개의 스텝으로 나누어) 공급하도록 하고 있다. 즉, C3H6 가스를, HCDS 가스를 공급하는 스텝 3의 전후 2회로 나누어(스텝 2, 4로 나누어) 공급하도록 하고 있다. 이에 의해, SiOCN막 내의 질소(N) 농도, 탄소(C) 농도를 제어하는 것이 가능해진다.
또한, 본 성막 시퀀스에서는, SiOCN막을 형성할 때, 각 스텝에서의 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어함으로써, SiOCN층에서의 실리콘 성분, 산소 성분, 탄소 성분, 질소 성분의 비율을 조정할 수 있어, SiOCN막의 조성비를 제어할 수 있다. 예를 들어, 스텝 2에서의 C3H6 가스의 가스 공급 시간이나, 스텝 2에서의 처리실(201) 내의 C3H6 가스 분압이나 농도를 제어함으로써, SiOCN막의 N 농도, C 농도를 미세 조정할 수 있게 된다. 또한, 예를 들어 스텝 4에서의 C3H6 가스의 가스 공급 시간이나, 스텝 4에서의 처리실(201) 내의 C3H6 가스 분압이나 농도를 제어함으로써, SiOCN막의 C 농도, O 농도를 미세 조정할 수 있게 된다. 이들 결과, SiOCN막 내의 O 농도, C 농도, N 농도를 제어할 수 있게 된다.
또한, 이 단계에서 형성되는 SiOCN막의 최표면에는, 제3층, 즉, SiOC층이 형성되게 된다.
[질화 스텝]
스텝 1 내지 5를 1 사이클로 하여, 이 사이클을 소정 횟수 행한 후, 질화 스텝을 실시한다. 본 스텝은, 제1 시퀀스의 스텝 5와 마찬가지로 행한다. 본 스텝에서의 처리 수순, 처리 조건, 발생시키는 반응, 형성하는 층 등은, 제1 시퀀스에서의 스텝 5에서의 그들과 마찬가지이다. 즉, 이 스텝에서는, 처리실(201) 내로의 NH3 가스의 공급에 의해, 최종 사이클에서 웨이퍼(200) 최표면에 형성된 제3층(SiOC층)의 적어도 일부를 질화시켜서, 제3층을 제4층, 즉, SiOCN층으로 변화시킨다(개질시킨다). 이 질화 스텝에 의해, SiOCN막 최표면이 적정하게 질화되어 개질됨으로써, SiOCN막은 최하층으로부터 최상층에 이르기까지 SiOCN층이 적층되어 이루어지는 막으로 된다. 즉, SiOCN막은, 막 두께 방향으로 그 조성이 균일한 막으로 된다.
(가스 퍼지 내지 웨이퍼 디스차지)
SiOCN막의 형성 처리 및 SiOCN막의 최표면의 개질 처리가 이루어지면, 가스 퍼지, 불활성 가스 치환, 대기압 복귀, 보트 언로드, 웨이퍼 디스차지가, 제1 시퀀스와 마찬가지로 행해진다.
(3) 본 실시 형태에 따른 효과
본 실시 형태에 의하면, 이하에 개시하는 1개 또는 복수의 효과를 발휘한다.
(a) 본 실시 형태에 의하면, 어느 쪽의 성막 시퀀스에서도, 웨이퍼(200)에 대하여 HCDS 가스를 공급하여 실리콘 함유층을 형성하는 공정을 행하기 전에, 웨이퍼(200)에 대하여 NH3 가스를 공급하여 웨이퍼(200) 최표면을 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태로 개질하는 공정과, 웨이퍼(200)에 대하여 C3H6 가스를 공급하여 웨이퍼(200) 최표면의 일부에 제1 탄소 함유층을 형성하는 공정을 이 순서로 행하도록 하고 있다. 그리고, 제1 탄소 함유층을, 개질된 웨이퍼(200) 최표면의 일부만을 덮는 불연속인 층으로 하고 있다. 즉, NH3 가스에 의해 개질된 웨이퍼(200) 최표면의 일부를, 제1 탄소 함유층으로 덮지 않고 노출된 그대로의 상태로 하고, HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태 그대로, 유지하도록 하고 있다. 또한, 제1 탄소 함유층을 형성하는 공정을 행한 후, HCDS 가스를 공급하는 공정을 행할 때까지의 동안에, 다른 공정을 행하지 않도록 하고 있다. 이에 의해, 저온 영역에서도, SiOCN막의 성막 속도를 증가시킬 수 있어, 성막 처리의 생산성을 향상시킬 수 있게 된다.
즉, 제1 시퀀스에서는, 스텝 1 내지 5를 1 사이클로 하여, 이 사이클을 소정 횟수 행하기 전에, 웨이퍼(200) 최표면을 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태로 개질하는 표면 개질 스텝을 행하도록 하고 있다. 그리고, 스텝 1에서 형성하는 제1 탄소 함유층을, 개질된 웨이퍼(200) 최표면의 일부만을 덮는 불연속인 층으로 하고 있다. 또한, 스텝 1과 스텝 2 사이에서, C3H6 가스를 공급하는 스텝 3 및 O2 가스를 공급하는 스텝 4를 행하지 않도록 하고 있다. 스텝 1과 스텝 2 사이에서, 스텝 3이나 스텝 4를 행하지 않음으로써, 제1 탄소 함유층에 덮여 있지 않은 웨이퍼(200) 최표면의 일부(노출면)는 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태 그대로 유지된다. 그로 인해, 스텝 2에서는, 웨이퍼(200) 최표면 상으로의 HCDS 가스의 흡착이나 Si의 퇴적이 촉구되어, 웨이퍼(200) 최표면 위로의 실리콘 함유층의 형성이 촉진되게 된다.
또한, 제1 시퀀스에서는, 스텝 1 내지 5를 1 사이클로 하여, 이 사이클을 복수회 행할 때, 두번째 사이클 이후의 각 스텝에서, 제4층의 최표면을 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태로 개질하는 스텝 5와, 제1 탄소 함유층을 형성하는 스텝 1과, 실리콘 함유층을 형성하는 스텝 2를 이 순서로 연속하여 행하도록 하고 있다. 그리고, 스텝 1에서 형성하는 제1 탄소 함유층을, 개질된 제4층의 최표면의 일부만을 덮는 불연속인 층으로 하고 있다. 또한, 스텝 1과 스텝 2 사이에서, C3H6 가스를 공급하는 스텝 3 및 O2 가스를 공급하는 스텝 4를 행하지 않도록 하고 있다. 스텝 1과 스텝 2 사이에서, 스텝 3이나 스텝 4를 행하지 않음으로써, 제1 탄소 함유층에 덮여 있지 않은 제4층의 최표면의 일부(노출면)는 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태 그대로 유지된다. 그로 인해, 스텝 2에서는, 제4층의 최표면 상으로의 HCDS 가스의 흡착이나 Si의 퇴적이 촉구되어, 제4층의 최표면 상으로의 실리콘 함유층의 형성이 촉진되게 된다.
또한, 제2 시퀀스에서는, 스텝 1 내지 5를 1 사이클로 하여, 이 사이클을 소정 횟수 행할 때, 1 사이클째의 각 스텝에서, 웨이퍼(200) 최표면을 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태로 개질하는 스텝 1과, 제1 탄소 함유층을 형성하는 스텝 2와, 실리콘 함유층을 형성하는 스텝 3을 이 순서로 연속하여 행하도록 하고 있다. 그리고, 스텝 2에서 형성하는 제1 탄소 함유층을, 개질된 웨이퍼(200) 최표면의 일부만을 덮는 불연속인 층으로 하고 있다. 또한, 스텝 2와 스텝 3 사이에서, C3H6 가스를 공급하는 스텝 4 및 O2 가스를 공급하는 스텝 5를 행하지 않도록 하고 있다. 스텝 2와 스텝 3 사이에서, 스텝 4나 스텝 5를 행하지 않음으로써, 제1 탄소 함유층으로 덮여 있지 않은 웨이퍼(200) 최표면의 일부(노출면)는 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태 그대로 유지된다. 그로 인해, 스텝 3에서는, 웨이퍼(200) 최표면으로의 HCDS 가스의 흡착이나 Si의 퇴적이 촉구되어, 웨이퍼(200) 최표면 상으로의 실리콘 함유층의 형성이 촉진되게 된다.
또한, 제2 시퀀스에서는, 스텝 1 내지 5를 1 사이클로 하여, 이 사이클을 복수회 행할 때, 두번째 사이클 이후의 각 스텝에서, 제4층의 최표면을 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태에 개질하는 스텝 1과, 제1 탄소 함유층을 형성하는 스텝 2와, 실리콘 함유층을 형성하는 스텝 3을 이 순서로 연속하여 행하도록 하고 있다. 그리고, 스텝 2에서 형성하는 제1 탄소 함유층을, 개질된 제4층의 최표면의 일부만을 덮는 불연속인 층으로 하고 있다. 또한, 스텝 2와 스텝 3 사이에서, C3H6 가스를 공급하는 스텝 4 및 O2 가스를 공급하는 스텝 5를 행하지 않도록 하고 있다. 스텝 2와 스텝 3 사이에서, 스텝 4나 스텝 5를 행하지 않음으로써, 제1 탄소 함유층으로 덮여 있지 않은 제4층의 최표면의 일부(노출면)는 HCDS 가스가 흡착하기 쉽고 Si이 퇴적하기 쉬운 표면 상태 그대로 유지된다. 그로 인해, 스텝 3에서는, 제4층의 최표면 상으로의 HCDS 가스의 흡착이나 Si의 퇴적이 촉구되어, 제4층의 최표면 상으로의 실리콘 함유층의 형성이 촉진되게 된다.
이와 같이, 어느 쪽의 성막 시퀀스에서도, 웨이퍼(200) 최표면 상으로의 실리콘 함유층의 형성을 촉진시킬 수 있다. 그 결과, 저온 영역에서도, SiOCN막의 성막 속도를 증가시킬 수 있어, 성막 처리의 생산성을 향상시킬 수 있게 된다.
(b) 본 실시 형태에 의하면, SiOCN막을 형성할 때, C3H6 가스를, 1 사이클당 2회로 나누어(2개의 스텝으로 나누어) 공급하도록 하고 있다. 즉, 제1 시퀀스에서는, C3H6 가스를, HCDS 가스를 공급하는 스텝 2의 전후 2회로 나누어(스텝 1, 3으로 나누어) 공급하도록 하고 있다. 또한, 제2 시퀀스에서는, C3H6 가스를, HCDS 가스를 공급하는 스텝 3의 전후 2회로 나누어(스텝 2, 4로 나누어) 공급하도록 하고 있다. 이에 의해, SiOCN막 내의 질소(N) 농도, 탄소(C) 농도를 제어할 수 있게 된다. 예를 들어, SiOCN막 내의 N 농도를 저하시켜서, C 농도를 상승시킬 수 있게 된다.
(c) 실시 형태에 의하면, 각 시퀀스의 각 스텝에서의 처리실 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어함으로써, SiOCN막에서의 각 원소 성분, 즉, 실리콘 성분, 산소 성분, 탄소 성분, 질소 성분의 비율, 즉, 실리콘 농도, 산소 농도, 탄소 농도, 질소 농도를 조정할 수 있어, SiOCN막의 조성비를 제어할 수 있다. 그리고, 본 실시 형태에 의하면, 소정 조성의 SiOCN막을 성막할 수 있으므로, 에칭 내성, 유전율, 절연 내성을 제어하는 것이 가능해져서, SiN막보다도 유전율이 낮고, 에칭 내성이 우수하며, 절연 내성이 우수한 실리콘 절연막을 형성하는 것이 가능해진다.
예를 들어, 제1 시퀀스에서는, 스텝 1에서의 C3H6 가스의 가스 공급 시간이나, 스텝 1에서의 처리실(201) 내의 C3H6 가스의 분압이나 농도를 제어함으로써, 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)에 형성된 NH3 가스의 흡착층으로부터의 NH3 가스의 탈리량이나, 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)으로의 C3H6 가스의 흡착량을 제어할 수 있다. 이에 의해, SiOCN막 내의 N 농도, C 농도를 미세 조정할 수 있게 된다. 예를 들어, 스텝 1에서의 C3H6 가스의 가스 공급 시간을 길게 하거나, 스텝 1에서의 처리실(201) 내의 C3H6 가스의 분압이나 농도를 높임으로써, 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)에 형성된 NH3 가스의 흡착층으로부터의 NH3 가스의 탈리량을 증가시키거나, 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)으로의 C3H6 가스의 흡착량을 증가시킬 수 있다. 이에 의해, SiOCN막 내의 N 농도를 저하시켜서, C 농도를 상승시킬 수 있게 된다.
또한, 제1 시퀀스에서는, 스텝 3에서의 C3H6 가스의 가스 공급 시간이나, 스텝 3에서의 처리실(201) 내의 C3H6 가스 분압이나 농도를 제어함으로써, 스텝 3에서의 웨이퍼(200) 최표면(또는 제1층의 최표면)으로의 C3H6 가스의 흡착량이나, 스텝 4에서의 산화량을 제어할 수 있다. 이에 의해, SiOCN막 내의 C 농도, O 농도를 미세 조정할 수 있게 된다. 예를 들어, 스텝 3에서의 C3H6 가스의 가스 공급 시간이나 분압이나 농도를 적절한 값으로 조정하여, C3H6의 실리콘 함유층 상으로의 흡착 상태를 적정한 불포화 상태로 함으로써, 즉, 제2 탄소 함유층을 적정한 불연속층으로 함으로써, 제2층의 표면에 실리콘이 적정하게 노출된 상태를 유지할 수 있어, 스텝 4에서의 산화 반응을 적정하게 진행시킬 수 있게 된다.
또한, 제2 시퀀스에서는, 스텝 2에서의 C3H6 가스의 가스 공급 시간이나, 스텝 2에서의 처리실(201) 내의 C3H6 가스의 분압이나 농도를 제어함으로써, 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)에 형성된 NH3 가스의 흡착층으로부터의 NH3 가스의 탈리량이나, 개질된 웨이퍼(200) 최표면(또는 제4층의 최표면)으로의 C3H6 가스의 흡착량을 제어할 수 있다. 이에 의해, SiOCN막 내의 N 농도, C 농도를 미세 조정할 수 있게 된다. 예를 들어, 스텝 2에서의 C3H6 가스의 가스 공급 시간을 길게 하거나, 스텝 2에서의 처리실(201) 내의 C3H6 가스의 분압이나 농도를 높임으로써, 개질된 웨이퍼(200)의 최표면(또는 제4층의 최표면)에 형성된 NH3 가스의 흡착층으로부터의 NH3 가스의 탈리량을 증가시키거나, 개질된 웨이퍼(200)의 최표면(또는 제4층의 최표면)으로의 C3H6 가스의 흡착량을 증가킬 수 있다. 이에 의해, SiOCN막 내의 N 농도를 저하시켜서, C 농도를 상승시킬 수 있게 된다.
또한, 제2 시퀀스에서는, 스텝 4에서의 C3H6 가스의 가스 공급 시간이나, 스텝 4에서의 처리실(201) 내의 C3H6 가스의 분압이나 농도를 제어함으로써, 스텝 4에서의 웨이퍼(200)의 최표면(또는 제1층의 최표면)으로의 C3H6 가스의 흡착량이나, 스텝 5에서의 산화량을 제어할 수 있다. 이에 의해, SiOCN막 내의 C 농도, O 농도를 미세 조정할 수 있게 된다. 예를 들어, 스텝 4에서의 C3H6 가스의 가스 공급 시간이나 분압이나 농도를 적절한 값으로 조정하여, C3H6의 실리콘 함유층 상으로의 흡착 상태를 적정한 불포화 상태로 함으로써, 즉, 제2 탄소 함유층을 적정한 불연속층으로 함으로써, 제2층의 표면에 실리콘이 적정하게 노출된 상태를 유지할 수 있어, 스텝 5에서의 산화 반응을 적정하게 진행시킬 수 있게 된다.
이들 결과, SiOCN막 내의 O 농도, C 농도, N 농도를 적정하게 제어할 수 있게 된다. 예를 들어, SiOCN막 내의 O 농도의 저하를 억제하면서, N 농도를 저하시켜서, C 농도를 증가시킬 수 있게 된다. 그리고, 예를 들어 SiOCN막의 성막 온도를 저하시킨 경우에도, SiOCN막의 유전율의 증가를 억제하거나, 나아가서는 저하시킬 수 있게 된다.
또한, 발명자들의 예의 연구에 의하면, 예를 들어 제1 시퀀스의 스텝 3에서의 C3H6 가스의 가스 공급 시간을 길게 하거나, 스텝 3에서의 처리실(201) 내의 C3H6 가스의 분압이나 농도를 높이거나 한 경우에는, 제2층(제1 탄소 함유층, 실리콘 함유층 및 제2 탄소 함유층을 포함하는 층)의 표면에 존재하는 실리콘이 감소함으로써, 스텝 4에서의 제2층의 산화 반응의 진행이 억제되어, SiOCN막 내의 산소(O) 농도가 저하되는 경향이 있는 것을 알 수 있다. 또한 마찬가지로, 제2 시퀀스의 스텝 4에서의 C3H6 가스의 가스 공급 시간을 길게 하거나, 스텝 4에서의 처리실(201) 내의 C3H6 가스의 분압이나 농도를 높이거나 한 경우에도, 제2층(제1 탄소 함유층, 실리콘 함유층 및 제2 탄소 함유층을 포함하는 층)의 표면에 존재하는 실리콘이 감소함으로써, 스텝 5에서의 제2층의 산화 반응이 억제되어, SiOCN막 내의 산소(O) 농도가 저하되는 경향이 있는 것을 알 수 있다. 그리고, 이들 경우, SiOCN막 내의 질소(N) 농도를 저하시키는 것은 곤란하며, 또한, 탄소(C) 농도의 증가도 근소한 데 그친다는 것을 알 수 있다. 즉, 이들 경우, SiOCN막의 유전율을 저하시키는 것은 곤란하다는 사실을 알 수 있다.
(d) 본 실시 형태에 의하면, 어느 쪽의 성막 시퀀스에서도, SiOCN막 형성 공정을 완료시킬 때, NH3 가스를 공급하는 공정을 마지막에 실시하도록 하고 있다. 즉, 제1 성막 시퀀스에서는, 각 사이클의 마지막에 행해지는 스텝 5에서, 웨이퍼(200)에 대하여 활성화된 NH3 가스를 공급하도록 하고 있다. 또한, 제2 성막 시퀀스에서는, 스텝 1 내지 5를 포함하는 사이클을 소정 횟수 행한 후, 웨이퍼(200)에 대하여 활성화된 NH3 가스를 공급하는 질화 스텝을 행하도록 하고 있다. 이와 같이 함으로써, SiOCN막의 최표면을 적정하게 질화하여 개질할 수 있어, 최종적으로 형성되는 SiOCN막을, 막 두께 방향으로 그 조성이 균일한 막으로 할 수 있다.
(e) 본 실시 형태에 의하면, 가스의 공급 순서를 전술한 제1 시퀀스 또는 제2 시퀀스와 같이 다시 조합하는 것만으로, 기존의 기판 처리 장치의 구조, 성막 온도, 가스의 종류, 유량 등을 변경하지 않고, 전술한 효과를 얻을 수 있게 된다.
또한, 발명자들은 연구 당초, Si-C 결합을 갖는 층을 산화한 후, 질화하면, SiOCN이 아니라, SiO이나 SiON이 형성되는 것이라고 생각하고 있었다. 이것은, Si-N 결합이나 Si-C 결합의 결합 에너지보다도, Si-O 결합의 결합 에너지 쪽이 크기 때문에, Si-C 결합을 갖는 층을 산화하면, 그 산화 과정에서 Si-O 결합이 형성될 때에, Si-C 결합을 갖는 층의 Si-C 결합이 끊어져서, Si과의 결합이 끊어진 C가 Si-C 결합을 갖는 층으로부터 탈리해버리고, 또한, 그 후에 질화해도 Si-N 결합이 형성되기 어렵다고 생각하고 있었기 때문이다. 그로 인해, 가스의 공급 순서를 예를 들어 전술한 제1 시퀀스나 제2 시퀀스와 같이 다시 조합하면 C가 모두 탈리해버려서, SiOCN막을 형성하는 것은 불가능(SiO막 또는 SiON막이 형성됨)하다고 생각하고 있었다. 그러나, 발명자들은, 예의 연구를 거듭한 결과, Si-C 결합을 갖는 층을 산화한 후, 질화할 때에 그 산화력(특히, 산화 가스의 희석율, 공급 시간, 분압)을 컨트롤함으로써, 산화에 의해 Si-C 결합을 갖는 층으로부터 탈리해버리는 C를 남길 수 있고, 또한, 그 후의 질화에 의해 Si-N 결합을 적절하게 형성할 수 있어, SiOCN을 적정하게 형성할 수 있다는 사실을 알아내었다. 이러한 지식을 활용한 본 실시 형태의 성막 시퀀스에 의하면, 기존의 기판 처리 장치에 대폭적인 변경을 행하지 않고, 저비용으로 전술의 효과를 얻을 수 있게 된다.
(f) 본 실시 형태에 의하면, 제1 시퀀스 및 제2 시퀀스 중 어떤 경우에서도 우수한 웨이퍼 면 내 막 두께 균일성을 갖는 SiOCN막을 형성할 수 있다. 그리고, 본 실시 형태의 제1 시퀀스 또는 제2 시퀀스에 의해 형성된 SiOCN막을 절연막으로서 사용한 경우, SiOCN막의 면 내에서 균질한 성능을 제공하는 것이 가능해져서, 반도체 장치의 성능 향상이나 생산 수율의 향상에 공헌하는 것이 가능해진다.
(g) 본 실시 형태의 제1 시퀀스의 표면 개질 스텝 및 스텝 1 내지 5나, 제2 시퀀스의 스텝 1 내지 5 및 질화 스텝에서는, 처리실(201) 내로 공급하는 HCDS 가스, C3H6 가스, O2 가스, NH3 가스를 각각 열로 활성화시켜서 웨이퍼(200)에 대하여 공급하도록 하고 있다. 이에 의해, 전술한 반응을 각각 소프트하게 발생시킬 수 있어, 실리콘 함유층의 형성, 탄소 함유층의 형성, 산화 처리, 질화 처리를 제어성 좋게 용이하게 행하는 것이 가능해진다.
(h) 본 실시 형태의 방법에 의해 형성한 실리콘 절연막을, 사이드 월 스페이서로서 사용함으로써, 누설 전류가 적고, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능해진다.
(i) 본 실시 형태의 방법에 의해 형성한 실리콘 절연막을, 에치 스토퍼로서 사용함으로써, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능해진다.
(j) 본 실시 형태에 의하면, 플라즈마를 사용하지 않고, 이상적 양론비의 실리콘 절연막을 형성할 수 있다. 또한, 플라즈마를 사용하지 않고 실리콘 절연막을 형성할 수 있기 때문에, 예를 들어 DPT의 SADP막 등, 플라즈마 데미지를 걱정하는 공정으로의 적응도 가능해진다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였지만, 본 발명은 전술한 실시 형태에 한정되는 것은 아니라, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 전술한 제1 시퀀스에서는, NH3 가스를 공급하는 공정(표면 개질 스텝)을 행한 후, C3H6 가스를 공급하여 제1 탄소 함유층을 형성하는 공정(스텝 1)과, HCDS 가스를 공급하는 공정(스텝 2)과, C3H6 가스를 공급하여 제2 탄소 함유층을 형성하는 공정(스텝 3)과, O2 가스를 공급하는 공정(스텝 4)과, NH3 가스를 공급하는 공정(스텝 5)을 이 순서로 행하는 사이클을 소정 횟수 행하도록 하고 있었지만, 본 발명은 이 형태에 한정되지 않는다. 예를 들어, 도 4b에 제1 시퀀스의 변형예를 예시한 바와 같이, 표면 개질 스텝을 행한 후, 스텝 1, 2, 4, 3, 5를 이 순서로 행하는 사이클을 소정 횟수 행하도록 해도 된다. 즉, 탄소 함유 가스를 공급하여 제2 탄소 함유층을 형성하는 공정(스텝 3)과 산화 가스를 공급하는 공정(스텝 4)은, 어느 것을 먼저 행하도록 해도 된다. 단, 스텝 3을 스텝 4보다도 먼저 행하는 도 4a의 제1 시퀀스 쪽이, 스텝 4를 스텝 3보다도 먼저 행하는 도 4b의 제1 시퀀스의 변형예보다도, 성막 속도를 높게 할 수 있어 바람직하다.
또한, 예를 들어 전술한 제2 시퀀스에서는, NH3 가스를 공급하는 공정(스텝 1)과, C3H6 가스를 공급하여 제1 탄소 함유층을 형성하는 공정(스텝 2)과, HCDS 가스를 공급하는 공정(스텝 3)과, C3H6 가스를 공급하여 제2 탄소 함유층을 형성하는 공정(스텝 4)과, O2 가스를 공급하는 공정(스텝 5)을 이 순서로 행하는 사이클을 소정 횟수 행한 후, NH3 가스를 공급하는 공정(질화 스텝)을 행하도록 하고 있었지만, 본 발명은 이 형태에 한정되지 않는다. 예를 들어, 도 5b에 제2 시퀀스의 변형예를 예시한 바와 같이, 스텝 1, 2, 3, 5, 4를 이 순서로 행하는 사이클을 소정 횟수 행한 후, 질화 스텝을 행하도록 해도 된다. 즉, 탄소 함유 가스를 공급하여 제2 탄소 함유층을 형성하는 공정(스텝 4)과 산화 가스를 공급하는 공정(스텝 5)은, 어느 것을 먼저 행하도록 해도 된다. 단, 스텝 4를 스텝 5보다도 먼저 행하는 도 5a의 제2 시퀀스 쪽이, 스텝 5를 스텝 4보다도 먼저 행하는 도 5b의 제2 시퀀스의 변형예보다도, 성막 속도를 높게 할 수 있어 바람직하다.
또한 예를 들어, 처리실(201) 내에 버퍼실(237)을 설치하지 않고, 제4 노즐(249d)로부터 처리실(201) 내로 NH3 가스를 직접 공급하도록 해도 된다. 이 경우, 제4 노즐(249d)의 가스 공급 구멍(250d)을 반응관(203)의 중심측을 향함으로써 제4 노즐(249d)로부터 NH3 가스가 웨이퍼(200)를 향해 직접 공급되도록 하는 것도 가능하다. 또한, 제4 노즐(249d)을 설치하지 않고, 버퍼실(237)만을 설치할 수도 있다.
또한, 예를 들어 처리실(201) 내로 공급하는 C3H6 가스, O2 가스, NH3 가스는 각각 열로 활성화시키는 경우에 한정되지 않고, 예를 들어 플라즈마를 사용하여 활성화시키도록 해도 된다. 이 경우, 예를 들어 전술한 플라즈마 발생기로서의 플라즈마원을 사용하여 각 가스를 플라즈마 여기하도록 해도 된다. 각 가스를 플라즈마 여기하여 공급하는 경우, 성막 온도를 더 저온화시키는 것이 가능하다고 하는 이점이 있다. 단, 각 가스를 플라즈마 여기하지 않고, 전술한 실시 형태와 같이 열로 활성화시켜서 공급하는 경우에는, 처리실(201) 내에서의 파티클의 발생을 억제할 수 있고, 또한, 처리실(201) 내의 부재나 웨이퍼(200)에 대한 플라즈마 데미지를 회피할 수 있다고 하는 이점이 있다.
또한, 예를 들어 제1 시퀀스의 스텝 4, 제2 시퀀스의 스텝 5에서는, 산화 가스와 함께 수소 함유 가스 등의 환원 가스를 공급하도록 해도 된다. 전술한 실시 형태의 처리 조건하에서, 대기압 미만의 압력(감압) 분위기 하에 있는 처리실(201) 내로 산화 가스와 환원 가스를 공급하면, 처리실(201) 내에서 산화 가스와 환원 가스가 반응하여, 원자 형상 산소(atomic oxygen) 등의 산소를 포함하는 수분(H2O) 비함유의 산화종이 생성되고, 이 산화종에 의해 각 층을 산화할 수 있다. 이 경우, 산화 가스 단체로 산화하는 것보다도 높은 산화력으로 산화를 행할 수 있다. 이 산화 처리는 논 플라즈마의 감압 분위기 하에서 행해진다. 환원 가스로서는, 예를 들어 수소(H2) 가스를 사용할 수 있다.
또한 예를 들어, 전술한 실시 형태에서는, 박막으로서 반도체 원소인 실리콘을 포함하는 SiOCN막(반도체 절연막)을 형성하는 예에 대하여 설명하였지만, 본 발명은 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈(Ta), 알루미늄(Al), 몰리브덴(Mo), 갈륨(Ga), 게르마늄(Ge) 등의 금속 원소를 포함하는 금속 산탄질화막(금속 절연막)을 형성하는 경우에도 적용할 수 있다.
예를 들어, 본 발명은 티타늄 산탄질화막(TiOCN막), 지르코늄 산탄질화막(ZrOCN막), 하프늄 산탄질화막(HfOCN막), 탄탈산탄질화막(TaOCN막), 알루미늄 산탄질화막(AlOCN막), 몰리브덴 산탄질화막(MoOCN막), 갈륨 산탄질화막(GaOCN막), 게르마늄 산탄질화막(GeOCN막)이나, 이들을 조합하거나 혼합시킨 금속 산탄질화막을 형성하는 경우에도 적용할 수 있다.
이 경우, 전술한 실시 형태에서의 실리콘 원료 가스 대신에 티타늄 원료 가스, 지르코늄 원료 가스, 하프늄 원료 가스, 탄탈 원료 가스, 알루미늄 원료 가스, 몰리브덴 원료 가스, 갈륨 원료 가스, 게르마늄 원료 가스 등의 금속 원소를 포함하는 원료 가스(금속 원소 함유 가스)를 사용하여, 전술한 실시 형태와 마찬가지의 시퀀스(제1 시퀀스, 제2 시퀀스 및 이들의 변형예)에 의해 성막을 행할 수 있다.
즉, 이 경우, 웨이퍼에 대하여 질화 가스를 공급하는 공정을 행한 후, 웨이퍼에 대하여 탄소 함유 가스를 공급하는 공정과, 웨이퍼에 대하여 금속 원소 함유 가스를 공급하는 공정과, 웨이퍼에 대하여 탄소 함유 가스를 공급하는 공정과, 웨이퍼에 대하여 산화 가스를 공급하는 공정과, 웨이퍼에 대하여 질화 가스를 공급하는 공정을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 웨이퍼 상에 금속 원소, 산소, 탄소 및 질소를 포함하는 박막(금속 산탄질화막)을 형성한다.
또한, 이 경우, 웨이퍼에 대하여 질화 가스를 공급하는 공정과, 웨이퍼에 대하여 탄소 함유 가스를 공급하는 공정과, 웨이퍼에 대하여 금속 원소 함유 가스를 공급하는 공정과, 웨이퍼에 대하여 탄소 함유 가스를 공급하는 공정과, 웨이퍼에 대하여 산화 가스를 공급하는 공정을 이 순서로 행하는 사이클을 소정 횟수 행한 후, 웨이퍼에 대하여 질화 가스를 공급하는 공정을 행함으로써, 웨이퍼 상에 금속 원소, 산소, 탄소 및 질소를 포함하는 박막(금속 산탄질화막)을 형성하도록 해도 된다.
예를 들어, 금속 산탄질화막으로서 TiOCN막을 형성하는 경우에는, Ti을 포함하는 원료로서, 테트라키스에틸메틸아미노티타늄(Ti[N(C2H5)(CH3)]4, 약칭: TEMAT), 테트라키스메틸아미노티타늄(Ti[N(CH3)2]4, 약칭: TDMAT), 테트라키스에틸아미노티타늄(Ti[N(C2H5)2]4, 약칭: TDEAT) 등의 유기 원료나, 티타늄 테트라클로라이드(TiCl4) 등의 무기 원료를 사용할 수 있다. 탄소 함유 가스나 산화 가스나 질화 가스로서는, 전술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 또한, 이 때의 처리 조건은, 예를 들어 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있지만, 웨이퍼 온도는, 예를 들어 100 내지 500℃의 범위 내의 온도, 처리실 내 압력은, 예를 들어 1 내지 3000Pa의 범위 내의 압력으로 하는 것이 보다 바람직하다.
또한 예를 들어, 금속 산탄질화막으로서 ZrOCN막을 형성하는 경우에는, Zr을 포함하는 원료로서, 테트라키스에틸메틸아미노지르코늄(Zr[N(C2H5)(CH3)]4, 약칭: TEMAZ), 테트라키스메틸아미노지르코늄(Zr[N(CH3)2]4, 약칭: TDMAZ), 테트라키스디에틸아미노지르코늄(Zr[N(C2H5)2]4, 약칭: TDEAZ) 등의 유기 원료나, 지르코늄 테트라클로라이드(ZrCl4) 등의 무기 원료를 사용할 수 있다. 탄소 함유 가스나 산화 가스나 질화 가스로서는, 전술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 또한, 이 때의 처리 조건은, 예를 들어 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있지만, 웨이퍼 온도는, 예를 들어 100 내지 400℃의 범위 내의 온도, 처리실 내 압력은, 예를 들어 1 내지 3000Pa의 범위 내의 압력으로 하는 것이 보다 바람직하다.
또한 예를 들어, 금속 산탄질화막으로서 HfOCN막을 형성하는 경우에는, Hf을 포함하는 원료로서, 테트라키스에틸메틸아미노하프늄(Hf[N(C2H5)(CH3)]4, 약칭: TEMAH), 테트라키스디메틸아미노하프늄(Hf[N(CH3)2]4, 약칭: TDMAH), 테트라키스에틸아미노하프늄(Hf[N(C2H5)2]4, 약칭: TDEAH) 등의 유기 원료나, 하프늄 테트라클로라이드(HfCl4) 등의 무기 원료를 사용할 수 있다. 탄소 함유 가스나 산화 가스나 질화 가스로서는, 전술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 또한, 이 때의 처리 조건은, 예를 들어 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있지만, 웨이퍼 온도는, 예를 들어 100 내지 400℃의 범위 내의 온도, 처리실 내 압력은, 예를 들어 1 내지 3000Pa의 범위 내의 압력으로 하는 것이 보다 바람직하다.
또한 예를 들어, 금속 산탄질화막으로서 TaOCN막을 형성하는 경우에는, Ta을 포함하는 원료로서, 트리스디에틸아미노터셔리부틸이미노탄탈(Ta[N(C2H5)2]3[NC(CH3)3], 약칭: TBTDET), 트리스에틸메틸아미노터셔리부틸이미노탄탈(Ta[NC(CH3)3][N(C2H5)CH3]3), 약칭: TBTEMT) 등의 유기 원료나, 탄탈펜타클로라이드(TaCl5) 등의 무기 원료를 사용할 수 있다. 탄소 함유 가스나 산화 가스나 질화 가스로서는, 전술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 또한, 이 때의 처리 조건은, 예를 들어 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있지만, 웨이퍼 온도는, 예를 들어 100 내지 500℃의 범위 내의 온도, 처리실 내 압력은, 예를 들어 1 내지 3000Pa의 범위 내의 압력으로 하는 것이 보다 바람직하다.
또한 예를 들어, 금속 산탄질화막으로서 AlOCN막을 형성하는 경우에는, Al을 포함하는 원료로서, 트리메틸 알루미늄(Al(CH3)3, 약칭: TMA) 등의 유기 원료나, 트리클로로 알루미늄(AlCl3) 등의 무기 원료를 사용할 수 있다. 탄소 함유 가스나 산화 가스나 질화 가스로서는, 전술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 또한, 이 때의 처리 조건은, 예를 들어 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있지만, 웨이퍼 온도는, 예를 들어 100 내지 400℃의 범위 내의 온도, 처리실 내 압력은, 예를 들어 1 내지 3000Pa의 범위 내의 압력으로 하는 것이 보다 바람직하다.
또한 예를 들어, 금속 산탄질화막으로서 MoOCN막을 형성하는 경우에는, Mo을 포함하는 원료로서, 몰리브덴 펜타클로라이드(MoCl5) 등의 무기 원료를 사용할 수 있다. 탄소 함유 가스나 산화 가스나 질화 가스로서는, 전술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 또한, 이 때의 처리 조건은, 예를 들어 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있지만, 웨이퍼 온도는, 예를 들어 100 내지 500℃의 범위 내의 온도, 처리실 내 압력은, 예를 들어 1 내지 3000Pa의 범위 내가 압력으로 하는 것이 보다 바람직하다.
이와 같이, 본 발명은 금속 산탄질화막의 성막에도 적용할 수 있으며, 이 경우에서도 전술한 실시 형태와 마찬가지의 작용 효과가 얻어진다. 즉, 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 산탄질화막을 형성하는 경우에 적용할 수 있다.
또한, 전술한 실시 형태에서는, 한번에 복수매의 기판을 처리하는 뱃치식의 기판 처리 장치를 사용하여 박막을 성막하는 예에 대하여 설명했지만, 본 발명은 이것에 한정되지 않고, 한번에 1매 또는 수매의 기판을 처리하는 매엽식 기판 처리 장치를 이용하여 박막을 성막하는 경우에도, 바람직하게 적용할 수 있다.
또한, 전술한 실시 형태의 각 성막 시퀀스나 각 변형예나 각 응용예 등은, 적절하게 조합하여 사용할 수 있다.
또한, 본 발명은 예를 들어 기존의 기판 처리 장치의 프로세스 레시피를 변경함으로써도 실현할 수 있다. 프로세스 레시피를 변경하는 경우에는, 본 발명에 따른 프로세스 레시피를 전기 통신 회선이나 상기 프로세스 레시피를 기록한 기록 매체를 통해 기존의 기판 처리 장치에 인스톨하거나, 또한, 기존의 기판 처리 장치의 입출력 장치를 조작하여, 그 프로세스 레시피 자체를 본 발명에 따른 프로세스 레시피로 변경하는 것도 가능하다.
[실시예]
(실시예 1)
본 발명의 실시예로서, 전술한 실시 형태에서의 기판 처리 장치를 이용하여, 전술한 실시 형태의 제1 시퀀스에 의해, 웨이퍼 상에 SiOCN막을 형성하였다. 도 6a는 본 실시예에서의 가스 공급의 타이밍을 나타낸 도면이다. 실리콘 함유 가스로서는 HCDS 가스를, 탄소 함유 가스로서는 C3H6 가스를, 산화 가스로서는 O2 가스를, 질화 가스로서는 NH3 가스를 사용하였다. 제1 탄소 함유층을 형성하는 스텝 1에서의 C3H6 가스의 가스 공급 시간은, 전술한 실시 형태에 기재된 처리 범위 내에서 변화시켜서, 4종류의 샘플을 제작하였다. 그 밖의 처리 조건은, 전술한 실시 형태에 기재된 처리 조건 범위 내의 소정의 값으로 설정하였다. 그리고, 제작한 각 샘플에 대해서, SiOCN막 내의 산소(O) 농도, 질소(N) 농도, 탄소(C) 농도를 각각 측정하였다.
도 7은, 실시예 1에서의 SiOCN막 내의 O, N, C 농도의 측정 결과를 나타낸 그래프도이다. 도 7의 종축은 막 내 O, N, C 농도(at%)를, 횡축은 C3H6 가스의 가스 공급 시간(a.u.)을 각각 나타내고 있다. 또한, 도면 중의 ●표시는 막 내 O 농도를, ■표시는 막 내 N 농도를, △표시는 막 내 C 농도를 각각 나타내고 있다. 도 7에 의하면, 스텝 1에서의 C3H6 가스의 가스 공급 시간을 길게 한 경우에도, SiOCN막 내의 O 농도는 거의 변화하지 않는 것을 알 수 있다. 또한, 스텝 1에서의 C3H6 가스의 가스 공급 시간을 길게 함으로써, SiOCN막 내의 N 농도가 저하되고, C 농도가 증가하는 것을 알 수 있다. 즉, 스텝 1에서의 C3H6 가스의 가스 공급 시간을 길게 함으로써, SiOCN막의 유전율을 저하시키는 것이 가능한 것을 알 수 있다. 즉, 스텝 1에서의 C3H6 가스의 가스 공급 시간을 제어함으로써, SiOCN막 내의 N 농도와 C 농도를 제어(미세 조정)할 수 있어, SiOCN막의 유전율을 제어(미세 조정)할 수 있는 것을 알 수 있다.
(실시예 2)
본 발명의 실시예로서, 전술한 실시 형태에서의 기판 처리 장치를 이용하여, 전술한 실시 형태의 제1 시퀀스에 의해, 웨이퍼 상에 SiOCN막을 형성하였다. 도 6a는 본 실시예에서의 가스 공급의 타이밍을 나타낸 도면이다. 실리콘 함유 가스로서는 HCDS 가스를, 탄소 함유 가스로서는 C3H6 가스를, 산화 가스로서는 O2 가스를, 질화 가스로서는 NH3 가스를 사용하였다. 각 스텝에서의 처리 조건은, 전술한 실시 형태에 기재된 처리 조건 범위 내의 소정의 값으로 설정하였다. 그리고, 웨이퍼 상에 형성된 SiOCN막 내의 O, N, C 농도를 각각 측정하였다.
또한, 비교예로서, 전술한 실시 형태에서의 기판 처리 장치를 이용하여, 웨이퍼에 대하여 NH3 가스를 공급하는 표면 개질 스텝을 행한 후, 웨이퍼에 대하여 수소(H2) 가스를 공급하는 스텝 1과, 웨이퍼에 대하여 HCDS 가스를 공급하는 스텝 2와, 웨이퍼에 대하여 C3H6 가스를 공급하는 스텝 3과, 웨이퍼에 대하여 O2 가스를 공급하는 스텝 4와, 웨이퍼에 대하여 NH3 가스를 공급하는 스텝 5를 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 웨이퍼 상에 SiOCN막을 형성하였다. 본 비교예가 실시예 2와 다른 것은, 스텝 1에서 사용하는 가스를 H2 가스로 바꾼 점뿐이고, 각 스텝에서의 처리 조건은, 실시예 2에서의 각 스텝의 처리 조건과 마찬가지로 설정하였다. 그리고, 웨이퍼 상에 형성된 SiOCN막 내의 O, N, C 농도를 각각 측정하였다.
또한, 다른 비교예로서, 전술한 실시 형태에서의 기판 처리 장치를 이용하여, 웨이퍼에 대하여 NH3 가스를 공급하는 표면 개질 스텝을 행한 후, 웨이퍼에 대하여 질소(N2) 가스를 공급하는 스텝 1과, 웨이퍼에 대하여 HCDS 가스를 공급하는 스텝 2와, 웨이퍼에 대하여 C3H6 가스를 공급하는 스텝 3과, 웨이퍼에 대하여 O2 가스를 공급하는 스텝 4와, 웨이퍼에 대하여 NH3 가스를 공급하는 스텝 5를 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 웨이퍼 상에 SiOCN막을 형성하였다. 본 비교예가 실시예 2와 다른 것은, 스텝 1에서 사용하는 가스를 N2 가스로 바꾼 점뿐이고, 각 스텝에서의 처리 조건은, 실시예 2에서의 각 스텝의 처리 조건과 마찬가지로 설정하였다. 그리고, 웨이퍼 상에 형성된 SiOCN막 내의 O, N, C 농도를 각각 측정하였다.
도 8은, 실시예 2, 비교예에서의 SiOCN막 내의 O, N, C 농도의 측정 결과를 나타낸 그래프도이다. 도 8의 종축은 막 내 O, N, C 농도(at%)를, 횡축은 스텝 1에서 사용한 가스종을 나타내고 있다. 또한, 도면 중의 ●표시는 막 내 O 농도를, ■표시는 막 내 N 농도를, △표시는 막 내 C 농도를 각각 나타내고 있다. 도 8에 의하면, 실시예 2에서의 막 내 O 농도는, 비교예에서의 막 내 O 농도와 비교하여 변화가 없는 것을 알 수 있다. 또한, 실시예 2에서의 막 내 N 농도는, 비교예에서의 막 내 N 농도와 비교하여 작은 것을 알 수 있다. 또한, 실시예 2에서의 막 내 C 농도는, 비교예에서의 막 내 C 농도와 비교하여 큰 것을 알 수 있다. 즉, 스텝 1에서 C3H6 가스를 사용함으로써 SiOCN막 내의 O 농도의 저하를 억제하면서, 막 내 N 농도를 저하시켜서, 막 내 C 농도를 증가시킬 수 있고, SiOCN막의 유전율을 저하시키는 것이 가능하다는 것을 알 수 있다. 즉, 스텝 1에서 C3H6 가스를 사용함으로써 SiOCN막 내의 N 농도와 C 농도를 제어(미세 조정)할 수 있게 되는 것을 알 수 있다.
(참고예 1)
참고예 1로서, 전술한 실시 형태에서의 기판 처리 장치를 이용하여, 웨이퍼에 대하여 NH3 가스를 공급하는 표면 개질 스텝을 행한 후, 웨이퍼에 대하여 HCDS 가스를 공급하는 스텝 1a와, 웨이퍼에 대하여 C3H6 가스를 공급하는 스텝 2a와, 웨이퍼에 대하여 O2 가스를 공급하는 스텝 3a와, 웨이퍼에 대하여 NH3 가스를 공급하는 스텝 4a를 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 웨이퍼 상에 SiOCN막을 형성하였다. 도 6b는 본 참고예에서의 가스 공급의 타이밍을 나타낸 도면이다. 참고예 1이 전술한 실시 형태의 제1 시퀀스와 다른 것은, 제1 탄소 함유층을 형성하는 스텝 1을 행하지 않는 점뿐이다. 참고예 1의 스텝 1a 내지 4a에서의 처리 수순이나 처리 조건은, 전술한 실시 형태의 제1 시퀀스의 스텝 2 내지 5의 처리 수순이나 처리 조건과 마찬가지로 설정하였다. 그리고, 성막 시의 웨이퍼 온도(성막 온도)를 550 내지 630℃ 사이에서 변화시켜서 3종류의 샘플을 제작하고, 웨이퍼 상에 형성된 SiOCN막 내의 O, N, C 농도를 각각 측정하였다.
도 9는, 참고예 1에서의 SiOCN막 내의 O, N, C 농도의 측정 결과를 나타낸 그래프도이다. 도 9의 종축은 막 내 O, N, C 농도(at%)를, 횡축은 웨이퍼 온도를 각각 나타내고 있다. 또한, 도면 중의 ●표시는 막 내 O 농도를, ■표시는 막 내 N 농도를, △표시는 막 내 C 농도를 각각 나타내고 있다. 도 9에 의하면, 성막 온도를 저하시키면, SiOCN막 내의 O 농도 및 C 농도가 각각 저하되고, N 농도가 증가하는 것을 알 수 있다. 즉, 성막 온도를 저하시키면, SiOCN막의 조성이 SiN막에 근접하여, SiOCN막의 유전율이 증가한다는 것을 알 수 있다.
(참고예 2)
참고예 2로서, 전술한 실시 형태에서의 기판 처리 장치를 이용하여, 참고예 1과 마찬가지의 성막 시퀀스에 의해, 웨이퍼 상에 SiOCN막을 형성하였다. 참고예 2가 전술한 실시 형태의 제1 시퀀스와 다른 것은, 참고예 1과 마찬가지로, 제1 탄소 함유층을 형성하는 스텝 1을 행하지 않는 점뿐이다. 참고예 2의 스텝 1a 내지 4a에서의 처리 수순이나 처리 조건은, 전술한 실시 형태의 제1 시퀀스의 스텝 2 내지 5의 처리 수순이나 처리 조건과 마찬가지로 설정하였다. 그리고, 스텝 2a에서의 C3H6 가스의 가스 공급 시간을 변화시켜서 3종류의 샘플을 제작하고, 웨이퍼 상에 형성된 SiOCN막 내의 O, N, C 농도를 각각 측정하였다.
도 10은, 참고예 2에서의 SiOCN막 내의 O, N, C 농도의 측정 결과를 나타낸 그래프도이다. 도 10의 종축은 막 내 O, N, C 농도(at%)를 횡축은 C3H6 가스의 가스 공급 시간(a.u.)을 각각 나타내고 있다. 또한, 도면 중의 ●표시는 막 내 O 농도를, ■표시는 막 내 N 농도를, △표시는 막 내 C 농도를 각각 나타내고 있다. 도 10에 의하면, 스텝 2a에서의 C3H6 가스의 가스 공급 시간을 길게 하면, SiOCN막 내의 O 농도가 저하되는 것을 알 수 있다. 또한, 스텝 2a에서의 C3H6 가스의 가스 공급 시간을 길게 해도, SiOCN막 내의 N 농도는 저하되지 않고, C 농도의 증가는 근소한 데 그친다는 것을 알 수 있다. 즉, 도 6b에 도시한 성막 시퀀스에서는, 스텝 2a에서의 C3H6 가스의 가스 공급 시간을 길게 해도, SiOCN막 내의 N 농도를 저하시킬 수 없어, C 농도의 대폭적인 상승은 기대할 수 없어, SiOCN막의 유전율을 저하시키는 것은 곤란하다는 것을 알 수 있다.
<본 발명의 바람직한 형태>
이하에, 본 발명의 바람직한 형태에 대하여 부기한다.
(부기 1)
본 발명의 일 형태에 의하면,
기판에 대하여 질화 가스를 공급하는 공정을 행한 후,
상기 기판에 대하여 탄소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 소정 원소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 탄소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 산화 가스를 공급하는 공정과,
상기 기판에 대하여 질화 가스를 공급하는 공정
을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기 2)
부기 1의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 박막을 상기 기판상에 형성하는 공정에서는,
상기 사이클을 소정 횟수 행하기 전에, 상기 기판에 대하여 상기 질화 가스를 공급함으로써, 상기 기판의 최표면을 개질한다.
(부기 3)
부기 2의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 박막을 상기 기판상에 형성하는 공정에서는,
상기 기판에 대하여 상기 탄소 함유 가스를 공급함으로써, 상기 최표면의 일부에 제1 탄소 함유층을 형성하고,
상기 기판에 대하여 상기 소정 원소 함유 가스를 공급함으로써, 상기 질화 가스에 의해 개질되고, 그 일부에 상기 제1 탄소 함유층이 형성된 상기 최표면 상에 소정 원소 함유층을 형성하고,
상기 기판에 대하여 상기 탄소 함유 가스를 공급함으로써, 상기 소정 원소 함유층 상에 제2 탄소 함유층을 형성하고,
상기 기판에 대하여 상기 산화 가스를 공급함으로써, 상기 제1 탄소 함유층, 상기 소정 원소 함유층 및 상기 제2 탄소 함유층을 포함하는 층을 산화하여, 상기 소정 원소, 산소 및 탄소를 포함하는 층을 형성하고,
상기 기판에 대하여 상기 질화 가스를 공급함으로써, 상기 소정 원소, 산소 및 탄소를 포함하는 층을 질화하여, 상기 소정 원소, 산소, 탄소 및 질소를 포함하는 층을 형성함과 함께, 그 최표면을 개질한다.
(부기 4)
부기 3의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 제1 탄소 함유층은,
상기 질화 가스에 의해 개질된 상기 최표면의 일부에 상기 탄소 함유 가스를 흡착시켜서 형성한다.
(부기 5)
부기 4의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 제1 탄소 함유층의 적어도 일부는,
상기 질화 가스에 의해 개질된 상기 최표면의 적어도 일부에 흡착한 상기 질화 가스의 일부를 상기 탄소 함유 가스로 치환하여 형성한다.
(부기 6)
본 발명의 다른 형태에 의하면,
기판에 대하여 질화 가스를 공급하는 공정과,
상기 기판에 대하여 탄소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 소정 원소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 탄소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 산화 가스를 공급하는 공정
을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기 7)
부기 6의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 박막을 형성하는 공정은, 상기 사이클을 소정 횟수 행한 후, 상기 기판에 대하여 질화 가스를 공급하는 공정을 더 포함한다.
(부기 8)
본 발명의 다른 형태에 의하면,
기판에 대하여 질화 가스를 공급하는 공정과,
상기 기판에 대하여 탄소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 소정 원소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 탄소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 산화 가스를 공급하는 공정
을 이 순서로 행하는 사이클을 소정 횟수 행한 후, 상기 기판에 대하여 질화 가스를 공급하는 공정을 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기 9)
부기 1 내지 부기 8 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 소정 원소는 반도체 원소 또는 금속 원소이다.
(부기 10)
부기 1 내지 부기 9 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 소정 원소는 실리콘이다.
(부기 11)
본 발명의 또 다른 형태에 의하면,
기판에 대하여 질화 가스를 공급하는 공정을 행한 후,
상기 기판에 대하여 탄소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 소정 원소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 탄소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 산화 가스를 공급하는 공정과,
상기 기판에 대하여 질화 가스를 공급하는 공정
을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 기판 처리 방법이 제공된다.
(부기 12)
본 발명의 또 다른 형태에 의하면,
기판을 수용하는 처리실과,
상기 처리실 내의 기판에 대하여 소정 원소 함유 가스를 공급하는 소정 원소 함유 가스 공급계와,
상기 처리실 내의 기판에 대하여 탄소 함유 가스를 공급하는 탄소 함유 가스 공급계와,
상기 처리실 내의 기판에 대하여 산화 가스를 공급하는 산화 가스 공급계와,
상기 처리실 내의 기판에 대하여 질화 가스를 공급하는 질화 가스 공급계와,
상기 처리실 내의 기판에 대하여 상기 질화 가스를 공급하는 처리를 행한 후, 상기 처리실 내의 상기 기판에 대하여 상기 탄소 함유 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 소정 원소 함유 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 탄소 함유 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 산화 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 질화 가스를 공급하는 처리를 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 처리를 행하도록, 상기 소정 원소 함유 가스 공급계, 상기 탄소 함유 가스 공급계, 상기 산화 가스 공급계 및 상기 질화 가스 공급계를 제어하는 제어부를 포함하는 기판 처리 장치가 제공된다.
(부기 13)
본 발명의 또 다른 형태에 의하면,
처리실 내의 기판에 대하여 질화 가스를 공급하는 수순을 행한 후,
상기 처리실 내의 상기 기판에 대하여 탄소 함유 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 소정 원소 함유 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 탄소 함유 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 산화 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 질화 가스를 공급하는 수순
을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 수순을 컴퓨터에 실행시키는 프로그램이 제공된다.
(부기 14)
본 발명의 또 다른 형태에 의하면,
처리실 내의 기판에 대하여 질화 가스를 공급하는 수순을 행한 후,
상기 처리실 내의 상기 기판에 대하여 탄소 함유 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 소정 원소 함유 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 탄소 함유 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 산화 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 질화 가스를 공급하는 수순
을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 상기 기판 상에 형성하는 수순을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121: 컨트롤러
200: 웨이퍼
201: 처리실
202: 처리로
203: 반응관
207: 히터
231: 배기관
232a: 제1 가스 공급관
232b: 제2 가스 공급관
232c: 제3 가스 공급관
232d: 제4 가스 공급관

Claims (10)

  1. (a) 기판에 대하여 탄소 함유 가스를 공급하는 공정과,
    (b) 상기 기판에 대하여 반도체 원소 또는 금속 원소인 소정 원소를 포함하는 가스를 공급하는 공정과,
    (c) 상기 기판에 대하여 산화 가스를 공급하는 공정과,
    (d) 상기 기판에 대하여 질화 가스를 공급하는 공정
    를 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 상기 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 공정을 갖고,
    상기 (a)의 공정을, 1 사이클당 2회로 나누어서 행하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 (a)의 공정을, 1 사이클당, 상기 (b)의 공정 전후에 2회로 나누어서 행하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 사이클은, 상기 (a)의 공정과, 상기 (b)의 공정과, 상기 (a)의 공정과, 상기 (c)의 공정과, 상기 (d)의 공정을, 이 순서로 행하는 것을 포함하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서,
    상기 사이클은, 상기 (a)의 공정과, 상기 (b)의 공정과, 상기 (c)의 공정과, 상기 (a)의 공정과, 상기 (d)의 공정을, 이 순서로 행하는 것을 포함하는 반도체 장치의 제조 방법.
  5. 제1항에 있어서,
    상기 사이클을 소정 횟수 실시하기 전에, 상기 (d)의 공정을 행하는 반도체 장치의 제조 방법.
  6. 제1항에 있어서,
    상기 사이클을 소정 횟수 실시하기 전에 행하는 상기 (d)의 공정에서의 질화 가스의 공급 시간을, 1 사이클당 행하는 상기 (d)의 공정에서의 질화 가스의 공급 시간보다도 길게 하는 반도체 장치의 제조 방법.
  7. 제1항에 있어서,
    상기 소정 원소가 실리콘인 반도체 장치의 제조 방법.
  8. 제1항에 있어서,
    상기 소정 원소가 Si, Ge, Ti, Zr, Hf, Ta, Al, Mo, 및 Ga로 이루어지는 군에서 선택되는 적어도 1개인 반도체 장치의 제조 방법.
  9. 기판을 수용하는 처리실과,
    상기 처리실 내의 기판에 대하여 반도체 원소 또는 금속 원소인 소정 원소를 포함하는 가스를 공급하는 소정 원소 함유 가스 공급계와,
    상기 처리실 내의 기판에 대하여 탄소 함유 가스를 공급하는 탄소 함유 가스 공급계와,
    상기 처리실 내의 기판에 대하여 산화 가스를 공급하는 산화 가스 공급계와,
    상기 처리실 내의 기판에 대하여 질화 가스를 공급하는 질화 가스 공급계와,
    (a) 상기 처리실 내의 기판에 대하여 상기 탄소 함유 가스를 공급하는 처리와, (b) 상기 처리실 내의 상기 기판에 대하여 상기 소정 원소를 포함하는 가스를 공급하는 처리와, (c) 상기 처리실 내의 상기 기판에 대하여 상기 산화 가스를 공급하는 처리와, (d) 상기 처리실 내의 상기 기판에 대하여 상기 질화 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 상기 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 처리를 행하게 하고, 그 때, 상기 (a)의 처리를, 1 사이클당 2회로 나누어서 행하게 하도록, 상기 소정 원소 함유 가스 공급계, 상기 탄소 함유 가스 공급계, 상기 산화 가스 공급계 및 상기 질화 가스 공급계를 제어하도록 구성되는 제어부
    를 포함하는 기판 처리 장치.
  10. (a) 기판에 대하여 탄소 함유 가스를 공급하는 수순과,
    (b) 상기 기판에 대하여 반도체 원소 또는 금속 원소인 소정 원소를 포함하는 가스를 공급하는 수순과,
    (c) 상기 기판에 대하여 산화 가스를 공급하는 수순과,
    (d) 상기 기판에 대하여 질화 가스를 공급하는 수순
    를 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 상기 소정 원소, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 수순을 컴퓨터에 실행시키고,
    상기 (a)의 수순을, 1 사이클당 2회로 나누어서 행하게 하는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020150066335A 2012-09-18 2015-05-12 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 KR101570318B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012205073A JP6022274B2 (ja) 2012-09-18 2012-09-18 半導体装置の製造方法、基板処理装置およびプログラム
JPJP-P-2012-205073 2012-09-18

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150022494A Division KR101528719B1 (ko) 2012-09-18 2015-02-13 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Publications (2)

Publication Number Publication Date
KR20150058132A true KR20150058132A (ko) 2015-05-28
KR101570318B1 KR101570318B1 (ko) 2015-11-18

Family

ID=50274906

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020130110291A KR101503603B1 (ko) 2012-09-18 2013-09-13 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR1020140147278A KR101514929B1 (ko) 2012-09-18 2014-10-28 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR1020150022494A KR101528719B1 (ko) 2012-09-18 2015-02-13 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR1020150066335A KR101570318B1 (ko) 2012-09-18 2015-05-12 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020130110291A KR101503603B1 (ko) 2012-09-18 2013-09-13 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR1020140147278A KR101514929B1 (ko) 2012-09-18 2014-10-28 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR1020150022494A KR101528719B1 (ko) 2012-09-18 2015-02-13 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Country Status (4)

Country Link
US (3) US9263253B2 (ko)
JP (1) JP6022274B2 (ko)
KR (4) KR101503603B1 (ko)
TW (1) TWI535879B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210124375A (ko) * 2019-03-05 2021-10-14 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201705569PA (en) * 2015-01-07 2017-08-30 Hitachi Int Electric Inc Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6529780B2 (ja) 2015-02-25 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102412614B1 (ko) * 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) * 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6613213B2 (ja) * 2016-07-26 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102324630B1 (ko) * 2017-03-29 2021-11-10 삼성전자주식회사 집적회로 소자의 제조 방법
KR102627238B1 (ko) * 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102541454B1 (ko) 2018-04-26 2023-06-09 삼성전자주식회사 저유전막의 형성 방법, 및 반도체 소자의 형성방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP6909762B2 (ja) * 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7138130B2 (ja) * 2020-03-04 2022-09-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172841A (ja) * 2020-04-22 2021-11-01 東京エレクトロン株式会社 成膜方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003060978A1 (en) * 2002-01-15 2003-07-24 Tokyo Electron Limited Cvd method and device for forming silicon-containing insulation film
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5654862B2 (ja) 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5572447B2 (ja) * 2010-05-25 2014-08-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210124375A (ko) * 2019-03-05 2021-10-14 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램

Also Published As

Publication number Publication date
US20140080314A1 (en) 2014-03-20
KR101503603B1 (ko) 2015-03-18
KR101514929B1 (ko) 2015-04-23
KR20140131313A (ko) 2014-11-12
US9837262B2 (en) 2017-12-05
TWI535879B (zh) 2016-06-01
JP2014060302A (ja) 2014-04-03
US20160314959A1 (en) 2016-10-27
US20150262809A1 (en) 2015-09-17
KR101570318B1 (ko) 2015-11-18
JP6022274B2 (ja) 2016-11-09
TW201413035A (zh) 2014-04-01
US9263253B2 (en) 2016-02-16
KR101528719B1 (ko) 2015-06-15
US9412585B2 (en) 2016-08-09
KR20140036971A (ko) 2014-03-26
KR20150021989A (ko) 2015-03-03

Similar Documents

Publication Publication Date Title
KR101570318B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101469379B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP5775947B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5722450B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
KR101396243B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101628211B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP5847566B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6022276B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6151335B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181023

Year of fee payment: 4