TWI535879B - The method of manufacturing a semiconductor device, a substrate processing apparatus and a recording medium - Google Patents

The method of manufacturing a semiconductor device, a substrate processing apparatus and a recording medium Download PDF

Info

Publication number
TWI535879B
TWI535879B TW102126103A TW102126103A TWI535879B TW I535879 B TWI535879 B TW I535879B TW 102126103 A TW102126103 A TW 102126103A TW 102126103 A TW102126103 A TW 102126103A TW I535879 B TWI535879 B TW I535879B
Authority
TW
Taiwan
Prior art keywords
gas
layer
carbon
substrate
supplying
Prior art date
Application number
TW102126103A
Other languages
English (en)
Other versions
TW201413035A (zh
Inventor
Ryota Sasajima
Yoshinobu Nakamura
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201413035A publication Critical patent/TW201413035A/zh
Application granted granted Critical
Publication of TWI535879B publication Critical patent/TWI535879B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

半導體裝置之製造方法、基板處理裝置及記錄媒體
本發明係關於包含在基板上形成薄膜的步驟之半導體裝置之製造方法、基板處理裝置及記錄媒體。
伴隨著半導體裝置(device)的細微化,減低電晶體的閘極與源極之間的寄生電容的要求越來越高。因此,作為側壁(side-wall)膜替代從前使用的氮化矽膜(SixNy膜,以下亦簡稱SiN膜),檢討採用介電率更低的膜(Low-k膜)。於SiN膜中添加氧(O)及碳(C)之氮化矽氧碳膜(SiOCN膜),可以藉由添加O而實現低介電率化,同時藉由添加O而改善劣化的耐濕式蝕刻性或耐乾式蝕刻性,而藉由添加C可以使其回復,或者改善。
含有矽等特定元素、氧、碳及氮的薄膜之SiOCN膜,已知可以藉由例如對處理室內被加熱的晶圓依序進行供給含矽氣體的步驟,供給供給含碳氣體的步驟,供給氮化氣體的步驟,供給氧化氣體的步驟,將這些作為1個循環而將此循環實施特定回數而形成(例如參照專利 文獻1)。
〔先前技術文獻〕 〔專利文獻〕
[專利文獻1]日本特開2011-238894號公報
近年來,由於作為電晶體的閘極絕緣膜開始採用高介電率絕緣膜(High-k膜),被形成於閘極周邊的側壁膜等薄膜的成膜溫度,例如被要求在600℃以下,進而往450℃以下的低溫區域降低的要求越來越高。然而,使成膜溫度降低到這樣的低溫區域的話,薄膜的成膜速度會降低,有半導體裝置的生產性降低的情形。
此外,使SiOCN膜的成膜溫度降低的話,伴隨著成膜溫度的降低,膜中的氧(O)濃度及碳(C)濃度分別降低,而氮(N)濃度會增加。總之,SiOCN膜的組成會接近於SiN膜,而會使SiOCN膜的介電率增加。
本發明的目的在於提供在低溫區域形成含特定元素、氧、碳及氮的薄膜時,可以抑制成膜速度的降低,抑制介電率的增加之半導體裝置的製造方法、基板處理裝置及記錄媒體。
根據本發明之一態樣,提供一種半導體裝置之製造方法,包含:藉由依序在進行對基板供給氮化氣體的步驟之後,進行對前述基板供給含碳的氣體的步驟、對前述基板供給含特定元素的氣體的步驟、對前述基板供給含碳的氣體的步驟、對前述基板供給氧化氣體的步驟、以及對前述基板供給氮化氣體的步驟之循環(cycle)進行特定回數,以在前述基板上形成包含特定元素、氧、碳及氮的薄膜的步驟。
根據本發明的其他態樣,提供一種基板處理裝置,包含:收容基板的處理室,對前述處理室內的基板供給含特定元素的氣體之含特定元素氣體供給系統,對前述處理室內的基板供給含碳的氣體之含碳氣體供給系統,對前述處理室內的基板供給氧化氣體之氧化氣體供給系統,對前述處理室內的基板供給氮化氣體之氮化氣體供給系統,以及以依序在進行對前述處理室內的基板供給前述氮化氣體的處理之後,進行對前述處理室內的前述基板供給含碳的氣體的處理、對前述處理室內的前述基板供給含特定元 素的氣體的處理、對前述處理室內的前述基板供給含碳的氣體的處理、對前述處理室內的前述基板供給氧化氣體的處理、以及對前述處理室內的前述基板供給氮化氣體的處理之循環(cycle)進行特定回數,以在前述基板上,形成包含特定元素、氧、碳及氮的薄膜的的處理的方式,控制前述含特定元素氣體供給系統、前述含碳氣體供給系統、前述氧化氣體供給系統及前述氮化氣體供給系統的方式構成的控制部。
根據本發明之進而其他的態樣,提供一種記錄媒體,為記錄了使電腦執行在進行對基板處理裝置的處理室內的基板供給氮化氣體的程序之後,依序進行對前述處理室內的前述基板供給含碳的氣體的程序、對前述處理室內的前述基板供給含特定元素的氣體的程序、對前述處理室內的前述基板供給含碳的氣體的程序、對前述處理室內的前述基板供給氧化氣體的程序、以及對前述處理室內的前述基板供給氮化氣體的程序之循環(cycle)進行特定回數,以在前述基板上,形成包含特定元素、氧、碳及氮的薄膜的的程序之電腦程式的電腦可讀取的記錄媒體。
根據相關於本發明的半導體裝置的製造方法、基板處理裝置及記錄媒體,可以在低溫區域形成含特定元素、氧、碳及氮的薄膜時,可以抑制成膜速度的降低,抑制介電率的增加。
121‧‧‧控制器
200‧‧‧晶圓
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
231‧‧‧排氣管
232a‧‧‧第1氣體供給管
232b‧‧‧第2氣體供給管
232c‧‧‧第3氣體供給管
232d‧‧‧第4氣體供給管
圖1係在本實施型態適切地使用的基板處理裝置之縱型處理爐的概略構成圖,以縱剖面圖顯示處理爐部分之圖。
圖2係在本實施型態適切地使用的基板處理裝置之縱型處理爐的概略構成圖,以圖1的A-A線剖面圖顯示處理爐部分之圖。
圖3係在本實施型態適切地使用的基板處理裝置之控制器的概略構成圖。
圖4(a)係顯示本實施型態的第1序列之氣體供給的時序之圖,(b)顯示其變形例之氣體供給的時序之圖。
圖5(a)係顯示本實施型態的第2序列之氣體供給的時序之圖,(b)顯示其變形例之氣體供給的時序之圖。
圖6(a)係顯示實施例之氣體供給的時序之圖,(b)顯示參考例之氣體供給的時序之圖。
圖7係顯示關於實施例1之SiOCN膜的組成之評估 結果之圖。
圖8係顯示關於實施例2之SiOCN膜的組成之評估結果之圖。
圖9係顯示關於參考例1之SiOCN膜的組成之評估結果之圖。
圖10係顯示關於參考例2之SiOCN膜的組成之評估結果之圖。
以下,根據圖面說明本發明之實施型態。
(1)基板處理裝置的構成
圖1係在本實施型態適切地使用的基板處理裝置之縱型處理爐的概略構成圖,以縱剖面圖顯示處理爐202部分。圖2係在本實施型態適切地使用的縱型處理爐的概略構成圖,以圖1的A-A線剖面圖顯示處理爐202部分。又,本發明不限相關於本實施型態的基板處理裝置,亦可適切地適用於具有叢集式(cluster type)、熱壁(Hot Wall)型、冷壁(Cold Wall)型的處理爐之基板處理裝置。
如圖1所示,處理爐202具有作為加熱手段(加熱機構)的加熱器207。加熱器207為圓筒形狀,藉由被支撐於作為保持板的加熱器座(未圖示)而垂直地被安裝。又,加熱器207,亦如後所述作為以熱活化氣體的 活化機構而發揮機能。
於加熱器207的內側,與加熱器207成同心圓狀地被配置構成反應容器(處理容器)的反應管203。反應管203,例如由石英(SiO2)或碳化矽(SiC)等耐熱性材料所構成,被形成為上端閉塞而下端開口的圓筒形狀。於反應管203的管中空部被形成處理室201,被構成為以能夠把作為基板的晶圓200藉由後述之舟(boat)217而以水平姿勢多段排列於垂直方向上的狀態來收容。
於處理室201內,第1噴嘴249a、第2噴嘴249b、第3噴嘴249c、第4噴嘴249d以貫通反應管203的下部的方式設置。於第1噴嘴249a、第2噴嘴249b、第3噴嘴249c、第4噴嘴249d,分別被連接著第1氣體供給管232a、第2氣體供給管232b、第3氣體供給管232c、第4氣體供給管232d。如此,於反應管203設有4根噴嘴249a,249b,249c,249d,與4根氣體供給管232a,232b,232c,232d,以可以往處理室201內供給複數種類,在此為4種類的氣體的方式構成的。
又,於反應管203的下方,設有支撐反應管203的金屬製的歧管,以貫通此金屬製的歧管的側壁的方式設置各噴嘴亦可。在此場合,於此金屬製的歧管,進而設置後述的排氣管231亦可。又,即使在此場合,不把排氣管231設於金屬製的歧管,而設在反應管203的下部亦可。如此,使處理爐202的爐口部為金屬製,於此金屬製的爐口部安裝噴嘴等亦可。
於第1氣體供給管232a,由上游方向起依序設有流量控制器(流量控制部)之質量流量控制器(MFC)241a,及開閉閥之閥243a。此外,在比第1氣體供給管232a的閥243a更為下游側,被連接著第1惰性氣體供給管232e。於此第1惰性氣體供給管232e,由上游方向起依序設有流量控制器(流量控制部)之質量流量控制器241e,及開閉閥之閥243e。此外,於第1氣體供給管232a之先端部,被連接著前述第1噴嘴249a。第1噴嘴249a,於反應管203的內壁與晶圓200之間之圓弧狀的空間,由反應管203的內壁下部沿往上部,朝向晶圓200的積載方向上方以立起的方式設置。亦即,第1噴嘴249a,於晶圓200排列的晶圓排列區域的側方之水平包圍晶圓排列區域的區域,以沿著晶圓排列區域的方式設置。第1噴嘴249a被構成為L字形的長噴嘴,其水平部以貫通反應管203的下部側壁的方式設置,其垂直部以至少由晶圓排列區域的一端側朝向另一端側立起的方式設置。於第1噴嘴249a的側面設有供給氣體的氣體供給孔250a。氣體供給孔250a以朝向反應管203的中心的方式開口,可以朝向晶圓200供給氣體。此氣體供給孔250a,由反應管203的下部跨至上部設有複數個,分別具有相同的開口面積,進而以相同的開口間距設置。主要藉由第1氣體供給管232a、質量流量控制器241a、閥243a構成第1氣體供給系統。又,亦可考慮將第1噴嘴249a包含於第1氣體供給系統。此外,主要藉由第1惰性氣體供給管 232e、質量流量控制器241e、閥243e構成第1惰性氣體供給系統。第1惰性氣體供給系統亦作為清洗氣體供給系統而發揮機能。
於第2氣體供給管232b,由上游方向起依序設有流量控制器(流量控制部)之質量流量控制器(MFC)241b,及開閉閥之閥243b。此外,在比第2氣體供給管232b的閥243b更為下游側,被連接著第2惰性氣體供給管232f。於此第2惰性氣體供給管232f,由上游方向起依序設有流量控制器(流量控制部)之質量流量控制器241f,及開閉閥之閥243f。此外,於第2氣體供給管232b之先端部,被連接著前述第2噴嘴249b。第2噴嘴249b,於反應管203的內壁與晶圓200之間之圓弧狀的空間,由反應管203的內壁下部沿往上部,朝向晶圓200的積載方向上方以立起的方式設置。亦即,第2噴嘴249b,於晶圓200排列的晶圓排列區域的側方之水平包圍晶圓排列區域的區域,以沿著晶圓排列區域的方式設置。第2噴嘴249b被構成為L字形的長噴嘴,其水平部以貫通反應管203的下部側壁的方式設置,其垂直部以至少由晶圓排列區域的一端側朝向另一端側立起的方式設置。於第2噴嘴249b的側面設有供給氣體的氣體供給孔250b。氣體供給孔250b以朝向反應管203的中心的方式開口,可以朝向晶圓200供給氣體。此氣體供給孔250b,由反應管203的下部跨至上部設有複數個,分別具有相同的開口面積,進而以相同的開口間距設置。主要藉由第2氣體 供給管232b、質量流量控制器241b、閥243b構成第2氣體供給系統。又,亦可考慮將第2噴嘴249b包含於第2氣體供給系統。此外,主要藉由第2惰性氣體供給管232f、質量流量控制器241f、閥243f構成第2惰性氣體供給系統。第2惰性氣體供給系統亦作為清洗氣體供給系統而發揮機能。
於第3氣體供給管232c,由上游方向起依序設有流量控制器(流量控制部)之質量流量控制器(MFC)241c,及開閉閥之閥243c。此外,在比第3氣體供給管232c的閥243c更為下游側,被連接著第3惰性氣體供給管232g。於此第3惰性氣體供給管232g,由上游方向起依序設有流量控制器(流量控制部)之質量流量控制器241g,及開閉閥之閥243g。此外,於第3氣體供給管232c之先端部,被連接著前述第3噴嘴249c。第3噴嘴249c,於反應管203的內壁與晶圓200之間之圓弧狀的空間,由反應管203的內壁下部沿往上部,朝向晶圓200的積載方向上方以立起的方式設置。亦即,第3噴嘴249c,於晶圓200排列的晶圓排列區域的側方之水平包圍晶圓排列區域的區域,以沿著晶圓排列區域的方式設置。第3噴嘴249c被構成為L字形的長噴嘴,其水平部以貫通反應管203的下部側壁的方式設置,其垂直部以至少由晶圓排列區域的一端側朝向另一端側立起的方式設置。於第3噴嘴249c的側面設有供給氣體的氣體供給孔250c。氣體供給孔250c以朝向反應管203的中心的方式開口, 可以朝向晶圓200供給氣體。此氣體供給孔250c,由反應管203的下部跨至上部設有複數個,分別具有相同的開口面積,進而以相同的開口間距設置。主要藉由第3氣體供給管232c、質量流量控制器241c、閥243c構成第3氣體供給系統。又,亦可考慮將第3噴嘴249c包含於第3氣體供給系統。此外,主要藉由第3惰性氣體供給管232g、質量流量控制器241g、閥243g構成第3惰性氣體供給系統。第3惰性氣體供給系統亦作為清洗氣體供給系統而發揮機能。
於第4氣體供給管232d,由上游方向起依序設有流量控制器(流量控制部)之質量流量控制器(MFC)241d,及開閉閥之閥243d。此外,在比第4氣體供給管232d的閥243d更為下游側,被連接著第4惰性氣體供給管232h。於此第4惰性氣體供給管232h,由上游方向起依序設有流量控制器(流量控制部)之質量流量控制器241h,及開閉閥之閥243h。此外,於第4氣體供給管232d之先端部,被連接著前述第4噴嘴249d。第4噴嘴249d,設於氣體分散空間之緩衝室237內。
緩衝室237,在反應管203的內壁與晶圓200之間之圓弧狀的空間,或者在由反應管203內壁的下部跨越至上部的部分,沿著晶圓200的積載方向設置。亦即,緩衝室237,於晶圓排列區域的側方之水平包圍晶圓排列區域的區域,以沿著晶圓排列區域的方式設置。在與緩衝室237的晶圓200鄰接之壁的端部,設有供給氣體的氣體 供給孔250e。氣體供給孔250e以朝向反應管203的中心的方式開口,可以朝向晶圓200供給氣體。此氣體供給孔250e,由反應管203的下部跨至上部設有複數個,分別具有相同的開口面積,進而以相同的開口間距設置。
第4噴嘴249d,在與設置了緩衝室237的氣體供給孔250e的端部相反側的端部,以由反應管203的內壁的下部沿著往上部,朝向晶圓200的積載方向上方立起的方式設置。亦即,第4噴嘴249d,於晶圓200排列的晶圓排列區域的側方之水平包圍晶圓排列區域的區域,以沿著晶圓排列區域的方式設置。第4噴嘴249d被構成為L字形的長噴嘴,其水平部以貫通反應管203的下部側壁的方式設置,其垂直部以至少由晶圓排列區域的一端側朝向另一端側立起的方式設置。於第4噴嘴249d的側面設有供給氣體的氣體供給孔250d。氣體供給孔250d以朝向緩衝室237中心的方式開口。此氣體供給孔250d,與緩衝室237的氣體供給孔250e同樣,由反應管203的下部橫跨至上部設有複數個。此複數氣體供給孔250d之分別的開口面積,在緩衝室237內與處理室201內的差壓很小的場合,由上游側(下部)至下游側(上部)為止,分別採用相同的開口面積相同的開口間距即可,但在差壓大的場合,由上游側朝向下游側分別採用增大開口面積,或縮小開口間距為佳。
於本實施型態,使第4噴嘴249d的氣體供給孔250d之分別的開口面積或開口間距,由上游側起至下 游側依照前述方式調節,首先,由氣體供給孔250d之各個噴出流速有所差異但流量大致相同的氣體。接著使由此氣體供給孔250d之各個所噴出的氣體,一度導入緩衝室237內,於緩衝室237內進行氣體的流速差之均一化。亦即,由第4噴嘴249d之氣體供給孔250d之各個往緩衝室237內噴出的氣體在緩衝室237內使各氣體的粒子速度緩和之後,由緩衝室237的氣體供給孔250e往處理室201內噴出。藉此,由第4噴嘴249d的氣體供給孔250d之各個往緩衝室237內噴出的氣體,由緩衝室237的氣體供給孔250e之各個往處理室201內噴出時,成為具有均一流量與流速的氣體。
主要藉由第4氣體供給管232d、質量流量控制器241d、閥243d構成第4氣體供給系統。又,亦可考慮將第4噴嘴249d及緩衝室237包含於第4氣體供給系統。此外,主要藉由第4惰性氣體供給管232h、質量流量控制器241h、閥243h構成第4惰性氣體供給系統。第4惰性氣體供給系統亦作為清洗氣體供給系統而發揮機能。
如此,本實施型態之氣體供給的方法,經由在以反應管203的內壁,與被積載的複數枚晶圓200的端部所定義的圓弧狀的縱長的空間內配置的噴嘴249a,249b,249c,249d以及緩衝室237而搬送氣體,由開口於噴嘴249a,249b,249c,249d及緩衝室237的氣體供給孔250a,250b,250c,250d,250e在晶圓200的附近 首先對反應管203內噴出氣體,使反應管203內之氣體的主要流動成為與晶圓200的表面平行的方向,亦即為水平方向。藉由採這樣的構成,可以對各晶圓200均勻地供給氣體,具有可以使被形成於各晶圓200的薄膜的膜厚為均一的效果。又,反應後的殘留氣體,朝向排氣口,亦即朝向後述的排氣管231的方向流動,但此殘留氣體的流動方向,隨著排氣口的位置不同而可適當地特定,不限於垂直方向。
由第1氣體供給管232a,作為含特定元素的氣體,例如包含矽烷系氣體等的矽原料氣體,亦即,含有作為特定元素之矽(Si)的氣體(含矽氣體),透過質量流量控制器241a、閥243a、第1噴嘴249a被供給至處理室201內。作為含矽氣體,例如可以使用六氯乙矽烷(Si2Cl6,簡稱HCDS)氣體。又,使用如HCDS那樣在常溫常壓下為液體狀態的液體原料的場合,使液體原料藉由氣化器或鼓泡器(bubbler)等氣化系統來氣化,而作為原料氣體(HCDS氣體)供給。
由第2氣體供給管232b,含碳氣體,亦即,含碳(C)的氣體,透過質量流量控制器241b、閥243b、第2噴嘴249b供給至處理室201內。作為含碳氣體,例如可以使用丙烯(C3H6)氣體等碳化氫系的氣體。
由第3氣體供給管232c,氧化氣體,亦即,含氧(O)的氣體(含氧氣體),透過質量流量控制器241c、閥243c、第3噴嘴249c供給至處理室201內。作 為氧化氣體,例如可以使用氧(O2)氣體。
由第4氣體供給管232d,氮化氣體,亦即,含氮(N)的氣體(含氮氣體),透過質量流量控制器241d、閥243d、第4噴嘴249d、緩衝室237供給至處理室201內。作為氮化氣體,例如可以使用氨(NH3)氣體。
由惰性氣體供給管,232e,232f,232g,232h,例如氮(N2)氣體,分別透過質量流量控制器241e,241f,241g,241h,閥243e,243f,243g,243h、氣體供給管232a,232b,232c,232d、噴嘴249a,249b,249c,249d、及緩衝室237供給至處理室201內。
又,例如由各氣體供給管分別流通如前所述的氣體的場合,藉由第1氣體供給系統構成含特定元素的氣體供給系統,亦即,含矽氣體供給系統(矽烷氣體供給系統)。此外,藉由第2氣體供給系統,構成含碳氣體供給系統,亦即碳化氫系氣體供給系統。此外,藉由第3氣體供給系統,構成氧化氣體供給系統,亦即含氧氣體供給系統。此外,藉由第4氣體供給系統,構成氮化氣體供給系統,亦即含氮氣體供給系統。又,也把含特定元素的氣體供給系統稱為原料氣體供給系統,或者簡稱原料供給系統。此外,總稱含碳氣體、氧化氣體及氮化氣體稱為反應氣體的場合,藉由含碳氣體供給系統,氧化氣體供給系統及氮化氣體供給系統構成反應氣體供給系統。
於緩衝室237內,如圖2所示,由反應管203 的下部跨至上部沿著晶圓200的層積方向配設具有細長構造的第1電極之第1棒狀電極269及第2電極之第2棒狀電極270。第1棒狀電極269及第2棒狀電極270之各個,設為與第4噴嘴249d平行。第1棒狀電極269及第2棒狀電極270之各個,從上部跨至下部藉由保護各電極的保護管之電極保護管275來覆蓋而保護。此第1棒狀電極269或第2棒狀電極270之任一方,透過整合器272與高頻電源273連接,另一方連接基準電位之接地。藉由透過整合器272由高頻電源273對第1棒狀電極269及第2棒狀電極270間施加高頻電力,在第1棒狀電極269及第2棒狀電極270間的電漿生成區域224產生電漿。主要藉由第1棒狀電極269、第2棒狀電極270、電極保護管275構成作為電漿產生器(電漿發生部)之電漿源。又,亦可考慮把整合器272、高頻電源273包含於電漿源。又,電漿源,亦如後所述作為以電漿活化(激發)氣體的活化機構(激發部)而發揮機能。
電極保護管275,係分別使第1棒狀電極269及第2棒狀電極270在與緩衝室237內的氛圍隔離的狀態下插入緩衝室237內的構造。此處,電極保護管275的內部的氧濃度與外氣(大氣)的氧濃度為同程度的話,分別被插入電極保護管275內的第1棒狀電極269及第2棒狀電極270,會因為加熱器207的熱而氧化。在此,藉由在電極保護管275內部填充氮氣氣體等惰性氣體,或者使電極保護管275的內部使用惰性氣體清掃機構以氮氣氣體等 惰性氣體進行清掃,減低電極保護管275內部的氧濃度,以可防止第1棒狀電極269或第2棒狀電極270的氧化的方式來構成。
於反應管203,設有排氣處理室201內的氛圍之排氣管231。於排氣管231,透過作為檢測出處理室201內的壓力的壓力檢測器(壓力檢測部)之壓力感測器245以及作為壓力調整器(壓力調整部)之APC(自動壓力控制器;Auto Pressure Controller)閥244,被連接著作為真空排氣裝置之真空泵246。又,APC閥244,係以藉由在使真空泵246動作的狀態下開閉閥,可以進行處理室201內的真空排氣及停止真空排氣,進而,藉由在使真空泵246動作的狀態調節閥的開度,可以調節處理室201內的壓力的方式構成之閥。主要藉由排氣管231、APC閥244、壓力感測器245構成排氣系統。又,亦可考慮把真空泵246包含於排氣系統。排氣系統,係以使真空泵246動作,同時根據藉由壓力感測器245檢測出的壓力資訊,調節APC閥244的閥的開度,使處理室201內的壓力成為特定的壓力(真空度)而進行真空排氣的方式構成的。
在反應管203的下方,設有作為可以氣密地閉塞反應管203的下端開口的爐口蓋體之密封蓋219。密封蓋219以由垂直方向下側抵接於反應管203的下端的方式構成。密封蓋219例如由不銹鋼等金屬所構成,被形成為圓盤狀。密封蓋219的上面設有與反應管203的下端抵接的作為密封構件之O環220。在密封蓋219之與處理室 201相反側,設置使作為後述的基板保持具之舟皿(boat)217旋轉的旋轉機構267。旋轉機構267的旋轉軸255貫通密封蓋219而被連接於舟皿217。旋轉機構267係以藉由使舟皿217旋轉而使晶圓200旋轉的方式構成。密封蓋219,係以可以藉由垂直設置於反應管203的外部的升降機構之舟皿升降機115而在垂直方向上升降的方式構成的。舟皿升降機115,以可以藉由使密封蓋219升降,而把舟皿217搬入及搬出處理室201內外的方式構成的。亦即,舟皿升降機115,被構成為把舟皿217亦即晶圓200,搬送於處理室201內外的搬送裝置(搬送機構)。
作為基板支撐具之舟皿217,例如由石英或碳化矽等耐熱性材料來構成,使複數枚晶圓200以水平的姿勢,而且在使相互的中心在排整齊的狀態排列而多段地支撐的方式構成的。又,在舟皿217的下部,設有例如由石英或碳化矽等耐熱性材料所構成的絕熱構件218,以不容易使來自加熱器207的熱傳送到密封蓋219側的方式構成。又,絕熱構件218,亦可藉由石英或碳化矽等耐熱性材料所構成的複數枚絕熱板,以及使這些絕熱板以水平姿勢多段地支撐之絕熱板保持具來構成。
於反應管203內設置有作為溫度檢測器之溫度感測器263,以根據藉由溫度感測器263檢測出的溫度資訊調整往加熱器207通電的程度,使處理室201內的溫度成為所要的溫度分布的方式構成。溫度感測器263,與 噴嘴249a,249b,249c,249d同樣被構成為L字形,沿著反應管203的內壁設置。
如圖3所示,控制部(控制手段)之控制器121,被構成為具備CPU(中央處理單元;Central Processing Unit)121a、RAM(隨機存取記憶體;Random Access Memory)121b、記憶裝置121c、I/O埠121d之電腦。RAM121b、記憶裝置121c、I/O埠121d係以可以透過內部匯流排121e,而與CPU121a進行資料交換的方式構成的。於控制器121,例如被連接著被構成為觸控面板等的輸出入裝置122。
記憶裝置121c,例如以快閃記憶體,HDD(硬碟;Hard Disk Drive)等來構成。於記憶裝置121c內,控制基板處理裝置的動作之控制程式,或是記載著後述的基板處理的步驟或條件的製程處方等,被可讀寫地收容著。又,製程處方,係以能夠使控制器121執行後述的基板處理步驟之各程序,而得到特定的結果的方式組合者,作為程式而發揮機能。以下,亦總稱此製程處方或控制程式等,而簡稱為程式。又,於本說明書使用程式一詞,有僅包含製程處方單體的場合,有僅包含控制程式單體的場合,也有包含雙方的場合。此外,RAM121b被構成為暫時保存藉由CPU121a讀出的電腦程式或資料等的記憶體區域(工作區域)。
I/O埠121d,被連接於前述之質量流量控制器241a,241b,241c,241d,241e,241f,241g,241h、閥 243a,243b,243c,243d,243e,243f,243g,243h、壓力感測器245、APC閥244、真空泵246、加熱器207、温度感測器263、高頻電源273、整合器272、旋轉機構267、舟皿升降機115等。
CPU121a,係以由記憶裝置121c讀出控制程式並執行,同時因應於來自輸出入裝置122的操作指令的輸入等而由記憶裝置121c讀出製程處方的方式構成的。接著,CPU121a,係以依照讀出的製程處方的內容,控制:根據質量流量控制器241a,241b,241c,241d,241e,241f,241g,241h進行各種氣體的流量調整動作、閥243a,243b,243c,243d,243e,243f,243g,243h的開閉動作、APC閥244的開閉動作以及根據壓力感測器245進行根據APC閥244的壓力調整動作、真空泵246的起動及停止、根據溫度感測器263之加熱器207的溫度調整動作、根據旋轉機構267之舟皿217的旋轉及旋轉速度調節動作、根據舟皿升降機115之舟皿217的升降動作、高頻電源273的電力供給、根據整合器272之阻抗調整動作等的方式構成的。
又,控制器121,不限於被構成為專用的電腦的場合,亦可被構成為泛用的電腦。例如,可以準備收容前述程式的外部記憶裝置(例如,磁帶,磁碟片或硬碟等磁碟,CD或DVD等光碟,MO等光磁碟,USB記憶體或記憶卡等半導體記憶體)123,藉由使用相關的外部記憶裝置123在泛用電腦安裝程式等,而構成相關於本實施型 態的控制器121。又,供對電腦供給程式的手段,不限於透過外部記憶裝置123供給的場合。例如,使用網際網路或專用電路等通訊手段,不透過外部記憶裝置123而供給程式亦可。又,記憶裝置121c或外部記憶裝置123,被構成為電腦可讀取的記錄媒體。以下,亦將這些總稱為記錄媒體。又,於本說明書使用記錄媒體一詞,有僅包含記憶裝置121c單體的場合,有僅包含外部記憶裝置123單體的場合,也有包含雙方的場合。
(2)基板處理步驟
其次,使用前述之基板處理裝置之處理爐,作為半導體裝置(裝置;device)之製造步驟的一個步驟,針對在基板上形成薄膜的序列例進行說明。又,於以下的說明,構成基板處理裝置的各部的動作藉由控制器121來控制。
又,在本實施型態,以使形成的薄膜的組成比成為化學量論組成,或者成為與化學量論組成不同的特定的組成比的方式為目的,控制包含構成形成的薄膜的複數元素之複數種類的氣體的供給條件。例如,以使構成形成的薄膜之複數元素之中至少1個元素比起其他元素在化學量論組成上成為過剩為目的,控制供給條件。以下,針對構成形成的薄膜的複數元素之比率,亦即控制薄膜的組成比而進行成膜的序列例來進行說明。
(第1序列)
首先,說明本實施型態之第1序列。
圖4(a)係顯示本實施型態的第1序列之氣體供給的時序之圖。
在本實施型態之第1序列,藉由在進行對晶圓200供給氮化氣體的步驟之後,依序進行 對晶圓200供給含碳的氣體的步驟、對晶圓200供給含特定元素的氣體的步驟、對晶圓200供給含碳的氣體的步驟、對晶圓200供給氧化氣體的步驟、以及對晶圓200供給氮化氣體的步驟 之循環(cycle)進行特定回數,以在晶圓200上,實施形成包含特定元素、氧、碳及氮的薄膜的步驟。
又,在形成薄膜的步驟,將循環進行特定回數以前,藉由對晶圓200供給氮化氣體,改質晶圓200的最表面。
此外,在形成薄膜的步驟,藉由對晶圓200供給含碳的氣體,於藉由氮化氣體改質的晶圓200的最表面之一部分形成第1含碳層,藉由對晶圓200供給含特定元素的氣體,藉由氮化氣體改質,在其一部分被形成第1含碳層的晶圓200的最表面上形成含特定元素層,藉由對晶圓200供給前述含碳的氣體,在含特定元素層上形成第2含碳層, 藉由對晶圓200供給氧化氣體,氧化包含第1含碳層、含特定元素層及第2含碳層之層,形成含特定元素、氧及碳之層,藉由對晶圓200供給氮化氣體,氮化含特定元素、氧及碳之層,形成含特定元素、氧、碳及氮之層,同時改質其最表面。
又,第1含碳層,係在藉由氮化氣體改質的晶圓200的最表面的一部分使吸附含碳氣體而形成的。具體而言,第1含碳層之至少一部分,係把吸附於藉由氮化氣體改質的晶圓200的最表面之至少一部分的氮化氣體的一部分置換為含碳氣體而形成。
以下具體說明本實施型態之第1序列。在此,說明作為含有特定元素的氣體使用含矽氣體之HCDS氣體,作為含碳氣體使用C3H6氣體,作為氧化氣體使用O2氣體,作為氮化氣體使用NH3氣體,藉由進行了圖4(a)的成膜序列,亦即,藉由把在進行供給NH3氣體的步驟之後,依序進行供給C3H6氣體的步驟,供給HCDS氣體的步驟,供給C3H6氣體的步驟,供給O2氣體的步驟,供給NH3氣體的步驟之循環進行特定回數的成膜序列,在晶圓200上形成包含矽、氧、碳及氮的氮化矽氧碳膜(SiOCN膜)之例。
又,於本說明書,使用「晶圓」一詞的場合,有意味著「晶圓本身」的場合,意味著「晶圓與被形成於其表面的特定層或膜等之層積體(集合體)」的場合 (亦即,包含被形成於表面的特定之層或膜等而稱為晶圓的場合)。又,於本說明書,使用「晶圓的表面」一詞的場合,有意味著「晶圓其自身的表面(露出面)」的場合,亦有意味著「被形成於晶圓上的特定層或膜等的表面,亦即作為層積體之晶圓的最表面」的場合。
亦即,於本說明書,記載著「對晶圓供給特定的氣體」的場合,意味著「對晶圓自身的表面(露出面)直接供給特定氣體」的場合,或者是意味著「對被形成於晶圓上的層或膜等,亦即對作為層積體的晶圓的最表面供給特定的氣體」的場合。此外,於本發明,記載著「於晶圓上形成特定之層(或者膜)」的場合,意味著「於晶圓自身的表面(露出面)上直接形成特定之層(或膜)」的場合,或者意味著「在被形成於晶圓上的層或膜等之上,亦即在作為層積體的晶圓的最表面之上形成特定之層(或膜)」的場合。
又,於本說明書,使用「基板」一詞的場合,也與使用「晶圓」一詞的場合同樣,只要於該場合,把前述說明之中的「晶圓」置換為「基板」即可通用。
(晶圓裝填及舟皿裝載)
複數枚晶圓200被裝填於舟皿217(晶圓裝填;wafer charge)時,如圖1所示,支撐複數枚晶圓200的舟皿217,藉由舟皿升降機115舉起被搬入處理室201內(舟皿裝載;boat load)。在此狀態,密封蓋219成為中介著 O環220密封住反應管203的下端的狀態。
(壓力調整及溫度調整)
以處理室201內成為所要的壓力(真空度)的方式藉由真空泵246進行真空排氣。此時,處理室201內的壓力以壓力感測器245測定,根據此測定的壓力資訊反饋控制APC閥244(壓力調整)。又,真空泵246,至少直到結束對晶圓200的處理結束為止之期間維持於總是在動作的狀態。此外,以使處理室201內成為所要的溫度的方式藉由加熱器207加熱。此時,以處理室201內成為所要的溫度分布的方式,根據溫度感測器263檢測出的溫度資訊反饋控制往加熱器207通電的程度(溫度調整)。又,根據加熱器207之處理室201內的加熱,至少直到對晶圓200之處理結束為止之期間內是繼續進行的。接著,根據旋轉機構267開始舟皿217及晶圓200的旋轉(晶圓旋轉)。又,根據旋轉機構267之舟皿217及晶圓200的旋轉,至少直到對晶圓200之處理結束為止之期間內是繼續進行的。
(氮化矽氧碳膜形成步驟)
接著,進行後述的表面改質步驟,其後,依序進行後述的5個步驟,亦即步驟1~5。
〔表面改質步驟〕 (NH3氣體供給)
打開第4氣體供給管232d的閥243d,使NH3氣體流往第4氣體供給管232d內。流動於第4氣體供給管232d內的NH3氣體,藉由質量流量控制器241d調整流量。被調整流量的NH3氣體,由第4噴嘴249d的氣體供給孔250d供給至緩衝室237內。此時,於第1棒狀電極269及第2棒狀電極270間不施加高頻電力。藉此,被供給至緩衝室237內的NH3氣體以熱活化,由氣體供給孔250e被供給置處理室201內,由排氣管231排氣。此時,成為對晶圓200供給以熱活化的NH3氣體。又,此時也可以在第1棒狀電極269及第2棒狀電極270間施加高頻電力,使被供給到緩衝室237內的NH3氣體以電漿活化而供給。在此場合,由高頻電源273對第1棒狀電極269及第2棒狀電極270間施加的高頻電力,例如設定為50~1000W的範圍內的電力。其他的處理條件,與以熱活化NH3氣體而供給的場合之處理條件(後述)是相同的。
與此同時打開閥243h,使N2氣體流往第4惰性氣體供給管232h內。流至第4惰性氣體供給管232h內的N2氣體,與NH3氣體一起透過緩衝室237往處理室201內供給,由排氣管231排氣。又,此時,為了防止NH3氣體往第1噴嘴249a、第2噴嘴249b、第3噴嘴249c內侵入,打開閥243e,243f,243g,使N2氣體流往第1惰性氣體供給管232e、第2惰性氣體供給管232f、第3惰性氣體供給管232g內。N2氣體,透過第1氣體供 給管232a、第2氣體供給管232b、第3氣體供給管232c、第1噴嘴249a、第2噴嘴249b、第3噴嘴249c被供給至處理室201內,由排氣管231排氣。
以熱活化NH3氣體而使其流動時,適切調整APC閥244,使處理室201內的壓力例如為1~6000Pa的範圍內之壓力。以質量流量控制器241d控制的NH3氣體的供給流量,例如為100~10000sccm的範圍內的流量。以質量流量控制器241h,241e,241f,241g控制的N2氣體的供給流量,分別為例如100~10000sccm的範圍內的流量。此時,處理室201內之NH3氣體的分壓例如為0.01~5941Pa的範圍內的壓力。對晶圓200供給NH3氣體的時間,亦即氣體供給時間(照射時間),例如為1~600秒的範圍內的時間。又,表面改質步驟之NH3氣體的氣體供給時間,以使其比後述的步驟5之NH3氣體的氣體供給時間更長為較佳。藉此,可以對成膜前的晶圓200的最表面,充分進行表面改質處理(後述)。此外,此時之加熱器207的溫度,係以晶圓200的溫度成為例如250~700℃,較佳為300~650℃的範圍內的溫度的方式來設定。NH3氣體反應溫度很高,在如前所述的晶圓溫度不容易反應,所以藉由使處理室201內的壓力為如前所述的比較高的壓力可以熱的方式進行活化。又,NH3氣體以熱活化而供給的方式,可以使其產生柔性的反應,能夠柔性地進行後述的表面改質。
藉由對晶圓200的最表面(形成SiOCN膜的 場合之下底面),供給被活化的NH3氣體,而改質晶圓200的最表面(表面改質處理)。具體而言,藉由在晶圓200的最表面吸附NH3氣體,於晶圓200的最表面,形成NH3氣體的吸附層。此外,此時,晶圓200的最表面與活化的NH3氣體反應而進行氮化,於晶圓200的最表面,進而形成具有Si-N鍵結之層,亦即,進而形成包含矽(Si)及氮(N)的氮化層(氮化矽層)。總之,於晶圓200的最表面,亦有形成NH3氣體的吸附層與氮化層雙方。
NH3氣體之吸附層,除了NH3氣體的氣體分子的連續的化學吸附層以外,也包含不連續的化學吸附層。亦即,NH3氣體的吸附層,包含以NH3氣體分子構成的1分子層或者未滿1分子層的厚度的化學吸附層。又,構成NH3氣體的吸附層的NH3分子,也包含N與H之鍵結有部分被切斷者(NxHy分子)。亦即,NH3氣體的吸附層,包含NH3氣體分子及/或NxHy分子的連續的化學吸附層或不連續的化學吸附層。此外,氮化層除了包含Si及N的連續的層以外,也包含不連續的層。亦即,氮化層,包含有含Si-N鍵結的未滿1原子層至數個原子層程度的厚度之層。又,所謂未滿1分子層的厚度之層意味著不連續地被形成的分子層,所謂1分子層的厚度之層意味著連續形成的分子層。此外,所謂未滿1原子層的厚度之層意味著不連續地被形成的原子層,所謂1原子層的厚度之層意味著連續形成的原子層。
表面改質處理後的晶圓200的最表面,於後述之步驟2成為被供給的HCDS氣體容易吸附而Si容易堆積的表面狀態。亦即,在表面改質步驟使用的NH3氣體,作為促進HCDS氣體或Si之往晶圓200的最表面之吸附或堆積的吸附及堆積促進氣體而發揮作用。
(除去殘留氣體)
其後,關閉第4氣體供給管232d的閥243d,停止NH3氣體的供給。此時,排氣管231的APC閥244維持打開,藉由真空泵246將處理室201內真空排氣,使殘留於處理室201內的未反應或者貢獻於晶圓200的表面改質之後的NH3氣體或反應副產物由處理室201內排除。又,此時,閥243h,243e,243f,243g維持打開,維持N2氣體之往處理室201內的供給。藉此,可以提高殘留於處理室201內的未反應或者貢獻於表面改質之後的NH3氣體或反應副產物由處理室201內排除的效果。
又,此時,未完全排除殘留於處理室201內的氣體亦可,不完全沖洗處理室201內亦可。殘留於處理室201內的氣體若為微量,不會對其後進行的步驟1產生不良影響。此時供給至處理室201內的N2氣體的流量也沒有必要是大流量,例如,藉由供給與反應管203(處理室201)的容積相同程度的量,可以進行在步驟1不會產生不良影響的程度之沖洗。如此般,藉由不完全沖洗處理室201內,可以縮短沖洗時間,提高生產率。此外,N2 氣體的消耗也可以抑制為最小限度。
作為氮化氣體,除了氨(NH3)氣體以外,亦可使用二亞胺(N2H2)氣體、聯氨(N2H4)氣體、N3H8氣體等。作為惰性氣體,除了N2氣體以外,亦可使用Ar氣、He氣、Ne氣、Xe氣等稀有氣體。
〔步驟1〕 (C3H6氣體供給)
表面改質步驟結束而除去處理室201內的殘留氣體之後,打開第2氣體供給管232b之閥243b,使C3H6氣體流往第2氣體供給管232b內。流動於第2氣體供給管232b內的C3H6氣體,藉由質量流量控制器241b調整流量。被調整流量的C3H6氣體,由第2噴嘴249b的氣體供給孔250b往處理室201內供給。被供給到處理室201內的C3H6氣體以熱活化,由排氣管231排氣。此時,成為對晶圓200供給以熱活化的C3H6氣體。
與此同時打開閥243f,使N2氣體流往第2惰性氣體供給管232f內。流至第2惰性氣體供給管232f內的N2氣體,與C3H6氣體一起往處理室201內供給,由排氣管231排氣。又,此時,為了防止C3H6氣體往第1噴嘴249a、第3噴嘴249c、第4噴嘴249d、緩衝室237內侵入,打開閥243e,243g,243h,使N2氣體流往第1惰性氣體供給管232e、第3惰性氣體供給管232g、第4惰性氣體供給管232h內。N2氣體,透過第1氣體供給管 232a、第3氣體供給管232c、第4氣體供給管232d、第1噴嘴249a、第3噴嘴249c、第4噴嘴249d、緩衝室237被供給至處理室201內,由排氣管231排氣。
此時,適當調整APC閥244,使處理室201內的壓力,成為例如1~6000Pa範圍內的壓力。以質量流量控制器241b控制的C3H6氣體的供給流量,例如為100~10000sccm的範圍內的流量。以質量流量控制器241f,241e,241g,241h控制的N2氣體的供給流量,分別為例如100~10000sccm的範圍內的流量。此時,處理室201內之C3H6氣體的分壓例如為0.01~5941Pa的範圍內的壓力。對晶圓200供給C3H6氣體的時間,亦即氣體供給時間(照射時間),例如為1~200秒,較佳為1~120秒,更佳為1~60秒的範圍內的時間。此時之加熱器207的溫度,係與表面改質步驟同樣,以晶圓200的溫度成為例如250~700℃,較佳為300~650℃的範圍內的溫度的方式來設定。又,C3H6氣體以熱活化而供給的方式,可以產生柔和的反應,後述的第1含碳層的形成變得容易。
藉著對晶圓200供給以熱活化的C3H6氣體,在改質步驟藉由NH3氣體改質的晶圓200的最表面的一部分,形成第1含碳層。第1含碳層之至少一部分,係把吸附於在表面改質步驟藉由NH3氣體改質的晶圓200的最表面之至少一部分的NH3氣體的一部分置換為C3H6氣體而形成。亦即,第1含碳層的至少一部分,係構成在表面改質步驟被形成於晶圓200的最表面的NH3氣體的吸附層之 NH3氣體的一部分,由藉由活化的C3H6氣體的能量而由晶圓200的最表面脫離,其後,在晶圓200的最表面之NH3氣體脫離的部分藉由C3H6氣體進行化學吸附而形成的。又,此時,不限於C3H6氣體的化學吸附層,亦有被形成C3H6分解的物質(CxHy)的化學吸附層或碳層(C層)的場合,這些層也可以考慮包含於第1含碳層的一部分。
又,此時,亦有不伴隨與NH3氣體之置換,亦即不伴隨著NH3氣體由晶圓200的最表面脫離,而在晶圓200的最表面的一部分吸附C3H6氣體。例如,在表面改質步驟被形成於晶圓200的最表面的NH3氣體的吸附層上,亦有對晶圓200供給的C3H6氣體的一部分吸附。此外,在表面改質步驟被形成於晶圓200的最表面的氮化層上,亦有對晶圓200供給的C3H6氣體的一部分吸附。此外,在未被形成NH3氣體的吸附層或氮化層的晶圓200的最表面的一部分,亦有對晶圓200供給的C3H6氣體的一部分吸附。如此般,於晶圓200的最表面的一部分,亦有不伴隨著與NH3氣體的置換而吸附C3H6氣體的場合,如此進行而形成的C3H6氣體的化學吸附層,也可認為包含於第1含碳層的一部分。此外,於此場合,也不限於C3H6氣體的化學吸附層,亦有被形成C3H6分解的物質(CxHy)的化學吸附層或碳層(C層)的場合,這些層也可以認為包含於第1含碳層的一部分。
又,在前述的處理條件下,伴隨著NH3氣體 的置換之C3H6氣體的吸附,不是構成NH3氣體的吸附層之NH3氣體全部,而僅限於伴隨著其一部分之置換(脫離)。亦即,構成NH3氣體的吸附層之NH3氣體,不是其全部被置換(脫離),而是一部分維持吸附的狀態。此外,不伴隨NH3氣體的置換之C3H6氣體的吸附,不會成為全面覆蓋晶圓200的最表面之連續的吸附(飽和吸附),而成為不連續的吸附(不飽和吸附)。因此,在步驟1形成的第1含碳層,成為未滿1分子層的厚度之層,亦即成為不連續之層,成為覆蓋在表面改質步驟藉由NH3氣體改質的晶圓200的最表面之一部分而已之層。亦即,在表面改質步驟藉由NH3氣體改質的晶圓200的最表面的一部分,在根據步驟1之第1含碳層的形成後,也成為不被第1含碳層覆蓋而維持露出的狀態,維持著於後述的步驟2供給的HCDS氣體容易吸附而Si容易堆積的表面狀態。
要使C3H6氣體往晶圓200的最表面的吸附狀態為不飽和狀態,只要使步驟1的處理條件為前述的處理條件即可,進而使步驟1的處理條件為以下的處理條件,可以使C3H6氣體之往晶圓200最表面的吸附狀態更容易成為不飽和狀態。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
C3H6氣體分壓:33~5177Pa
C3H6氣體供給流量:1000~10000sccm
N2氣體供給流量:300~3000sccm
C3H6氣體供給時間:6~200秒
(除去殘留氣體)
被形成第1含碳層後,關閉第2氣體供給管232b的閥243b,停止C2H6氣體的供給。此時,排氣管231的APC閥244維持打開,藉由真空泵246將處理室201內真空排氣,使殘留於處理室201內的未反應的C3H6氣體,或反應副產物,或由晶圓200的最表面脫離的NH3氣體由處理室201內排除。又,此時,閥243f,243e,243g,243h維持打開,維持作為惰性氣體的N2氣體之往處理室201內的供給。N2氣體作為沖洗氣體發揮作用,藉此,可以提高殘留於處理室201內的未反應的C3H6氣體或反應副產物,或由晶圓200的最表面脫離的NH3氣體由處理室201內排除的效果。
又,此時,未完全排除殘留於處理室201內的氣體亦可,不完全沖洗處理室201內亦可。殘留於處理室201內的氣體若為微量,不會對其後進行的步驟2產生不良影響。此時供給至處理室201內的N2氣體的流量也沒有必要是大流量,例如,藉由供給與反應管203(處理室201)的容積相同程度的量,可以進行在步驟2不會產生不良影響的程度之沖洗。如此般,藉由不完全沖洗處理室201內,可以縮短沖洗時間,提高生產率。此外,N2氣體的消耗也可以抑制為最小限度。
作為含碳氣體,除了丙烯(C3H6)氣體以外,亦可使用乙炔(C2H2)氣體或乙烯(C2H4)氣體等碳化氫系的氣體。
〔步驟2〕 (HCDS氣體供給)
步驟1結束而除去處理室201內的殘留氣體之後,打開第1氣體供給管232a之閥243a,使HCDS氣體流往第1氣體供給管232a內。流動於第1氣體供給管232a內的HCDS氣體,藉由質量流量控制器241a調整流量。被調整流量的HCDS氣體,由第1噴嘴249a的氣體供給孔250a往處理室201內供給,由排氣管231排氣。此時,成為對晶圓200供給HCDS氣體。
與此同時打開閥243e,使N2氣體等惰性氣體流往第1惰性氣體供給管232e內。流動於第1惰性氣體供給管232e內的N2氣體,藉由質量流量控制器241e調整流量。被調整流量的N2氣體,與HCDS氣體一起被供給至處理室201內,由排氣管231排氣。又,此時,為了防止HCDS氣體往第2噴嘴249b、第3噴嘴249c、第4噴嘴249d、緩衝室237內侵入,打開閥243f,243g,243h,使N2氣體流往第2惰性氣體供給管232f、第3惰性氣體供給管232g、第4惰性氣體供給管232h內。N2氣體,透過第2氣體供給管232b、第3氣體供給管232c、第4氣體供給管232d、第2噴嘴249b、第3噴嘴249c、 第4噴嘴249d、緩衝室237被供給至處理室201內,由排氣管231排氣。
此時,適當調整APC閥244,使處理室201內的壓力,成為例如1~13300Pa,較佳為20~1330Pa範圍內的壓力。以質量流量控制器241a控制的HCDS氣體的供給流量,例如為1~1000sccm的範圍內的流量。以質量流量控制器241e,241f,241g,241h控制的N2氣體的供給流量,分別為例如100~10000sccm的範圍內的流量。對晶圓200供給HCDS氣體的時間,亦即氣體供給時間(照射時間),例如為1~200秒,較佳為1~120秒,更佳為1~60秒的範圍內的時間。此時加熱器207的溫度,係與步驟1同樣的溫度,以在處理室201內CVD反應會產生的程度的溫度,亦即晶圓200的溫度,成為例如250~700℃,較佳為300~650℃的範圍內的溫度的方式來設定。又,晶圓200的溫度未滿250℃的話,HCDS不容易吸附於晶圓200上,無法得到實用的成膜速度。使晶圓200的溫度成為250℃以上的話,可以解消此情形。 又,使晶圓200的溫度為300℃以上,可以使HCDS更為充分地吸附於晶圓200上,可得到更充分的成膜速度。此外,晶圓200的溫度超過700℃的話,CVD反應變強(氣相反應成為支配反應),膜厚的均勻性容易惡化,其控制變得困難。藉由使晶圓200的溫度為700℃以下,可以抑制膜厚均勻性的惡化,其控制成為可能。特別是使晶圓200的溫度為650℃以下,表面反應成為支配反應,容易 確保膜厚均勻性,其控制變得容易。因此,晶圓200的溫度為250~700℃,較佳為300~650℃的範圍內的溫度。
藉由HCDS氣體的供給,於表面改質步驟藉由NH3氣體改質,其一部分被形成第1含碳層的晶圓200的最表面上,例如被形成未滿1原子層至數個原子層程度的厚度之含矽層。藉此,於晶圓200的最表面上,被形成包含矽及碳的第1層,亦即被形成包含第1含碳層及含矽層之層。含矽層亦可以是HCDS氣體的吸附層,亦可以是矽層(Si層),亦可以是包含雙方。但是含矽層,以包含矽(Si)及氯(Cl)之層為較佳。
此處所謂矽層,是除了由矽(Si)構成的連續之層以外,也包含不連續的層,或這些重疊而構成的矽薄膜之總稱。又,亦有把由Si構成的連續之層,稱為矽薄膜的場合。又,構成矽層的Si,也包含與Cl之鍵結未完全切斷者。
此外,HCDS氣體之吸附層,除了HCDS氣體的氣體分子的連續的化學吸附層以外,也包含不連續的化學吸附層。亦即,HCDS氣體的吸附層,包含以HCDS分子構成的1分子層或者未滿1分子層的厚度的化學吸附層。又,構成HCDS氣體的吸附層的HCDS(Si2Cl6)分子,也包含Si與Cl之鍵結有部分被切斷者(SixCly分子)。亦即,HCDS的吸附層,包含Si2Cl6分子及/或SixCly分子的連續的化學吸附層或不連續的化學吸附層。又,所謂未滿1原子層的厚度之層意味著不連續地被形成 的原子層,所謂1原子層的厚度之層意味著連續形成的原子層。此外,所謂未滿1分子層的厚度之層意味著不連續地被形成的分子層,所謂1分子層的厚度之層意味著連續形成的分子層。
在HCDS氣體自己分解(熱分解)的條件下,亦即在HCDS產生熱分解反應的條件下,藉由在晶圓200上堆積Si形成矽層。在HCDS氣體不自己分解(熱分解)的條件下,亦即在HCDS不產生熱分解反應的條件下,藉由在晶圓200上吸附HCDS氣體而形成HCDS氣體之吸附層。又,在晶圓200上形成矽層,比起在晶圓200上形成HCDS氣體的吸附層的成膜速度可以更為提高,所以較佳。
被形成於晶圓200上的含矽層的厚度超過數個原子層的話,後述的步驟4、5之改質的作用會無法達到含矽層全體。此外,可能形成於晶圓200上的含矽層的厚度的最小值為未滿1原子層。因此,含矽層的厚度以未滿1原子層直到數個原子層程度為較佳。又,藉由使含矽層厚度為1原子層以下,亦即1原子層或者未滿1原子層,可以相對提高在後述的步驟4、5的改質反應的作用,可以縮短步驟4、5的改質反應所需要的時間。也可以縮短步驟2之含矽層形成所需要的時間。結果,可以縮短每1循環之處理時間,可以縮短總處理時間。亦即,可以提高成膜速率。此外,藉由使含矽層厚度在1原子層以下,也可以提高膜厚均勻性的控制性。
(除去殘留氣體)
含矽層被形成後,關閉第1氣體供給管232a之閥243a,停止HCDS氣體的供給。此時,排氣管231的APC閥244維持打開,藉由真空泵246將處理室201內真空排氣,使殘留於處理室201內的未反應或者貢獻於含矽層形成之後的HCDS氣體或反應副產物由處理室201內排除。又,此時,閥243e,243f,243g,243h維持打開,維持作為惰性氣體的N2氣體之往處理室201內的供給。N2氣體作為沖洗氣體發揮作用,藉此,可以提高殘留於處理室201內的未反應或者貢獻於含矽層的形成之後的HCDS氣體或反應副產物由處理室201內排除的效果。
又,此時,未完全排除殘留於處理室201內的氣體亦可,不完全沖洗處理室201內亦可。殘留於處理室201內的氣體若為微量,不會對其後進行的步驟3產生不良影響。此時供給至處理室201內的N2氣體的流量也沒有必要是大流量,例如,藉由供給與反應管203(處理室201)的容積相同程度的量,可以進行在步驟3不會產生不良影響的程度之沖洗。如此般,藉由不完全沖洗處理室201內,可以縮短沖洗時間,提高生產率。此外,N2氣體的消耗也可以抑制為最小限度。
作為含矽氣體,除了六氯乙矽烷(Si2Cl6、簡稱:HCDS)氣體以外,不僅可以使用四氯矽烷亦即四氯化矽(SiCl4、簡稱:STC)氣體、三氯矽烷(SiHCl3、簡 稱:TCS)氣體、二氯矽烷(SiH2Cl2、簡稱:DCS)氣體、單氯矽烷(SiH3Cl、簡稱:MCS)氣體、單矽烷(SiH4)氣體等無機原料氣體,亦可使用氨基矽烷系之Tetrakis(dimethylamino)silane(Si〔N(CH3)24、簡稱:4DMAS)氣體、三(二甲胺基)矽烷(Si〔N(CH3)23H、簡稱:3DMAS)氣體、Bisdiethylamino silane(Si〔N(C2H5)22H2、簡稱:2DEAS)氣體、Bis(tertiarybutylamino)silane(SiH2〔NH(C4H9)〕2、簡稱:BTBAS)氣體等有機原料氣體。作為惰性氣體,除了N2氣體以外,亦可使用Ar氣、He氣、Ne氣、Xe氣等稀有氣體。
〔步驟3〕 (C3H6氣體供給)
步驟2結束除去處理室201內的殘留氣體後,對晶圓200供給以熱活化的C3H6氣體。此時的處理順序與處理條件,與前述步驟1之C3H6氣體供給時之處理順序與處理條件相同。
此時,流動於處理室201內的氣體為以熱活化的C3H6氣體,於處理室201內沒有HCDS氣體流動。亦即,C3H6氣體不會引起氣相反應,以被活化的狀態對晶圓200供給,此時,在步驟2作為被形成於晶圓200上的含矽層之上,作為第2含碳層,被形成未滿1分子層或者未滿1原子層的厚度的含碳層,亦即被形成不連續的含 碳層。藉此,於晶圓200的最表面上,被形成包含矽及碳的第2層,亦即被形成包含第1含碳層、含矽層及第2含碳層之層。又,隨著條件不同,亦有含矽層的一部分與在步驟3供給的C3H6氣體反應,藉著含矽層被改質(碳化),於晶圓200的最表面上,被形成包含矽及碳的第2層,亦即被形成包含第1含碳層及被改質(碳化)的含矽層之層的場合。
形成於含矽層之上的第2含碳層,亦可為含碳氣體(C3H6氣體)的化學吸附層,亦可為C3H6分解的物質(CxHy)之化學吸附層,亦可為碳層(C層)。此處,C3H6或CxHy之化學吸附層,必須是C3H6分子或CxHy分子之不連續的化學吸附層。此外,碳層必須是由碳構成的不連續的層。又,使形成於含矽層上的第2含碳層為連續的層的場合,例如使C3H6或CxHy之往含矽層上的吸附狀態為飽和狀態,於含矽層上形成C3H6或CxHy的連續的化學吸附層的場合,成為含矽層的表面全體藉由C3H6或CxHy的化學吸附層覆蓋。此場合,第2層(包含第1含碳層、含矽層及第2含碳層之層)的表面變成不存在矽,結果,會有在後述之步驟4之第2層的氧化反應,或者在後述之步驟5的第3層的氮化反應變得困難的情形。在如前所述的處理條件下,氮或氧會與矽結合,但是與碳不容易結合。為了在後述的步驟4或步驟5產生所要的氧化反應或氮化反應,有必要使C3H6或CxHy之往含矽層上的吸附狀態成為不飽和狀態,於第2層的表面為矽露 出的狀態。
要使C3H6或CxHy往含矽層上的吸附狀態為不飽和狀態,只要使步驟3的處理條件為前述的處理條件即可,進而使步驟3的處理條件為以下的處理條件,可以使C3H6或CxHy之往含矽層上的吸附狀態更容易成為不飽和狀態。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
C3H6氣體分壓:33~5177Pa
C3H6氣體供給流量:1000~10000sccm
N2氣體供給流量:300~3000sccm
C3H6氣體供給時間:6~200秒
(除去殘留氣體)
被形成第2含碳層後,關閉第2氣體供給管232b的閥243b,停止C3H6氣體的供給。此時,排氣管231的APC閥244維持打開,藉由真空泵246將處理室201內真空排氣,使殘留於處理室201內的未反應或者貢獻於第2含碳層形成之後的C3H6氣體或反應副產物由處理室201內排除。又,此時,閥243f,243e,243g,243h維持打開,維持作為惰性氣體的N2氣體之往處理室201內的供給。N2氣體作為沖洗氣體發揮作用,藉此,可以提高殘留於處理室201內的未反應或者貢獻於第2含碳層的形成之後的C3H6氣體或反應副產物由處理室201內排除的效 果。
又,此時,未完全排除殘留於處理室201內的氣體亦可,不完全沖洗處理室201內亦可。殘留於處理室201內的氣體若為微量,不會對其後進行的步驟4產生不良影響。此時供給至處理室201內的N2氣體的流量也沒有必要是大流量,例如,藉由供給與反應管203(處理室201)的容積相同程度的量,可以進行在步驟4不會產生不良影響的程度之沖洗。如此般,藉由不完全沖洗處理室201內,可以縮短沖洗時間,提高生產率。此外,N2氣體的消耗也可以抑制為最小限度。
作為含碳氣體,除了丙烯(C3H6)氣體以外,亦可使用乙炔(C2H2)氣體或乙烯(C2H4)氣體等碳化氫系的氣體。
〔步驟4〕 (O2氣體供給)
步驟3結束而除去處理室201內的殘留氣體之後,打開第3氣體供給管232c之閥243c,使O2氣體流往第3氣體供給管232c內。流動於第3氣體供給管232c內的O2氣體,藉由質量流量控制器241c調整流量。被調整流量的O2氣體,由第3噴嘴249c的氣體供給孔250c供給至處理室201內。被供給到處理室201內的O2氣體以熱活化,由排氣管231排氣。此時,成為對晶圓200供給以熱活化的O2氣體。
與此同時打開閥243g,使N2氣體流往第3惰性氣體供給管232g內。流至第3惰性氣體供給管232g內的N2氣體,與O2氣體一起往處理室201內供給,由排氣管231排氣。又,此時,為了防止O2氣體往第1噴嘴249a、第2噴嘴249b、第4噴嘴249d、緩衝室237內侵入,打開閥243e,243f,243h,使N2氣體流往第1惰性氣體供給管232e、第2惰性氣體供給管232f、第4惰性氣體供給管232h內。N2氣體,透過第1氣體供給管232a、第2氣體供給管232b、第4氣體供給管232d、第1噴嘴249a、第2噴嘴249b、第4噴嘴249d、緩衝室237被供給至處理室201內,由排氣管231排氣。
此時,適當調整APC閥244,使處理室201內的壓力,成為例如1~6000Pa範圍內的壓力。以質量流量控制器241c控制的O2氣體的供給流量,例如為100~10000sccm的範圍內的流量。以質量流量控制器241g,241e,241f,241h控制的N2氣體的供給流量,分別為例如100~10000sccm的範圍內的流量。此時,處理室201內之O2氣體的分壓例如為0.01~5941Pa的範圍內的壓力。對晶圓200供給O2氣體的時間,亦即氣體供給時間(照射時間),例如為1~200秒,較佳為1~120秒,更佳為1~60秒的範圍內的時間。此時之加熱器207的溫度,係與步驟1~3同樣,以晶圓200的溫度成為例如250~700℃,較佳為300~650℃的範圍內的溫度的方式來設定。O2氣體在如前所述的條件下以熱活化。又,O2 氣體以熱活化而供給的方式,可以使其產生柔性的反應,能夠柔性地進行後述的氧化。
此時,流動於處理室201內的氣體為以熱活化的O2氣體,於處理室201內沒有HCDS也沒有C3H6氣體流動。亦即,O2氣體不引起氣相反應,以被活化的狀態對晶圓200供給,與在步驟3被形成於晶圓200上的包含矽及碳的第2層(含第1含碳層、含矽層及第2含碳層之層)之至少一部分進行反應。藉此,第2層,非電漿地被熱方式氧化,變化(改質)成包含矽、氧及碳的第3層,亦即碳化矽氧層(SiOC層)。
此時,第2層的氧化反應不使其為飽和。例如,在步驟1形成未滿1原子層的厚度的第1含碳層,在步驟2形成數個原子層厚度的含矽層,在步驟3形成未滿1個原子層的厚度的第2含碳層的場合,使其表面層(表面之1原子層)之至少一部分氧化。在此場合,以不使第2層全體氧化的方式,第2層的氧化反應在成為不飽和的條件下進行氧化。又,隨著條件不同亦可氧化由第2層的表面層起往下數層,但是以僅氧化其表面層的方式,可以提高SiOCN膜的組成比的控制性所以較佳。此外,例如,在步驟1形成未滿1原子層的厚度的第1含碳層,在步驟2形成1原子層或者未滿1原子層厚度的含矽層,在步驟3形成未滿1個原子層的厚度的第2含碳層的場合,也同樣使其表面層之一部分氧化。在此場合,也以不使第2層全體氧化的方式,第2層的氧化反應在成為不飽和的 條件下進行氧化。
又,使第2層的氧化反應成為不飽和,只要使步驟4之處理條件為前述的處理條件即可,進而,藉由使步驟4的處理條件成為以下的處理條件,使第2層的氧化反應成為不飽和會變得容易。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
O2氣體分壓:12~5030Pa
O2氣體供給流量:1000~5000sccm
N2氣體供給流量:300~10000sccm
O2氣體供給時間:6~200秒
又,此時,特別是藉由提高O2氣體的稀釋率(使濃度降低),或是縮短O2氣體的供給時間,或是降低O2氣體的分壓的方式調整前述處理條件,可以使步驟4之氧化力適度降低,使第2層的氧化反應更容易成為不飽和,所以較佳。圖4(a)的成膜序列,舉例顯示藉由使在步驟4供給N2氣體的供給流量,比在其他步驟供給的N2氣體的供給流量更大,降低O2氣體的分壓,減低氧化力的模樣。
藉由降低步驟4之氧化力,於氧化的過程,抑制碳(C)由第2層中脫離變得容易。Si-O鍵結的鍵結能量比Si-C鍵結還要大,所以形成Si-O鍵結的話會有Si-C鍵結被切斷的傾向,但是藉由使步驟4之氧化力適度降低,於第2層中形成Si-O鍵結時,可以抑制Si-C鍵結 被切斷,要抑制與Si之鍵結被切斷的C由第2層脫離會變得容易。
此外,藉由使步驟4之氧化力降低,可以在氧化處理後的第2層,亦即第3層的最表面維持Si露出的狀態。藉由在第3層的最表面維持Si露出的狀態,於後述的步驟5,使第3層的最表面氮化變得容易。假設,橫跨第3層的最表面全體被形成Si-O鍵結或Si-C鍵結,在其最表面Si未露出的狀態下,於後述的步驟5的條件下會有Si-N鍵結不容易形成的傾向。然而,藉由在第3層的最表面維持Si露出的狀態,亦即藉由在第3層的最表面,使在後述的步驟5的條件下使可以與N鍵結的Si存在,形成Si-N鍵結變得容易。
(除去殘留氣體)
被形成第3層後,關閉第3氣體供給管232c的閥243c,停止O2氣體的供給。此時,排氣管231的APC閥244維持打開,藉由真空泵246將處理室201內真空排氣,使殘留於處理室201內的未反應或者貢獻於第3層的形成之後的O2氣體或反應副產物由處理室201內排除。又,此時,閥243g,243e,243f,243h維持打開,維持作為惰性氣體的N2氣體之往處理室201內的供給。N2氣體作為沖洗氣體發揮作用,藉此,可以提高殘留於處理室201內的未反應或者貢獻於第3層的形成之後的O2氣體或反應副產物由處理室201內排除的效果。
又,此時,未完全排除殘留於處理室201內的氣體亦可,不完全沖洗處理室201內亦可。殘留於處理室201內的氣體若為微量,不會對其後進行的步驟5產生不良影響。此時供給至處理室201內的N2氣體的流量也沒有必要是大流量,例如,藉由供給與反應管203(處理室201)的容積相同程度的量,可以進行在步驟5不會產生不良影響的程度之沖洗。如此般,藉由不完全沖洗處理室201內,可以縮短沖洗時間,提高生產率。此外,N2氣體的消耗也可以抑制為最小限度。
作為氧化氣體,除了氧(O2)氣體以外,亦可使用水蒸氣(H2O)氣體、一氧化氮(NO)氣體、一氧化二氮(N2O)氣體、二氧化氮(NO2)氣體、一氧化碳(CO)氣體、二氧化碳(CO2)氣體、臭氧(O3)氣體、氫(H2)氣體+O2氣體、H2氣體+O3氣體等。
〔步驟5〕 (NH3氣體供給)
步驟4結束除去處理室201內的殘留氣體後,對晶圓200供給以熱活化的NH3氣體。此時的處理順序與處理條件,與前述之表面改質步驟之NH3氣體供給時之處理順序與處理條件幾乎相同。但是,對晶圓200供給NH3氣體的時間,亦即氣體供給時間(照射時間),例如為1~200秒,較佳為1~120秒,更佳為1~60秒的範圍內的時間。又,於步驟5,NH3氣體也以熱活化而供給。NH3氣 體以熱活化而供給的方式,可以使其產生柔性的反應,能夠柔性地進行後述的氮化。但是,與前述的表面改質步驟同樣,NH3氣體也可以藉著電漿活化而供給。
此時,流動於處理室201內的氣體為以熱活化的NH3氣體,於處理室201內沒有HCDS也沒有C3H6氣體沒有O2氣體流動。亦即,NH3氣體不引起氣相反應,以被活化的狀態對晶圓200供給,與在步驟4被形成於晶圓200上的作為第3層之含有矽、氧及碳的層之至少一部分進行反應。藉此,第3層,非電漿地被熱方式氮化,變化(改質)成包含矽、氧、碳及氮的第4層,亦即氮化矽氧碳層(SiOCN層)。
此外,在藉著對晶圓200供給被活化的NH3氣體,使第3層被氮化的過程,第3層的最表面被改質(表面改質處理)。具體而言,藉著在第3層的最表面吸附NH3氣體,於第3層的最表面,亦即第4層的最表面,被形成NH3氣體的吸附層。此外,此時,第3層的最表面與活化的NH3氣體反應而進行氮化,於第3層的最表面,亦即第4層的最表面,進而形成具有Si-N鍵結之層,亦即,進而形成包含矽(Si)及氮(N)的氮化層(氮化矽層)。總之,於第3層的最表面,亦即,第4層的最表面,亦有形成NH3氣體的吸附層與氮化層雙方。
在氮化的過程被施以表面改質處理之後的第3層的最表面,亦即第4層的最表面,成為於接下來的步驟2被供給的HCDS氣體容易吸附而Si容易堆積的表面狀 態。亦即,在步驟5使用的NH3氣體,也作為促進次一循環之HCDS氣體或Si之往第4層的最表面(晶圓200的最表面)之吸附或堆積的吸附及堆積促進氣體而發揮作用。
又,此時,第3層的氮化反應不使其飽和。例如在步驟1~4形成了數個原子層的厚度之第3層的場合,使其表面層(表面之1原子層)的至少一部分氮化。在此場合,以不使第3層全體氮化的方式,第3層的氮化反應在成為不飽和的條件下進行氮化。又,隨著條件不同亦可氮化由第3層的表面層起往下數層,但是以僅氮化其表面層的方式,可以提高SiOCN膜的組成比的控制性所以較佳。此外,例如在步驟1~4形成了1原子層或未滿1原子層的厚度之第3層的場合,也同樣使其表面層的一部分氮化。在此場合,也以不使第3層全體氮化的方式,第3層的氮化反應在成為不飽和的條件下進行氮化。
又,使第3層的氮化反應成為不飽和,只要使步驟5之處理條件為前述的處理條件即可,進而,藉由使步驟5的處理條件成為以下的處理條件,使第3層的氮化反應成為不飽和會變得容易。
晶圓溫度:500~650℃
處理室內壓力:133~5332Pa
NH3氣體分壓:33~5030Pa
NH3氣體供給流量:1000~5000sccm
N2氣體供給流量:300~3000sccm
NH3氣體供給時間:6~200秒
(除去殘留氣體)
第4層被形成後,將處理室201內真空排氣,使殘留於處理室201內的未反應或者貢獻於第4層的形成之後的NH3氣體或反應副產物由處理室201內排除。此時的處理順序與處理條件,與前述之表面改質步驟之殘留氣體除去時之處理順序與處理條件相同。
作為氮化氣體,與表面改質步驟同樣,除了氨(NH3)氣體以外,亦可使用二亞胺(N2H2)氣體、聯氨(N2H4)氣體、N3H8氣體等。
把前述之步驟1~5作為1個循環,藉由將此循環進行1回以上,可以在晶圓200上形成特定膜厚的含矽、氧、碳及氮的薄膜,亦即,形成氮化矽氧碳膜(SiOCN膜)。又,前述循環以反覆複數回為佳。亦即,使每1回循環形成的SiOCN層的厚度比所要的膜厚更小,使前述循環反覆進行複數回直到成為所要的膜厚為較佳。
又,進行複數回循環的場合,於第2循環以後的步驟1,記載著「在表面改質步驟藉由NH3氣體改質的晶圓200的最表面的一部分,被形成第1含碳層」的部分,意味著「在步驟5藉由NH3氣體改質的第3層的最表面,亦即第4層的最表面的一部分,被形成第1含碳層」,記載著「第1含碳層的至少一部分,藉著在表面改 質步驟藉由NH3氣體改質的晶圓200的最表面之至少一部分所吸附的NH3氣體的一部分,被置換為C3H6氣體而形成」的部分,意味著「第1含碳層之至少一部分,藉由在步驟5藉由NH3氣體改質的第3層的最表面,亦即第4層的最表面之至少一部分所吸附的NH3氣體的一部分,被置換為C3H6氣體而形成」。
亦即,進行複數回循環的場合,於至少第2回以後的各步驟,記載著「對晶圓200供給特定的氣體」的部分,意味著「對被形成於晶圓200上的層,亦即對作為層積體的晶圓200的最表面供給特定的氣體」,記載著「於晶圓200上形成特定之層」的部分,意味著「在被形成於晶圓200上的層之上,亦即在作為層積體的晶圓200的最表面之上形成特定的層」。這一點如前所述。又,這一點,在後述之其他成膜序列或各變形例也是同樣的。
又,在本成膜序列,形成SiOCN膜時,把C3H6氣體,在每1循環分為2回(分為2個步驟)進行供給。亦即,在供給HCDS氣體的步驟2的前後分為2回(分為步驟1、3)供給C3H6氣體。藉此,可以控制SiOCN膜中的氮(N)濃度、碳(C)濃度。例如,可以使SiOCN膜中的N濃度降低,使C濃度上升。
此外,在本成膜序列,形成SiOCN膜時,藉由控制各步驟之處理室201內的壓力或氣體供給時間等處理條件,可以調整SiOCN層之各元素成分,亦即矽成分、氧成分、碳成分、氮成分的比例,亦即,可以調整矽 濃度、氧濃度、碳濃度、氮濃度,可以控制SiOCN膜的組成比。
例如,藉由控制步驟1之C3H6氣體的氣體供給時間,或者步驟1之處理室201內的C3H6氣體的分壓或濃度,可以控制由被改質的晶圓200之被形成於最表面(或者第4層的最表面)的NH3氣體的吸附層之NH3氣體的脫離量,或者往被改質的晶圓200的最表面(或者第4層的最表面)的C3H6氣體之吸附量。藉此,可以微調整SiOCN膜中的N濃度、C濃度。例如,可以藉由延長控制步驟1之C3H6氣體的氣體供給時間,或者提高步驟1之處理室201內的C3H6氣體的分壓或濃度,以增加由被改質的晶圓200之被形成於最表面(或者第4層的最表面)的NH3氣體的吸附層之NH3氣體的脫離量,或者增加往被改質的晶圓200的最表面(或者第4層的最表面)的C3H6氣體之吸附量。藉此,可以使SiOCN膜中的N濃度降低,使C濃度上升。但是,使步驟1之C3H6氣體的氣體供給時間太長的話,會使SiOCN膜的成膜速度降低。因此,步驟1之C3H6氣體的氣體供給時間,例如,與步驟3之C3H6氣體的氣體供給時間同等或者更短為較佳。
此外,例如,藉由控制在步驟3之C3H6氣體的氣體供給時間,或步驟3之處理室201內之C3H6氣體的分壓或濃度,可以控制步驟3之往晶圓200的最表面(或第1層的最表面)之C3H6氣體的吸附量,或步驟4 之氧化量。藉此,可以微調整SiOCN膜中的C濃度、O濃度。例如,藉由把步驟3之C3H6氣體的氣體供給時間或分壓或濃度調整為適切的值,使C3H6之往含矽層上的吸附狀態為適切的不飽和狀態,亦即,使第2含碳層為適切的不連續層,可以在第2層的表面維持矽適當露出的狀態,可以使步驟4之氧化反應適切地進行。
這些的結果,可以適切地控制SiOCN膜中的O濃度、C濃度、N濃度。例如,可以抑制SiOCN膜中的O濃度的降低,同時使N濃度降低,使C濃度上升。接著,例如即便使SiOCN膜的成膜溫度降低的場合,也可以抑制SiOCN膜的介電率的增加,甚至可以使其降低。
(沖洗及回到大氣壓)
進行了形成具有特定組成的特定膜厚的SiOCN膜之成膜處理後,N2等惰性氣體往處理室201內供給,排氣,使處理室201內以惰性氣體沖洗(氣體沖洗;gas purge)。其後,處理室201內的氛圍為惰性氣體所置換(惰性氣體置換),處理室201內的壓力回到常壓(返回大氣壓)。
(舟皿卸載及晶圓取出)
其後,藉由舟皿升降機115使密封蓋219下降,反應管203的下端被打開,同時已處理的晶圓200在被支撐於舟皿217的狀態由反應管203的下端搬出至反應管203的 外部(舟皿卸載;boat unload)。其後,已處理的晶圓200由舟皿217取出(晶圓取出;wafer discharge)。
(第2序列)
其次,說明本實施型態之第2序列。
圖5(a)係顯示本實施型態的第2序列之氣體供給的時序之圖。
在本實施型態之第2序列,藉由依序進行對晶圓200供給氮化氣體的步驟、對晶圓200供給含碳的氣體的步驟、對晶圓200供給含特定元素的氣體的步驟、對晶圓200供給含碳的氣體的步驟、對晶圓200供給氧化氣體的步驟之循環(cycle)進行特定回數,以在前述基板上,實施形成包含特定元素、氧、碳及氮的薄膜這一點與前述的第1序列不同。
此外,形成薄膜的步驟,在使前述循環進行特定回數之後,進而包含對晶圓200供給氮化氣體的步驟這一點,與前述的第1序列不同。
亦即,在本實施型態之第2序列,藉由依序在進行對晶圓200供給氮化氣體的步驟、對晶圓200供給含碳的氣體的步驟、對晶圓200供給含特定元素的氣體的步驟、 對晶圓200供給含碳的氣體的步驟、對晶圓200供給氧化氣體的步驟之循環(cycle)進行特定回數之後,藉由進行對晶圓200供給氮化氣體的步驟,在晶圓200上,形成包含特定元素、氧、碳及氮的薄膜這一點與前述的第1序列不同。
以下具體說明本實施型態之第2序列。在此,說明作為含有特定元素的氣體使用HCDS氣體,作為含碳氣體使用C3H6氣體,作為氧化氣體使用O2氣體,作為氮化氣體使用NH3氣體,藉由進行了圖5(a)的成膜序列,亦即,藉由依序進行供給NH3氣體的步驟,供給C3H6氣體的步驟,供給HCDS氣體的步驟,供給C3H6氣體的步驟,供給O2氣體的步驟之循環進行特定回數之後,進行供給NH3氣體的步驟之成膜序列,在晶圓200上形成包含矽、氧、碳及氮的氮化矽氧碳膜(SiOCN膜)之例。
(晶圓裝料~晶圓旋轉)
晶圓裝料、舟皿裝載、壓力調整、溫度調整、至晶圓旋轉為止,與第1序列同樣地進行。
(氮化矽氧碳膜形成步驟)
接著,把後述之5個步驟,亦即步驟1~5作為1個循環,將此循環進行1回以上以後,進行後述的氮化步驟。
〔步驟1〕
步驟1,與第1序列的表面改質步驟或者步驟5同樣地進行。在步驟1之處理順序及處理條件,與第1序列之表面改質步驟或在步驟5之處理順序及處理條件是同樣的。
又,於初回(第1回)的循環在步驟1產生的反應、形成的層等,與第1序列之表面改質步驟之各個是相同的。亦即,在此步驟,藉由對晶圓200的最表面(形成SiOCN膜時的下底面),供給被活化的NH3氣體,使晶圓200的最表面,變化(改質)為HCDS氣體容易吸附而Si容易堆積的表面狀態。總之,於晶圓200的最表面,形成NH3氣體的吸附層。進而,亦有含Si及N的氮化層被形成於晶圓200的最表面的場合。
此外,進行複數回循環的場合,於第2回以後的循環,在步驟1產生的反應、形成的層等,與第1序列之步驟5之各個是相同的。亦即,在此步驟,藉由往處理室201內的NH3氣體的供給,使在後述的步驟5形成的第3層的至少一部分氮化,在晶圓200上形成包含矽、氧、碳及氮的第4層。進而,在此步驟,藉由對第3層的表面,供給被活化的NH3氣體,使第3層被氮化而成的第4層的最表面,變化(改質)為HCDS氣體容易吸附而Si容易堆積的表面狀態。總之,於第4層的最表面,形成NH3氣體的吸附層。又,亦有進而在第4層的最表面同時 被形成NH3氣體的吸附層,以及含Si及N之氮化層的場合。
〔步驟2〕
步驟2,與第1序列的步驟1同樣地進行。於步驟2的處理順序、處理條件、產生的反應、形成的層等,與第1序列之步驟1之各個是相同的。亦即,在此步驟,藉由往處理室201內之C3H6氣體的供給,於藉由NH3氣體的供給而改質的晶圓200的最表面(或第4層的最表面)上,形成第1含碳層。
第1含碳層,成為未滿1分子層的厚度之層,亦即成為不連續之層,成為覆蓋在步驟1藉由NH3氣體改質的晶圓200的僅最表面(或者第4層的最表面)之一部分而已之層。亦即,在步驟1藉由NH3氣體改質的晶圓200的最表面(或第4層的最表面)的一部分,成為不被第1含碳層覆蓋而維持露出的狀態,維持著於後述的步驟3供給的HCDS氣體容易吸附而Si容易堆積的表面狀態。
〔步驟3〕
步驟3,與第1序列的步驟2同樣地進行。於步驟3的處理順序、處理條件、產生的反應、形成的層等,與第1序列之步驟2之各個是相同的。亦即,在此步驟,藉由往處理室201內之HCDS氣體的供給,於藉由NH3氣體的 供給而被改質,其一部分被形成第1含碳層的晶圓200的最表面(或者第4層的最表面)上,例如被形成未滿1原子層至數個原子層程度的厚度之含矽層。藉此,於晶圓200的最表面(或第4層的最表面)上,被形成包含矽及碳的第1層,亦即被形成包含第1含碳層及含矽層之層。
〔步驟4〕
步驟4,與第1序列的步驟3同樣地進行。於步驟4的處理順序、處理條件、產生的反應、形成的層等,與第1序列之步驟3之各個是相同的。亦即,在此步驟,藉由往處理室201內之C3H6氣體的供給,於在步驟3形成的含矽層上形成第2含碳層。藉此,於晶圓200的最表面(或第4層的最表面)上,被形成包含矽及碳的第2層,亦即被形成包含第1含碳層、含矽層及第2含碳層之層。
〔步驟5〕
步驟5,與第1序列的步驟4同樣地進行。於步驟5的處理順序、處理條件、產生的反應、形成的層等,與第1序列之步驟4之各個是相同的。亦即,在此步驟,藉由往處理室201內的O2氣體的供給,使第2層(包含第1含碳層、含矽層及第2含碳層之層)的至少一部分氧化,在晶圓200上形成包含矽、氧及碳的第3層。
以前述的步驟1~5為1循環,藉由將此循環進行1回以上,可以在晶圓200形成特定膜厚的SiOCN 膜。又,前述循環以反覆複數回為佳。亦即,使每1回循環形成的SiOCN層的厚度比所要的膜厚更小,使前述循環反覆進行複數回直到成為所要的膜厚為較佳。
又,在本成膜序列,形成SiOCN膜時,把C3H6氣體,在每1循環分為2回(分為2個步驟)進行供給。亦即,在供給HCDS氣體的步驟3的前後分為2回(分為步驟2、4)供給C3H6氣體。藉此,可以控制SiOCN膜中的氮(N)濃度、碳(C)濃度。
此外,在本成膜序列,形成SiOCN膜時,藉由控制各步驟之處理室201內的壓力或氣體供給時間等處理條件,可以調整SiOCN層之矽成分、氧成分、碳成分、氮成分的比例,可以控制SiOCN膜的組成比。例如,藉由控制步驟2之C3H6氣體的氣體供給時間,或步驟2之處理室201內的C3H6氣體的分壓或濃度,可以微調整SiOCN膜的N濃度、C濃度。此外,例如,藉由控制步驟4之C3H6氣體的氣體供給時間,或步驟4之處理室201內的C3H6氣體的分壓或濃度,可以微調整SiOCN膜的C濃度、O濃度。這些的結果,可以控制SiOCN膜中的O濃度、C濃度、N濃度。
又,於此階段形成的SiOCN膜的最表面,被形成第3層,亦即,SiOC層。
〔氮化步驟〕
以步驟1~5為1循環,將此循環進行特定回數之 後,實施氮化步驟。本步驟,與第1序列的步驟5同樣地進行。於本步驟的處理順序、處理條件、產生的反應、形成的層等,與第1序列之步驟5之各個是相同的。亦即,在此步驟,藉由往處理室201內之NH3氣體的供給,使於最終循環被形成於晶圓200的最表面的第3層(SiOC層)之至少一部分氮化,使第3層往第4層,亦即往SiOCN層變化(改質)。藉由此氮化步驟,SiOCN膜的最表面被適當地氮化而改質,SiOCN膜,成為由最下層至最上層為止被層積SiOCN層而成的膜。總之,SiOCN膜,於膜厚方向成為其組成均一的膜。
(氣體沖洗~晶圓取出)
進行了SiOCN膜的形成處理及SiOCN膜的最表面的改質處理後,氣體沖洗、惰性氣體置換、回復大氣壓、舟皿卸載、晶圓取出,與第1序列同樣地進行。
(3)相關於本實施型態之效果
根據本實施型態,可以發揮以下所示之1種或者複數種效果。
(a)根據本實施型態的話,於任一成膜序列,都在對晶圓200供給HCDS氣體形成含矽層的步驟之前,依序進行對晶圓200供給NH3氣體把晶圓200的最表面改質為HCDS氣體容易吸附而Si容易堆積的表面狀態的步驟,以及對晶圓200供給C3H6氣體於晶圓200的最 表面的一部分形成第1含碳層的步驟。接著,使第1含碳層,為覆蓋被改質的晶圓200的最表面之僅一部分之不連續的層。亦即,使藉由NH3氣體改質的晶圓200的最表面的一部分,為未以第1含碳層覆蓋而維持露出的狀態,維持HCDS氣體容易吸附Si容易堆積的表面狀態。此外,進行形成第1含碳層的步驟之後,直到進行供給HCDS氣體的步驟為止之間,不進行其他的步驟。藉此,於低溫區域,也可以增加SiOCN膜的成膜速度,可以提高成膜處理的生產性。
亦即,於第1序列,以步驟1~5為1循環,將此循環進行特定回數之前,進行使晶圓200的最表面改質為HCDS氣體容易吸附Si容易堆積的表面狀態的表面改質步驟。接著,使在步驟1形成的第1含碳層,為覆蓋被改質的晶圓200的最表面之僅一部分之不連續的層。此外,於步驟1與步驟2之間,不進行供給C3H6氣體的步驟3及供給O2氣體的步驟4。藉著在步驟1與步驟2之間,不進行步驟3或步驟4,未被第1含碳層覆蓋的晶圓200的最表面的一部分(露出面),維持HCDS氣體容易吸附Si容易堆積的狀態。因此,於步驟2,促進往晶圓200的最表面上之HCDS氣體的吸附或Si的堆積,促進往晶圓200的最表面上形成含矽層。
此外,於第1序列,以步驟1~5為1循環,將此循環進行複數回時,於第2循環以後的各步驟,依序連續進行使第4層的最表面改值為HCDS氣體容易吸附Si 容易堆積的表面狀態的步驟5,形成第1含碳層的步驟1,與形成含矽層的步驟2。接著,使在步驟1形成的第1含碳層,為覆蓋被改質的第4層的最表面之僅一部分之不連續的層。此外,於步驟1與步驟2之間,不進行供給C3H6氣體的步驟3及供給O2氣體的步驟4。藉著在步驟1與步驟2之間,不進行步驟3或步驟4,未被第1含碳層覆蓋的第4層的最表面的一部分(露出面),維持HCDS氣體容易吸附Si容易堆積的狀態。因此,於步驟2,促進往第4層的最表面上之HCDS氣體的吸附或Si的堆積,促進往第4層的最表面上形成含矽層。
此外,於第2序列,以步驟1~5為1循環,將此循環進行特定回數時,於第1循環的各步驟,依序連續進行使晶圓200的最表面改值為HCDS氣體容易吸附Si容易堆積的表面狀態的步驟1,形成第1含碳層的步驟2,與形成含矽層的步驟3。接著,使在步驟2形成的第1含碳層,為覆蓋被改質的晶圓200的最表面之僅一部分之不連續的層。此外,於步驟2與步驟3之間,不進行供給C3H6氣體的步驟4及供給O2氣體的步驟5。藉著在步驟2與步驟3之間,不進行步驟4或步驟5,未被第1含碳層覆蓋的晶圓200的最表面的一部分(露出面),維持HCDS氣體容易吸附Si容易堆積的狀態。因此,於步驟3,促進往晶圓200的最表面之HCDS氣體的吸附或Si的堆積,促進往晶圓200的最表面形成含矽層。
此外,於第2序列,以步驟1~5為1循環, 將此循環進行複數回時,於第2循環以後的各步驟,依序連續進行使第4層的最表面改值為HCDS氣體容易吸附Si容易堆積的表面狀態的步驟1,形成第1含碳層的步驟2,與形成含矽層的步驟3。接著,使在步驟2形成的第1含碳層,為覆蓋被改質的第4層的最表面之僅一部分之不連續的層。此外,於步驟2與步驟3之間,不進行供給C3H6氣體的步驟4及供給O2氣體的步驟5。藉著在步驟2與步驟3之間,不進行步驟4或步驟5,未被第1含碳層覆蓋的第4層的最表面的一部分(露出面),維持HCDS氣體容易吸附Si容易堆積的狀態。因此,於步驟3,促進往第4層的最表面上之HCDS氣體的吸附或Si的堆積,促進往第4層的最表面上形成含矽層。
如此般,於任一成膜序列,都可以促進往晶圓200的最表面上之含矽層的形成。結果,於低溫區域,也可以增加SiOCN膜的成膜速度,可以提高成膜處理的生產性。
(b)根據本實施型態的話,形成SiOCN膜時,把C3H6氣體,在每1循環分為2回(分為2個步驟)進行供給。亦即,在第1序列,在供給HCDS氣體的步驟2的前後分為2回(分為步驟1、3)供給C3H6氣體。此外,在第2序列,在供給HCDS氣體的步驟3的前後分為2回(分為步驟2、4)供給C3H6氣體。藉此,可以控制SiOCN膜中的氮(N)濃度、碳(C)濃度。例如,可以使SiOCN膜中的N濃度降低,使C濃度上升。
(c)根據本實施型態的話,藉由控制各序列之各步驟之處理室內的壓力或氣體供給時間等處理條件,可以調整SiOCN膜之各元素成分,亦即矽成分、氧成分、碳成分、氮成分的比例,亦即,可以調整矽濃度、氧濃度、碳濃度、氮濃度,可以控制SiOCN膜的組成比。接著,根據本實施型態的話,可以形成特定組成的SiOCN膜,所以可以控制蝕刻耐性、介電率、絕緣耐性,可以形成比SiN膜介電率更低,蝕刻耐性更優異,絕緣耐性更優異的矽絕緣膜。
例如,於第1序列,藉由控制步驟1之C3H6氣體的氣體供給時間,或者步驟1之處理室201內的C3H6氣體的分壓或濃度,可以控制由被改質的晶圓200之被形成於最表面(或者第4層的最表面)的NH3氣體的吸附層之NH3氣體的脫離量,或者往被改質的晶圓200的最表面(或者第4層的最表面)的C3H6氣體之吸附量。藉此,可以微調整SiOCN膜中的N濃度、C濃度。例如,可以藉由延長控制步驟1之C3H6氣體的氣體供給時間,或者提高步驟1之處理室201內的C3H6氣體的分壓或濃度,以增加由被改質的晶圓200之被形成於最表面(或者第4層的最表面)的NH3氣體的吸附層之NH3氣體的脫離量,或者增加往被改質的晶圓200的最表面(或者第4層的最表面)的C3H6氣體之吸附量。藉此,可以使SiOCN膜中的N濃度降低,使C濃度上升。
此外,於第1序列,藉由控制在步驟3之 C3H6氣體的氣體供給時間,或步驟3之處理室201內之C3H6氣體的分壓或濃度,可以控制步驟3之往晶圓200的最表面(或第1層的最表面)之C3H6氣體的吸附量,或步驟4之氧化量。藉此,可以微調整SiOCN膜中的C濃度、O濃度。例如,藉由把步驟3之C3H6氣體的氣體供給時間或分壓或濃度調整為適切的值,使C3H6之往含矽層上的吸附狀態為適切的不飽和狀態,亦即,使第2含碳層為適切的不連續層,可以在第2層的表面維持矽適當露出的狀態,可以使步驟4之氧化反應適切地進行。
此外,於第2序列,藉由控制步驟2之C3H6氣體的氣體供給時間,或者步驟2之處理室201內的C3H6氣體的分壓或濃度,可以控制由被改質的晶圓200之被形成於最表面(或者第4層的最表面)的NH3氣體的吸附層之NH3氣體的脫離量,或者往被改質的晶圓200的最表面(或者第4層的最表面)的C3H6氣體之吸附量。藉此,可以微調整SiOCN膜中的N濃度、C濃度。例如,可以藉由延長控制步驟2之C3H6氣體的氣體供給時間,或者提高步驟2之處理室201內的C3H6氣體的分壓或濃度,以增加由被改質的晶圓200之被形成於最表面(或者第4層的最表面)的NH3氣體的吸附層之NH3氣體的脫離量,或者增加往被改質的晶圓200的最表面(或者第4層的最表面)的C3H6氣體之吸附量。藉此,可以使SiOCN膜中的N濃度降低,使C濃度上升。
此外,於第2序列,藉由控制在步驟4之 C3H6氣體的氣體供給時間,或步驟4之處理室201內之C3H6氣體的分壓或濃度,可以控制步驟4之往晶圓200的最表面(或第1層的最表面)之C3H6氣體的吸附量,或步驟5之氧化量。藉此,可以微調整SiOCN膜中的C濃度、O濃度。例如,藉由把步驟4之C3H6氣體的氣體供給時間或分壓或濃度調整為適切的值,使C3H6之往含矽層上的吸附狀態為適切的不飽和狀態,亦即,使第2含碳層為適切的不連續層,可以在第2層的表面維持矽適當露出的狀態,可以使步驟5之氧化反應適切地進行。
這些的結果,可以適切地控制SiOCN膜中的O濃度、C濃度、N濃度。例如,可以抑制SiOCN膜中的O濃度的降低,同時使N濃度降低,使C濃度上升。接著,例如即便使SiOCN膜的成膜溫度降低的場合,也可以抑制SiOCN膜的介電率的增加,甚至可以使其降低。
又,根據本案發明人等的銳意研究,得知例如,使第1序列的步驟3之C3H6氣體的氣體供給時間延長,或是提高步驟3之處理室201內的C3H6氣體的分壓或濃度的場合,藉由減少存在於第2層(包含第1含碳層、含矽層及第2含碳層之層)的表面之矽,抑制步驟4之第2層的氧化反應的進行,SiOCN膜中的氧(O)濃度有降低的傾向。此外同樣地得知使第2序列的步驟4之C3H6氣體的氣體供給時間延長,或是提高步驟4之處理室201內的C3H6氣體的分壓或濃度的場合,藉由減少存在於第2層(包含第1含碳層、含矽層及第2含碳層之 層)的表面之矽,抑制步驟5之第2層的氧化反應的進行,SiOCN膜中的氧(O)濃度有降低的傾向。接著,可知這些場合,要使SiOCN膜中的氮(N)濃度降低是困難的,此外碳(C)濃度也只有些許的增加。總之,可知這些場合,要使SiOCN膜的介電率降低是困難的。
(d)根據本實施型態的話,於任一成膜序列,在使SiOCN膜形成步驟結束時,都把供給NH3氣體的步驟放在最後實施。亦即,於第1成膜序列,在各循環的最後進行的步驟5,對晶圓200供給被活化的NH3氣體。此外,於第2成膜序列,包含步驟1~5的循環進行特定回數後,進行對晶圓200供給被活化的NH3氣體之氮化步驟。藉由如此進行,可以把SiOCN膜的最表面適當地氮化而改質,使最終形成的SiOCN膜成為於膜厚方向其組成為均一的膜。
(e)根據本實施型態的話,僅僅把氣體供給順序如前述第1序列或第2序列那樣組合替換,可以不變更既有的基板處理裝置的構造、成膜溫度、氣體種類、流量等,而得到前述的效果。
又,本案發明人等在研究當時,考慮到具有Si-C鍵結的層氧化之後,進行氮化的話,應該不會形成SiOCN而是形成SiO或SiON。之所以如此,應該是比起Si-N鍵結或Si-C鍵結的結合能量,Si-O鍵結的鍵結能量更大,所以具有Si-C鍵結之層氧化的話,於其氧化過程形成Si-O鍵結時,具有Si-C鍵結之層的Si-C鍵結被切 斷,與Si鍵結被切斷的C由具有Si-C鍵結之層脫離,此外,即使於其後氮化也不容易形成Si-N鍵結。因此,使氣體的供給順序例如像前述第1序列或第2序列那樣組合替換的話,應該會C完全脫離,而不可能形成SiOCN膜(形成SiO膜或SiON膜)。然而,本案發明人反覆銳意研究的結果,發現具有Si-C鍵結之層氧化之後,進行氮化時,藉由控制其氧化力(特別是氧化氣體的稀釋率、供給時間、分壓),可以使藉由氧化由具有Si-C鍵結之層脫離的C殘留下來,此外,藉由之後的氮化,可以適切形成Si-N鍵結,可以適當地形成SiOCN。根據活用相關的知識見解之本實施型態的成膜序列的話,不需大幅變更現有的基板處理裝置,能夠以低成本得到前述效果。
(f)根據本實施型態的話,於第1序列及第2序列之任一均可形成具有優異的晶圓面內膜厚均一性的SiOCN膜。接著,把藉由本實施型態之第1序列或第2序列形成的SiOCN膜作為絕緣膜使用的場合,在SiOCN膜的內內可以提供均質的性能,可貢獻於半導體裝置的性能提高或生產率的提高。
(g)在本實施型態之第1序列的表面改質步驟及步驟1~5,或第2序列的步驟1~5及氮化步驟,分別對處理室201內供給HCDS氣體、C3H6氣體、O2氣體、NH3氣體,以熱活化而對晶圓200供給。藉此,可以使前述反應分別溫和地發生,可以控制性佳地容易進行含矽層的形成、含碳層的形成、氧化處理、氮化處理。
(h)藉由把本實施型態的手法形成的矽絕緣膜,作為側壁間隔件使用,可以提供洩漏電流很少,加工性優異的裝置形成技術。
(i)藉由把本實施型態的手法形成的矽絕緣膜,作為蝕刻停止層使用,可以提供加工性優異的裝置形成技術。
(j)根據本實施型態的話,可以不使用電漿,形成理想的量論比(stoichiometry)的矽絕緣膜。此外,因為可以不使用電漿而形成矽絕緣膜,所以也可以適用於DPT之SADP膜等,對於電漿損傷有所疑慮的步驟。
<本發明之其他實施型態>
以上,具體說明了本發明的實施型態,但本發明並不以上述實施型態為限,在不逸脫其要旨的範圍內當然可進行種種變更。
例如,在前述第1序列,使進行供給NH3氣體的步驟(表面改質步驟)之後,把依序進行供給C3H6氣體形成第1含碳層的步驟(步驟1)、供給HCDS氣體的步驟(步驟2)、供給C3H6氣體形成第2含碳層的步驟(步驟3)、供給O2氣體的步驟(步驟4)、與供給NH3氣體的步驟(步驟5)之循環進行特定回數,但是本發明不限於此態樣。例如,如在圖4(b)例示第1序列的變形例那樣,使進行表面改質步驟之後,依序進行步驟 1、2、4、3、5的循環進行特定回數亦可。亦即,供給含碳氣體形成第2含碳層的步驟(步驟3)與供給氧化氣體的步驟(步驟4),是哪一個先進行皆可。但是,以使步驟3比步驟4先進形的圖4(a)的第1序列,會比使步驟4比步驟3先進形的圖4(b)的第1序列的變形例的成膜速度更高,所以較佳。
此外,例如,在前述第2序列,係把依序進行供給NH3氣體的步驟(步驟1),供給C3H6氣體形成第1含碳層的步驟(步驟2)、供給HCDS氣體的步驟(步驟3)、供給C3H6氣體形成第2含碳層的步驟(步驟4)、供給O2氣體的步驟(步驟5)之循環進行特定回數後,進行供給NH3氣體的步驟(氮化步驟),但是本發明不限於此態樣。例如,如在圖5(b)例示第2序列的變形例那樣,依序進行步驟1、2、3、5、4的循環進行特定回數後,進行氮化步驟亦可。亦即,供給含碳氣體形成第2含碳層的步驟(步驟4)與供給氧化氣體的步驟(步驟5),是哪一個先進行皆可。但是,以使步驟4比步驟5先進形的圖5(a)的第2序列,會比使步驟5比步驟4先進形的圖5(b)的第2序列的變形例的成膜速度更高,所以較佳。
此外例如在處理室201內不設緩衝室237,由第4噴嘴249d對處理室201內直接供給NH3氣體亦可。在此場合,藉由使第4噴嘴249d的氣體供給孔250d朝向反應管203的中心側,由第4噴嘴249d使NH3氣體朝向 晶圓200直接供給亦為可能。此外,不設第4噴嘴249d,僅設緩衝室237亦可。
此外,例如往處理室201內供給的C3H6氣體、O2氣體、NH3氣體不限於分別以熱活化的場合,例如使用電漿活化亦可。在此場合,例如,使用作為前述之電漿發生器之電漿源電漿激發各氣體亦可。電漿激發各氣體而供給的場合,具有可以使成膜溫度更低溫化的優點。但是,不將各氣體電漿激發,而如前述實施型態那樣以熱活化而供給的場合,具有可以抑制處理室201內之微粒的發生,此外,還可以避免對處理室201內的構件或晶圓200造成電漿損傷之優點。
此外,例如於第1序列的步驟4、第2序列的步驟5,亦可與氧化氣體一起供給含氫氣體等還原氣體。於前述的實施型態之處理條件下,對未滿大氣壓的壓力(減壓)氛圍下之處理室201內供給氧化氣體與還原氣體的話,處理室201內氧化氣體與還原氣體反應,產生原子狀氧(atomic oxygen)等含氧而不含水(H2O)的氧化種,可以藉由此氧化種氧化各層。在此場合,能夠以比藉由氧化氣體單體進行氧化的場合還要高的氧化力來進行氧化。此氧化處理在非電漿的減壓氛圍下進行。作為還原氣體,例如可以使用氫(H2)氣體。
此外,例如在前述實施型態,說明了作為薄膜形成包含半導體元素之矽的SiOCN膜(半導體絕緣膜)之例,但是本發明也可以適用於形成含鈦(Ti)、鋯 (Zr)、鉿(Hf)、鉭(Ta)、鋁(Al)、鉬(Mo)、鎵(Ga)、鍺(Ge)等金屬元素的氮化金屬氧碳膜(金屬絕緣膜)的場合。
例如,本發明也可以適用於氮化鈦氧碳膜(TiOCN膜)、氮化鋯氧碳膜(ZrOCN膜)、氮化鉿氧碳膜(HfOCN膜)、氮化鉭氧碳膜(TaOCN膜)、氮化鋁氧碳膜(AlOCN膜)、氮化鉬氧碳膜(MoOCN膜)、氮化鎵氧碳膜(GaOCN膜)、氮化鍺氧碳膜(GeOCN膜)、或者組合這些、或是混合這些之氮化金屬氧碳膜的場合。
在此場合,可以替代前述實施型態之矽原料氣體,而使用鈦原料氣體、鋯原料氣體、鉿原料氣體、鉭原料氣體、鋁原料氣體、鉬原料氣體、鎵原料氣體、鍺原料氣體等包含金屬元素的原料氣體(含金屬元素氣體),藉由與前述實施型態同樣的序列(第1序列、第2序列及這些的變形例)來進行成膜。
亦即,在此場合,藉著使在對晶圓供給氮化氣體的步驟之後,依序進行對晶圓供給含碳的氣體的步驟、對晶圓供給含金屬元素的氣體的步驟、對晶圓供給含碳的氣體的步驟、對晶圓供給氧化氣體的步驟、以及對晶圓供給氮化氣體的步驟之循環(cycle)進行特定回數,以在晶圓上形成包含金屬元素、氧、碳及氮的薄膜(氮化金屬氧碳膜)。
此外,在此場合,亦可藉著使在依序進行對 晶圓供給氮化氣體的步驟,對晶圓供給含碳的氣體的步驟、對晶圓供給含金屬元素的氣體的步驟、對晶圓供給含碳的氣體的步驟、以及對晶圓供給氧化氣體的步驟之循環(cycle)進行特定回數之後,進行對晶圓供給氮化氣體的步驟,以在晶圓上形成包含金屬元素、氧、碳及氮的薄膜(氮化金屬氧碳膜)。
例如,作為氮化金屬氧碳膜形成TiOCN膜的場合,作為含Ti的原料,可以使用Tetrakis(ethylmethylamino)titanium(Ti〔N(C2H5)(CH3))4、簡稱:TEMAT)、Tetrakis(dimethylamino)titanium(Ti〔N(CH3)24、簡稱:TDMAT)、Tetrakis(diethylamino)titanium(Ti〔N(C2H5)24、簡稱:TDEAT)等有機原料、或是四氯化鈦(TiCl4)等無機原料。作為含碳氣體或氧化氣體或氮化氣體,可以使用與前述之實施型態同樣的氣體。又,此時的處理條件,例如可以採用與前述實施型態同樣的處理條件,但是晶圓溫度,例如採用100~500℃的範圍內的溫度,處理室內的壓力例如採用1~3000Pa的範圍內的壓力為較佳。
此外,例如,作為氮化金屬氧碳膜形成ZrOCN膜的場合,作為含Zr的原料,可以使用Tetrakis(ethylmethylamino)zirconium(Zr〔N(C2H5)(CH3)〕4、簡稱:TEMAZ)、Tetrakis(dimethylamino)zirconium(Zr〔N(CH3)24、簡 稱:TDMAZ)、Tetrakis(diethylamino)zirconium(Zr〔N(C2H5)24、簡稱:TDEAZ)等有機原料、或是四氯化鋯(ZrCl4)等無機原料。作為含碳氣體或氧化氣體或氮化氣體,可以使用與前述之實施型態同樣的氣體。又,此時的處理條件,例如可以採用與前述實施型態同樣的處理條件,但是晶圓溫度,例如採用100~400℃的範圍內的溫度,處理室內的壓力例如採用1~3000Pa的範圍內的壓力為較佳。
此外,例如,作為氮化金屬氧碳膜形成HfOCN膜的場合,作為含Hf的原料,可以使用Tetrakis(ethylmethylamino)hafnium(Hf〔N(C2H5)(CH3)〕4、簡稱:TEMAH)、Tetrakis(dimethylamino)hafnium(Hf〔N(CH3)24、簡稱:TDMAH)、Tetrakis(diethylamino)hafnium(Hf〔N(C2H5)24、簡稱:TDEAH)等有機原料、或是四鉿化鋯(HfCl4)等無機原料。作為含碳氣體或氧化氣體或氮化氣體,可以使用與前述之實施型態同樣的氣體。又,此時的處理條件,例如可以採用與前述實施型態同樣的處理條件,但是晶圓溫度,例如採用100~400℃的範圍內的溫度,處理室內的壓力例如採用1~3000Pa的範圍內的壓力為較佳。
此外,例如,作為氮化金屬氧碳膜形成TaOCN膜的場合,作為含Ta的原料,可以使用Tris(diethylamino)tert-butylimino tantalum((Ta〔N (C2H5)23〔NC(CH3)3〕簡稱:TBTDET)、Tris(ethylmethylamino)tert-butylimino tantalum(Ta〔NC(CH3)3〕N(C2H5)CH3)3)、簡稱:TBTEMT)等有機原料、或是五氯化鉭(TaCl5)等無機原料。作為含碳氣體或氧化氣體或氮化氣體,可以使用與前述之實施型態同樣的氣體。又,此時的處理條件,例如可以採用與前述實施型態同樣的處理條件,但是晶圓溫度,例如採用100~500℃的範圍內的溫度,處理室內的壓力例如採用1~3000Pa的範圍內的壓力為較佳。
此外,例如作為氮化金屬氧碳膜形成AlOCN膜的場合,作為含Al的原料,可以使用三甲基鋁Al(CH3)3、簡稱:TMA)等有機原料、或者三氯化鋁(AlCl3)等無機原料。作為含碳氣體或氧化氣體或氮化氣體,可以使用與前述之實施型態同樣的氣體。又,此時的處理條件,例如可以採用與前述實施型態同樣的處理條件,但是晶圓溫度,例如採用100~400℃的範圍內的溫度,處理室內的壓力例如採用1~3000Pa的範圍內的壓力為較佳。
作為氮化金屬氧碳膜形成MoOCN膜的場合,作為含Mo的原料,可以使用五氯化鉬(MoCl5)等無機原料。作為含碳氣體或氧化氣體或氮化氣體,可以使用與前述之實施型態同樣的氣體。又,此時的處理條件,例如可以採用與前述實施型態同樣的處理條件,但是晶圓溫度,例如採用100~500℃的範圍內的溫度,處理室內的 壓力例如採用1~3000Pa的範圍內的壓力為較佳。
如此,本發明也可以適用於氮化金屬氧碳膜的成膜,即使在此場合也可以得到與前述實施型態同樣的作用效果。亦即,本發明,可以適用於形成包含半導體元素或金屬元素等特定元素的氮化氧碳膜的場合。
此外,在前述的實施型態,說明一次處理複數枚基板的批次式的基板處理裝置來成膜薄膜之例,但是本發明不限於此,使用一次處理1枚或者複數枚基板的叢集式(cluster type)基板處理裝置來成膜薄膜的場合,也可以適切地適用。
此外,前述各實施型態之各成膜序列或各變形例或各應用例,可以適當組合使用。
此外,本發明也可以藉由變更既有的基板處理裝置的製程處方而實現。變更製程處方的場合,也可以把相關於本發明的製程處方透過電訊線路或者記錄該製程處方的記錄媒體安裝於既有的基板處理裝置,或者操作既有的基板處理裝置的輸出入裝置,把其製程處方自身變更為相關於本發明的製程處方。
〔實施例〕 (實施例1)
作為本發明的實施例,使用前述實施型態之基板處理裝置,藉由與前述實施型態之第1序列,於晶圓上形成 SiOCN膜。圖6(a)係顯示本實施例之氣體供給的時序之圖。作為含矽氣體使用HCDS氣體,作為含碳氣體使用C3H6氣體,作為氧化氣體使用O2氣體,作為氮化氣體使用NH3氣體。形成第1含碳層之步驟1之C3H6氣體的氣體供給時間,在前述實施型態記載的處理範圍內變化,製作了4種類的樣本。其他的處理條件,設定為前述實施型態所記載的處理條件範圍內之特定之值。接著,針對製作的各樣本,分別測定SiOCN膜中的氧(O)濃度、氮(N)濃度、碳(C)濃度。
圖7係顯示實施例1之SiOCN膜中的O、N、C濃度的測定結果之圖。圖7之縱軸顯示膜中O、N、C濃度(at%),橫軸顯示C3H6氣體的氣體供給時間(a.u.)。此外,圖中的●印顯示膜中O濃度,■印顯示膜中N濃度,△印顯示膜中C濃度。根據圖7,可知即使增長步驟1之C3H6氣體的氣體供給時間的場合,SiOCN膜中的O濃度也幾乎不改變。此外,可知藉由延長步驟1之C3H6氣體的氣體供給時間,會使SiOCN膜中的N濃度降低,C濃度增加。亦即,藉由延長步驟1之C3H6氣體的氣體供給時間,可能會使SiOCN膜的介電率降低。亦即,可知藉由控制步驟1之C3H6氣體的氣體供給時間,可以控制(微調整)SiOCN膜中的N濃度與C濃度,可以控制(微調整)SiOCN膜的介電率。
(實施例2)
作為本發明的實施例,使用前述實施型態之基板處理裝置,藉由與前述實施型態之第1序列,於晶圓上形成SiOCN膜。圖6(a)係顯示本實施例之氣體供給的時序之圖。作為含矽氣體使用HCDS氣體,作為含碳氣體使用C3H6氣體,作為氧化氣體使用O2氣體,作為氮化氣體使用NH3氣體。各步驟之處理條件,設定為前述實施型態所記載的處理條件範圍內之特定之值。接著,分別測定形成於晶圓上的SiOCN膜中的O、N、C濃度。
此外,作為比較例,使用前述實施型態之基板處理裝置,藉由在進行對晶圓供給NH3氣體的表面改質步驟之後,依序進行對晶圓供給氫(H2)氣體的步驟1,對晶圓供給HCDS氣體的步驟2,對晶圓供給C3H6氣體的步驟3,對晶圓供給O2氣體的步驟4,對晶圓供給NH3氣體的步驟5之循環進行特定回數,以在晶圓上形成SiOCN膜。本比較例與實施例2的不同之處,在於替代在步驟1使用的氣體為H2氣體這一點,各步驟之處理條件,與實施例2之各步驟的處理條件設定為相同。接著,分別測定形成於晶圓上的SiOCN膜中的O、N、C濃度。
此外,作為其他的比較例,使用前述實施型態之基板處理裝置,藉由在進行對晶圓供給NH3氣體的表面改質步驟之後,依序進行對晶圓供給氮(N2)氣體的步驟1,對晶圓供給HCDS氣體的步驟2,對晶圓供給C3H6氣體的步驟3,對晶圓供給O2氣體的步驟4,對晶圓供給NH3氣體的步驟5之循環進行特定回數,以在晶圓上形成 SiOCN膜。本比較例與實施例2的不同之處,在於替代在步驟1使用的氣體為N2氣體這一點,各步驟之處理條件,與實施例2之各步驟的處理條件設定為相同。接著,分別測定形成於晶圓上的SiOCN膜中的O、N、C濃度。
圖8係顯示實施例2、比較例之SiOCN膜中的O、N、C濃度的測定結果之圖。圖8之縱軸顯示膜中O、N、C濃度(at%),橫軸顯示在步驟1使用的氣體種。此外,圖中的●印顯示膜中O濃度,■印顯示膜中N濃度,△印顯示膜中C濃度。根據圖8,可知實施例2之膜中O濃度,與比較例之膜中O濃度相比沒有改變。此外,可知實施例2之膜中N濃度,比起比較例之膜中N濃度還要小。此外,可知實施例2之膜中C濃度,比起比較例之膜中C濃度還要大。總之,可知藉由在步驟1使用C3H6氣體,可以抑制SiOCN膜中的O濃度之降低,同時使膜中N濃度降低,使膜中C濃度增加,可以使SiOCN膜的介電率降低。亦即,可知藉由在步驟1使用C3H6氣體,可以控制(微調整)SiOCN膜中的N濃度與C濃度。
(參考例1)
作為參考例1,使用前述實施型態之基板處理裝置,藉由在進行對晶圓供給NH3氣體的表面改質步驟之後,依序進行對晶圓供給HCDS氣體的步驟1a,對晶圓供給C3H6氣體的步驟2a,對晶圓供給O2氣體的步驟3a,對晶 圓供給NH3氣體的步驟4a之循環進行特定回數,以在晶圓上形成SiOCN膜。圖6(b)係顯示本參考例之氣體供給的時序之圖。參考例1與前述實施型態之第1序列不同之處,在不進行形成第1含碳層的步驟1這一點而已。參考例1之步驟1a~4a之處理順序或處理條件,與前述實施型態之第1序列的步驟2~5的處理順序或處理條件設定為相同。接著,使成膜時的晶圓溫度(成膜溫度)在550~630℃之間改變,製作3種類的樣本,分別測定形成於晶圓上的SiOCN膜中的O、N、C濃度。
圖9係顯示參考例1之SiOCN膜中的O、N、C濃度的測定結果之圖。圖9之縱軸顯示膜中O、N、C濃度(at%),橫軸顯示晶圓溫度。此外,圖中的●印顯示膜中O濃度,■印顯示膜中N濃度,△印顯示膜中C濃度。根據圖9,可知使成膜溫度降低的話,SiOCN膜中的O濃度及C濃度分別降低,N濃度增加。總之,使成膜溫度降低的話,SiOCN膜的組成會接近於SiN膜,SiOCN膜的介電率會增加。
(參考例2)
作為參考例2,使用前述之實施型態之基板處理裝置,藉由與參考例1同樣的成膜序列,於晶圓上形成SiOCN膜。參考例2與前述實施型態之第1序列不同之處,與參考例1同樣,在不進行形成第1含碳層的步驟1這一點而已。參考例2之步驟1a~4a之處理順序或處理 條件,與前述實施型態之第1序列的步驟2~5的處理順序或處理條件設定為相同。接著,改變在步驟2a之C3H6氣體的氣體供給時間,製作3種類的樣本,分別測定形成於晶圓上的SiOCN膜中的O、N、C濃度。
圖10係顯示參考例2之SiOCN膜中的O、N、C濃度的測定結果之圖。圖10之縱軸顯示膜中O、N、C濃度(at%),橫軸顯示C3H6氣體的氣體供給時間(a.u.)。此外,圖中的●印顯示膜中O濃度,■印顯示膜中N濃度,△印顯示膜中C濃度。根據圖10,可知增長步驟2a之C3H6氣體的氣體供給時間的話,會使SiOCN膜中的O濃度降低。此外,可知即使延長步驟2a之C3H6氣體的氣體供給時間,SiOCN膜中的N濃度也不會降低,C濃度只有些許增加。總之,在圖6(b)所示的成膜序列,即使延長步驟2a之C3H6氣體的氣體供給時間,也無法使SiOCN膜中的N濃度降低,無法期待C濃度的大幅上升,要使SiOCN膜的介電率降低是困難的。
<本發明之較佳的態樣>
以下,針對本發明之較佳的態樣附記說明。
(附記1)
根據本發明之一態樣,提供一種半導體裝置之製造方法,包含:在進行對基板供給氮化氣體的步驟之後,依序進行 對前述基板供給含碳的氣體的步驟、對前述基板供給含特定元素的氣體的步驟、對前述基板供給含碳的氣體的步驟、對前述基板供給氧化氣體的步驟、以及對前述基板供給氮化氣體的步驟之循環(cycle)進行特定回數,以在前述基板上形成包含特定元素、氧、碳及氮的薄膜的步驟。
(附記2)
附記1之半導體裝置之製造方法,較佳為在形成前述薄膜的步驟,使前述循環進行特定回數之前,藉由對前述基板供給前述氮化氣體,改質前述基板的最表面。
(附記3)
附記2之半導體裝置之製造方法,較佳為在形成前述薄膜的步驟,藉由對前述基板供給前述含碳的氣體,於前述最表面之一部分形成第1含碳層,藉由對前述基板供給前述含特定元素的氣體,藉由前述氮化氣體改質,在其一部分被形成前述第1含碳層的前述最表面上形成含特定元素層,藉由對前述基板供給前述含碳的氣體,在前述含特定元素層上形成第2含碳層, 藉由對前述基板供給前述氧化氣體,氧化包前述含第1含碳層、前述含特定元素層及前述第2含碳層之層,形成前述含特定元素、氧及碳之層,藉由對前述基板供給前述氮化氣體,氮化前述含特定元素、氧及碳之層,形成前述含特定元素、氧、碳及氮之層,同時改質其最表面。
(附記4)
附記3之半導體裝置之製造方法,較佳為前述第1含碳層,係在藉由前述氮化氣體改質的前述最表面的一部分使吸附前述含碳氣體而形成。
(附記5)
附記4之半導體裝置之製造方法,較佳為前述第1含碳層之至少一部分,係把吸附於藉由前述氮化氣體改質的前述最表面之至少一部分的前述氮化氣體的一部分置換為前述含碳氣體而形成。
(附記6)
根據本發明之其他態樣,提供一種半導體裝置之製造方法,包含:藉由依序進行 對基板供給氮化氣體的步驟,對前述基板供給含碳的氣體的步驟、對前述基板供給含特定元素的氣體的步驟、對前述基板供給含碳的氣體的步驟、對前述基板供給氧化氣體的步驟之循環(cycle)進行特定回數,以在前述基板上形成包含特定元素、氧、碳及氮的薄膜的步驟。
(附記7)
附記6之半導體裝置之製造方法,較佳為形成前述薄膜的步驟,進而包含在使前述循環進行特定回數之後,對前述基板供給氮化氣體的步驟。
(附記8)
根據本發明之其他態樣,提供一種半導體裝置之製造方法,包含:在把依序進行對基板供給氮化氣體的步驟,對前述基板供給含碳的氣體的步驟、對前述基板供給含特定元素的氣體的步驟、對前述基板供給含碳的氣體的步驟、對前述基板供給氧化氣體的步驟之循環(cycle)進行特定回數之後,進行對前述基板供給氮化氣體的步驟,以在前述基板上形成包含特定元 素、氧、碳及氮的薄膜的步驟。
(附記9)
附記1至8之任一之半導體裝置之製造方法,較佳為前述特定元素為半導體元素或金屬元素。
(附記10)
附記1至9之任一之半導體裝置之製造方法,較佳為前述特定元素為矽。
(附記11)
根據本發明之進而其他的態樣,提供一種基板處理方法,包含:藉由在進行對基板供給氮化氣體的步驟之後,依序進行對前述基板供給含碳的氣體的步驟、對前述基板供給含特定元素的氣體的步驟、對前述基板供給含碳的氣體的步驟、對前述基板供給氧化氣體的步驟、以及對前述基板供給氮化氣體的步驟之循環(cycle)進行特定回數,以在前述基板上形成包含特定元素、氧、碳及氮的薄膜的步驟。
(附記12)
根據本發明的進而其他態樣, 提供一種基板處理裝置,包含:收容基板的處理室,對前述處理室內的基板供給含特定元素的氣體之含特定元素氣體供給系統,對前述處理室內的基板供給含碳的氣體之含碳氣體供給系統,對前述處理室內的基板供給氧化氣體之氧化氣體供給系統,對前述處理室內的基板供給氮化氣體之氮化氣體供給系統,以及以依序在進行對前述處理室內的基板供給前述氮化氣體的處理之後,進行對前述處理室內的前述基板供給含碳的氣體的處理、對前述處理室內的前述基板供給前述含特定元素的氣體的處理、對前述處理室內的前述基板供給前述含碳的氣體的處理、對前述處理室內的前述基板供給前述氧化氣體的處理、以及對前述處理室內的前述基板供給前述氮化氣體的處理之循環(cycle)進行特定回數,以在前述基板上,形成包含特定元素、氧、碳及氮的薄膜的處理的方式,控制前述含特定元素氣體供給系統、前述含碳氣體供給系統、前述氧化氣體供給系統及前述氮化氣體供給系統的控制部。
(附記13)
根據本發明之進而其他的態樣, 提供一種程式,為使電腦執行在進行對基板處理裝置的處理室內的基板供給氮化氣體的程序之後,依序進行對前述處理室內的前述基板供給含碳的氣體的程序、對前述處理室內的前述基板供給含特定元素的氣體的程序、對前述處理室內的前述基板供給含碳的氣體的程序、對前述處理室內的前述基板供給氧化氣體的程序、以及對前述處理室內的前述基板供給氮化氣體的程序之循環(cycle)進行特定回數,以在前述基板上,形成包含特定元素、氧、碳及氮的薄膜的的程序之電腦程式。
(附記14)
根據本發明之進而其他的態樣,提供一種記錄媒體,為記錄了使電腦執行在進行對基板處理裝置的處理室內的基板供給氮化氣體的程序之後,依序進行對前述處理室內的前述基板供給含碳的氣體的程序、對前述處理室內的前述基板供給含特定元素的氣體的程序、對前述處理室內的前述基板供給含碳的氣體的程序、對前述處理室內的前述基板供給氧化氣體的程序、以 及對前述處理室內的前述基板供給氮化氣體的程序之循環(cycle)進行特定回數,以在前述基板上,形成包含特定元素、氧、碳及氮的薄膜的程序之電腦程式的電腦可讀取的記錄媒體。

Claims (13)

  1. 一種半導體裝置之製造方法,其特徵為包含:藉由在藉著進行對基板供給氮化氣體,改質前述基板的最表面的步驟之後,依序進行藉著對前述基板供給含碳的氣體,在藉由前述氮化氣體改質的前述基板的前述最表面的一部分形成第1含碳層的步驟、藉著對前述基板供給含特定元素的氣體,在藉由前述氮化氣體改質,在一部分被形成前述第1含碳層的前述基板的前述最表面上形成含特定元素層的步驟、藉著對前述基板供給含碳的氣體,在前述含特定元素層上形成第2含碳層的步驟、藉著對前述基板供給氧化氣體,氧化前述含第1含碳層、前述含特定元素層及前述第2含碳層之層,形成前述含特定元素、氧及碳之層的步驟、以及藉著對前述基板供給氮化氣體,氮化前述含特定元素、氧及碳之層,形成前述含特定元素、氧、碳及氮之層,同時改質前述含特定元素、氧、碳及氮之層的最表面的步驟之循環(cycle)進行特定回數,以在前述基板上形成包含特定元素、氧、碳及氮的薄膜的步驟。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中前述第1含碳層, 係在藉由前述氮化氣體改質的前述基板的前述最表面的一部分使吸附前述含碳氣體而形成。
  3. 如申請專利範圍第2項之半導體裝置之製造方法,其中往藉由前述氮化氣體改質的前述基板的前述最表面之一部分的前述含碳氣體的吸附狀態為不飽和狀態。
  4. 如申請專利範圍第2項之半導體裝置之製造方法,其中前述第1含碳層之至少一部分,係把吸附於藉由前述氮化氣體改質的前述基板的前述最表面之至少一部分的前述氮化氣體的一部分置換為前述含碳氣體而形成。
  5. 如申請專利範圍第2項之半導體裝置之製造方法,其中前述第1含碳層之至少一部分,係把吸附於藉由前述氮化氣體改質的前述基板的前述最表面之至少一部分的前述氮化氣體的一部分置換為前述含碳氣體而形成的不連續的層。
  6. 如申請專利範圍第1項之半導體裝置之製造方法,其中前述第1含碳層及前述第2含碳層為不連續的層。
  7. 如申請專利範圍第1項之半導體裝置之製造方法,其中前述特定元素為半導體元素或金屬元素。
  8. 如申請專利範圍第1項之半導體裝置之製造方法,其中前述特定元素為矽。
  9. 一種基板處理裝置,其特徵為包含:收容基板的處理室,對前述處理室內的基板供給含特定元素的氣體之含特定元素氣體供給系統,對前述處理室內的基板供給含碳的氣體之含碳氣體供給系統,對前述處理室內的基板供給氧化氣體之氧化氣體供給系統,對前述處理室內的基板供給氮化氣體之氮化氣體供給系統,以及以依序在藉著進行對前述處理室內的基板供給前述氮化氣體,改質前述基板的最表面的處理之後,藉著進行對前述處理室內的前述基板供給含碳的氣體,在藉由前述氮化氣體改質的前述基板的前述最表面的一部分形成第1含碳層的處理、藉著對前述處理室內的前述基板供給前述含特定元素的氣體,在藉由前述氮化氣體改質,在一部分被形成前述第1含碳層的前述基板的前述最表面上形成含特定元素層的處理、藉著對前述處理室內的前述基板供給前述含碳的氣體,在前述含特定元素層上形成第2含碳層的處理、藉著對前述處理室內的前述基板供給前述氧化氣體,氧化前述含第1含碳層、前述含特定元素層及前述第 2含碳層之層,形成前述含特定元素、氧及碳之層的處理、以及藉著對前述處理室內的前述基板供給前述氮化氣體,氮化前述含特定元素、氧及碳之層,形成前述含特定元素、氧、碳及氮之層,同時改質前述含特定元素、氧、碳及氮之層的最表面的處理之循環(cycle)進行特定回數,以在前述基板上,形成包含特定元素、氧、碳及氮的薄膜的處理的方式,控制前述含特定元素氣體供給系統、前述含碳氣體供給系統、前述氧化氣體供給系統及前述氮化氣體供給系統的方式構成的控制部。
  10. 一種記錄媒體,其特徵為記錄了使電腦執行藉著對處理室內的基板供給氮化氣體,改質前述基板的最表面的程序之後,依序進行藉著對前述處理室內的前述基板供給含碳的氣體,在藉由前述氮化氣體改質的前述基板的前述最表面的一部分形成第1含碳層的程序、藉著對前述處理室內的前述基板供給含特定元素的氣體,在藉由前述氮化氣體改質,在一部分被形成前述第1含碳層的前述基板的前述最表面上形成含特定元素層的程序、藉著對前述處理室內的前述基板供給含碳的氣體,在前述含特定元素層上形成第2含碳層的程序、藉著對前述處理室內的前述基板供給氧化氣體,氧化前述含第1含碳層、前述含特定元素層及前述第2含碳層之層,形成前述含特定元素、氧及碳之層的程序、以及 藉著對前述處理室內的前述基板供給氮化氣體,氮化前述含特定元素、氧及碳之層,形成前述含特定元素、氧、碳及氮之層,同時改質前述含特定元素、氧、碳及氮之層的最表面的程序之循環(cycle)進行特定回數,以在前述基板上,形成包含特定元素、氧、碳及氮的薄膜的程序之電腦程式的電腦可讀取的記錄媒體。
  11. 如申請專利範圍第1項之半導體裝置之製造方法,其中前述第2含碳層,係於前述含特定元素層的表面的一部分使吸附前述含碳氣體而形成。
  12. 如申請專利範圍第11項之半導體裝置之製造方法,其中往前述含特定元素層的表面的一部分之前述含碳氣體的吸附狀態為不飽合狀態。
  13. 如申請專利範圍第1項之半導體裝置之製造方法,其中前述第1含碳層及前述第2含碳層係前述含碳氣體之不連續的吸附層。
TW102126103A 2012-09-18 2013-07-22 The method of manufacturing a semiconductor device, a substrate processing apparatus and a recording medium TWI535879B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012205073A JP6022274B2 (ja) 2012-09-18 2012-09-18 半導体装置の製造方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
TW201413035A TW201413035A (zh) 2014-04-01
TWI535879B true TWI535879B (zh) 2016-06-01

Family

ID=50274906

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102126103A TWI535879B (zh) 2012-09-18 2013-07-22 The method of manufacturing a semiconductor device, a substrate processing apparatus and a recording medium

Country Status (4)

Country Link
US (3) US9263253B2 (zh)
JP (1) JP6022274B2 (zh)
KR (4) KR101503603B1 (zh)
TW (1) TWI535879B (zh)

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6284285B2 (ja) * 2015-01-07 2018-02-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6529780B2 (ja) 2015-02-25 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102412614B1 (ko) * 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) * 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6613213B2 (ja) * 2016-07-26 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102324630B1 (ko) * 2017-03-29 2021-11-10 삼성전자주식회사 집적회로 소자의 제조 방법
KR20240010760A (ko) * 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102541454B1 (ko) 2018-04-26 2023-06-09 삼성전자주식회사 저유전막의 형성 방법, 및 반도체 소자의 형성방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP6909762B2 (ja) * 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
SG11202109666TA (en) 2019-03-05 2021-10-28 Kokusai Electric Corp Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and program
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7138130B2 (ja) * 2020-03-04 2022-09-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172841A (ja) * 2020-04-22 2021-11-01 東京エレクトロン株式会社 成膜方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100373559C (zh) * 2002-01-15 2008-03-05 东京毅力科创株式会社 形成含硅绝缘膜的cvd方法和装置
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5572447B2 (ja) * 2010-05-25 2014-08-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Also Published As

Publication number Publication date
US20150262809A1 (en) 2015-09-17
KR101514929B1 (ko) 2015-04-23
US9263253B2 (en) 2016-02-16
US9412585B2 (en) 2016-08-09
KR20140131313A (ko) 2014-11-12
JP2014060302A (ja) 2014-04-03
US20140080314A1 (en) 2014-03-20
US9837262B2 (en) 2017-12-05
KR101528719B1 (ko) 2015-06-15
KR101570318B1 (ko) 2015-11-18
JP6022274B2 (ja) 2016-11-09
KR20150021989A (ko) 2015-03-03
TW201413035A (zh) 2014-04-01
KR20140036971A (ko) 2014-03-26
KR101503603B1 (ko) 2015-03-18
KR20150058132A (ko) 2015-05-28
US20160314959A1 (en) 2016-10-27

Similar Documents

Publication Publication Date Title
TWI535879B (zh) The method of manufacturing a semiconductor device, a substrate processing apparatus and a recording medium
TWI508173B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
JP5775947B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI508174B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
TWI547996B (zh) A semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium
TWI585857B (zh) 半導體裝置之製造方法、基板處理方法、及電腦可讀取記錄媒體
JP6022276B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2013054655A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP2013077805A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム