TWI541248B - 有機胺基矽烷前驅物及其製造和使用方法 - Google Patents

有機胺基矽烷前驅物及其製造和使用方法 Download PDF

Info

Publication number
TWI541248B
TWI541248B TW101118402A TW101118402A TWI541248B TW I541248 B TWI541248 B TW I541248B TW 101118402 A TW101118402 A TW 101118402A TW 101118402 A TW101118402 A TW 101118402A TW I541248 B TWI541248 B TW I541248B
Authority
TW
Taiwan
Prior art keywords
group
decane
linear
alkyl
formula
Prior art date
Application number
TW101118402A
Other languages
English (en)
Other versions
TW201247690A (en
Inventor
蕭滿超
雷新建
韓冰
馬克 李納德 歐尼爾
羅納多 馬丁 皮爾斯坦
理查 何
哈里賓 錢德拉
科瓦奇 艾格尼斯 德瑞斯基
Original Assignee
氣體產品及化學品股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 氣體產品及化學品股份公司 filed Critical 氣體產品及化學品股份公司
Publication of TW201247690A publication Critical patent/TW201247690A/zh
Application granted granted Critical
Publication of TWI541248B publication Critical patent/TWI541248B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/347Carbon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

有機胺基矽烷前驅物及其製造和使用方法 相關申請案之相互參照
本案請求以下的申請案在35 U.S.C.§119保護之下的優先權益:2011年5月24日申請的美國臨時申請案第61/489,486號,在此以引用的方式將其全文併入本文。
本發明係關於可用以沉積含有矽的含矽膜之有機胺基矽烷前驅物及這些前驅物的製造方法的領域。
前驅物,特別是可用於含矽膜沉積的有機胺基矽烷前驅物,其包括但不限於,含矽膜類例如非晶矽、結晶矽、氮化矽、氧化矽、碳氮化矽及氧氮化矽膜,在文中有描述。 在又另一形態中,文中描述的是有機胺基矽烷前驅物的用途,其係於積體電路裝置裝配時用於沉積含有矽的含矽膜。在各種不同形態中,該等有機胺基矽烷前驅物可用於各式各樣的沉積製程,其包括但不限於,原子層沉積(“ALD”)、化學氣相沉積(“CVD”)、電漿強化化學氣相沉積(“PECVD”)、低壓化學氣相沉積(“LPCVD”)及大氣壓力化學氣相沉積。
有數類化合物可作為含矽膜的前驅物例如,但不限於,氧化矽或氮化矽膜。這些適合作為前驅物的化合物的實例包括矽烷類、氯矽烷類、聚矽氮烷類、胺基矽烷類及 疊氮化矽烷類。惰性載體氣體或稀釋劑例如,但不限於,氦、氫、氮,等等,也用以將該等前驅物輸送至反應艙。
低壓化學氣相沉積(LPCVD)方法為供含矽膜沉積用的半導體產業所用之諸多廣為接受的方法之一。使用氨的低壓化學氣相沉積(LPCVD)可能需要高於750℃的沉積溫度以獲得適度的生長速率及均勻性。較高的沉積溫度經常用以提供改良的膜性質。生長氮化矽或其他含矽膜的諸多常見產業方法之一為透過在溫度>750℃的熱壁反應器中進行的低壓化學氣相沉積,其使用該等前驅物矽烷、二氯矽烷及/或氨。然而,使用此方法有數個缺點。舉例來說,有某些前驅物,例如矽烷,為自燃性。這在處理及使用時可能會有問題。另外,由矽烷及二氯矽烷所沉積的膜可能含有一定的雜質。舉例來說,使用二氯矽烷所沉積的膜可能含有一定的雜質,例如氯及氯化銨,其係於沉積製程期間呈副產物形式形成。使用矽烷所沉積的膜可能含有氫。
日本公開案第6-132284號描述一種藉由化學氣相沉積形成氮化矽膜的方法,該方法使用通式(R1R2N)nSiH4-n所示的有機矽烷化合物(其中基團R1及R2為H-、CH3-、C2H5-、C3H7-及C4H9-之任一者,其中至少其一不是H-,而且n為1至4的整數)作為起始氣體。申請專利範圍3列舉該有機矽烷化合物是叁(二甲基胺基)矽烷((CH3)2N)3SiH、雙(二甲基胺基)矽烷((CH3)2N)2SiH2、二甲基胺基矽烷((CH3)2N)SiH3、叁(二乙基胺基)矽烷((C2H5)2N)3SiH、雙(二乙基胺基)矽烷((C2H5)2N)2SiH2、二乙基胺基矽烷 ((C2H5)2N)SiH3、叁(二丙基胺基)矽烷((C3H7)2N)3SiH、雙(二丙基胺基)矽烷((C3H7)2N)2SiH2、二丙基胺基矽烷((C3H7)2N)SiH3、叁(二異丁基胺基)矽烷((C4H9)2N)3SiH、雙(二異丁基胺基)矽烷((C4H9)2N)2SiH2及二異丁基胺基矽烷((C4H9)2N)SiH3
美國專利第6,391,803號描述一種原子層沉積方法,該方法使用第一反應物(其較佳為Si[N(CH3)2]4、SiH[N(CH3)2]3、SiH2[N(CH3)2]2或SiH3[N(CH3)2])及第二反應物(其較佳為經活化的NH3)形成含矽薄膜層例如Si3N4及SiO2薄膜。
日本公開案第6-132276號描述一種藉由CVD形成氧化矽的方法,該方法使用氧及通式(R1R2N)nSiH4-n(其中R1及R2為H-、CH3-、C2H5-、C3H7-及C4H9-,其至少其一不是H-,而且n為1至4的整數)所示的有機矽烷化合物。申請專利範圍3列舉“該有機矽烷化合物是叁(二甲基胺基)矽烷((CH3)2N)3SiH、雙(二甲基胺基)矽烷((CH3)2N)2SiH2、二甲基胺基矽烷((CH3)2N)SiH3、叁(二乙基胺基)矽烷((C2H5)2N)3SiH、雙(二乙基胺基)矽烷((C2H5)2N)2SiH2、二乙基胺基矽烷((C2H5)2N)SiH3、叁(二丙基胺基)矽烷((C3H7)2N)3SiH、雙(二丙基胺基)矽烷((C3H7)2N)2SiH2、二丙基胺基矽烷((C3H7)2N)SiH3、叁(二異丁基胺基)矽烷((C4H9)2N)3SiH、雙(二異丁基胺基)矽烷((C4H9)2N)2SiH2及二異丁基胺基矽烷((C4H9)2N)SiH3”。
申請人的專利,美國專利第7,875,556號;第7,875,312 號;及7,932,413號,描述用於介電膜沉積的數類胺基矽烷,例如,舉例來說,化學氣相沉積或原子層沉積方法中的氧化矽及碳氮化矽膜。
申請人的審查中的申請案,關於美國案序號第13/114,287號的EP公開案第2,392,691號描述用於含矽膜沉積的前驅物。
在沉積氮化矽膜例如BTBAS及氯矽烷類時使用的前驅物一般於高於550℃的溫度下沉積該等膜。半導體裝置的微型化及低熱負載的趨勢要求較低的加工溫度及較高的沉積速率。沉積該等含矽膜的溫度應該降低以防止離子擴散到晶格中,特別是對於那些包含金屬化層及在許多第III至V族及第II至VI族裝置上的基材。因此,在此技藝中一直需要提供用於沉積含矽膜(例如氧化矽或氮化矽膜)的新穎和更有成本效益的前驅物,該等含矽膜具有充分化學反應性以允許經由CVD、ALD或其他方法於550℃的溫度或更低或甚至於室溫下仍能充分滿足正常加工及處理的要求而沉積。
文中所述的是有機胺基矽烷前驅物及使用該等前驅物將包含矽的膜(文中稱為含矽膜),例如,但不限於,非晶矽、結晶矽、半晶矽、化學計量或非化學計量氧化矽、化學計量或非化學計量氮化矽、氧氮化矽、碳化矽、碳氮化矽及其組合,形成於基材的至少一部分上之方法。文中 也揭示於待處理的物體,例如,舉例來說,半導體晶圓,上形成含矽膜或塗層的方法。在文中所述的方法之一具體實施例中,於沉積艙中在該基材上產生氧化矽層的條件之下使用有機胺基矽烷前驅物及氧化劑將包含矽及氧的層沉積於基材上。在文中所述的方法之另一具體實施例中,於沉積艙中在該基材上產生氮化矽層的條件之下使用有機胺基矽烷前驅物及含氮前驅物將包含矽及氮的層沉積於基材上。在又另一具體實施例中,該文中所述的有機胺基矽烷前驅物也可使用供含金屬膜例如但不限於,金屬氧化物膜或金屬氮化物膜,的摻雜劑。
在文中所述的方法中,運用至少一具有式A、B及C的有機胺基矽烷或其混合物作為該等含矽前驅物的至少其一: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;其中R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基; 及其中R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團。在式A的某些具體實施例中,R及R1可被結合以形成一環狀或經烷基取代的環狀基團。在式C的某些具體實施例中,R、R2及R1的任一或多者可被結合以形成一環狀基團。在式A或C的其他具體實施例中,R及R1或任一者或R、R2及R1分別沒結合以形成一環狀基團。有一特定具體實施例中,該有機胺基矽烷前驅物具有該式A,其中R是正丙基而且R1是異丙基。在式A的各個不同具體實施例中,R及R1是不同取代基而且該有機胺基矽烷是一不對稱分子。在式A的另一具體實施例中,R及R1是相同取代基而且該有機胺基矽烷是一對稱分子。在式A之一較佳具體實施例中,R係一C5至C6環狀烷基而且R1係選自由線性或分支C1至C3烷基或C5至C6環狀烷基所組成的群組。
有一形態中,該文中所述的有機胺基矽烷前驅物包含作為該等含矽前驅物之至少其一的至少一具有式A、B及C的前驅物: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜 環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團。在式A的某些具體實施例中,R及R1可被結合以形成一環狀或經烷基取代的環狀基團。在式C的某些具體實施例中,R、R2及R1的任一或多者可被結合以形成一環狀基團。在式A或C的其他具體實施例中,R及R1或任一者或R、R2及R1分別沒結合以形成一環狀基團。有一特定具體實施例中,該有機胺基矽烷前驅物具有該式A,其中R是正丙基而且R1是異丙基。在式A的各個不同具體實施例中,R及R1是不同取代基而且該有機胺基矽烷是一不對稱分子。在式A的另一具體實施例中,R及R1是相同取代基而且該有機胺基矽烷是一對稱分子。
在另一形態中,提供將含矽膜形成於基材的至少一表面的方法,其包含:將該基材的至少一表面提供於一反應艙中;及藉由選自化學氣相沉積方法及原子層沉積方法的沉 積方法由作為該等含矽前驅物之至少其一的至少一具有式A、B及C的前驅物或其混合物將該含矽膜形成於該至少一表面上: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團。在式A的某些具體實施例中,R及R1可被結合以形成一環狀或經烷基取代的環狀基團。有一特定具體實施例中,該有機胺基矽烷包含式A前驅物,其中R係選自C5至C6環狀烷基而且R1係選自由線性或分支C1至C3烷基或C5至C6環狀烷基所組成的群組。
在另一形態中,提供一種經由原子層沉積方法形成氧 化矽膜的方法,該方法包含以下步驟:a.將基材提供於反應器中;b.將作為該等含矽前驅物的至少其一之選自至少一具有式A、B及C的有機胺基矽烷或其混合物的至少一矽前驅物引進該反應器中: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團;c.以洗淨氣體洗淨該反應器;d.將氧來源引進該反應器中;e.以洗淨氣體洗淨該反應器;及f.重複進行步驟b至e直到獲得想要的膜厚度。在文 中所述的方法之一特定具體實施例中,該有機胺基矽烷包含式A前驅物,其中R係選自C5至C6環狀烷基而且R1係選自由線性或分支C1至C3烷基或C5至C6環狀烷基所組成的群組。
在又另一形態中,提供一種利用CVD方法將氧化矽膜形成於基材的至少一表面上的方法,其包含:a.將基材提供於反應器中;b.將至少一具有式A、B及C的有機胺基矽烷或其混合物引進該反應器中: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團;及 c.提供氧來源以將該氧化矽膜沉積於該至少一表面上。在文中所述的方法之一特定具體實施例中,該有機胺基矽烷包含式A前驅物,其中R係選自C5至C6環狀烷基而且R1係選自由線性或分支C1至C3烷基或C5至C6環狀烷基所組成的群組。
在另一形態中,提供一種經由原子層沉積方法形成氮化矽膜的方法,該方法包含下列步驟:a.將基材提供於反應器中;b.將作為該等含矽前驅物的至少其一之至少一具有式A、B及C的有機胺基矽烷或其混合物引進該反應器中: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及 R1也可結合以形成一環狀基團;c.以洗淨氣體洗淨該反應器;d.將含氮來源引進該反應器中;e.以洗淨氣體洗淨該反應器;及f.重複進行步驟b至e直到獲得想要的氮化矽膜厚度。在文中所述的方法之一特定具體實施例中,該有機胺基矽烷包含式A前驅物,其中R係選自C5至C6環狀烷基而且R1係選自由線性或分支C1至C3烷基或C5至C6環狀烷基所組成的群組。
在又另一形態中,提供一種利用CVD方法將氮化矽膜形成於基材之至少一表面上的方法,其包含:a.將基材提供於反應器中;b.將作為該等含矽前驅物的至少其一之至少一具有式A、B及C的有機胺基矽烷或其混合物引進該反應器中: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10 烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團;c.提供含氮來源,其中使該至少一有機胺基矽烷前驅物與該含氮來源反應以將該同時包含矽和氮的膜沉積於該至少一表面上。在文中所述的方法之一特定具體實施例中,該有機胺基矽烷包含式A前驅物,其中R係選自C5至C6環狀烷基而且R1係選自由線性或分支C1至C3烷基或C5至C6環狀烷基所組成的群組。
在另一形態中,文中描述一種用以沉積包含一或更多具有式A、B、C的有機胺基矽烷前驅物或其混合物的含矽膜的容器。在一特定具體實施例中,該容器包含至少一裝配適當閥及附件的可加壓容器(較佳由不銹鋼製成)以便能將一或更多前驅物輸送至該反應器供CVD或ALD方法之用。
在又另一形態中,提供用於沉積含矽膜之前驅物組合物,該等前驅物組合物包含:一具有式A、B及C的有機胺基矽烷或其混合物: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10 環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團;及一溶劑,其係選自由醚、三級胺、腈、烷基烴、芳族烴、三級胺基醚或其混合物所組成的群組。在文中所述的前驅物組合物之一特定具體實施例中,該有機胺基矽烷包含式A前驅物,其中R係選自C5至C6環狀烷基而且R1係選自由線性或分支C1至C3烷基或C5至C6環狀烷基所組成的群組。
使用有機胺基矽烷、矽烷或含矽前驅物作為前驅物以形成化學計量及非化學計量的含矽膜例如,但不限於,氧化矽、氧碳化矽、氮化矽、氧氮化矽及氧碳氮化矽。也可使用這些前驅物,舉例來說,作為含金屬膜的摻雜劑。該等有機胺基矽烷前驅物典型為高純度揮發性液態前驅物化 學藥品,其係蒸發並且以氣體形態輸送至沉積艙或反應器以經由用於半導體裝置的CVD或ALD方法沉積含矽膜。用於沉積的前驅物材料的選擇取決於所欲產生的介電材料或膜。舉例來說,前驅物材料可針對其化學元素含量、其化學元素的化學計量比及/或在CVD之下形成所得的含矽膜或塗層做選擇。該前驅物材料也可針對其他特性做選擇,例如成本、相對低毒性、處理特性、於室溫下維持液相的能力、揮發性、分子量及/或其他考量。在特定具體實施例中,文中所述的前驅物可藉由許多手段輸送至該反應器系統,較佳地使用裝配適合閥及附件的可加壓不銹鋼容器,以便讓液相前驅物輸送至該沉積艙或反應器。
文中所述的有機胺基矽烷前驅物顯現均衡的反應性及安定性使其理想上適合作為CVD或ALD前驅物。關於反應性,某些前驅物可能具有太高的沸點而無法被蒸發並且輸送至該反應器呈膜形態沉積於基材上。具有較高相對沸點的前驅物要求該輸送容器及管道必需於或高於該前驅物的沸點下被加熱以防止該容器、管道或二者中形成冷凝或粒子。關於安定性,其他有機矽烷前驅物在其降解時可能形成甲矽烷(SiH4)。甲矽烷於室溫下為自燃性或其可自發性燃燒而出現安全性及處理的議題。再者,甲矽烷及其他副產物的形成降低該前驅物的純度而且像1至2%那麼少的化學純度改變就可能被可靠性半導體製造視為無法接受。在特定的具體實施例中,儲存6個月或更久或1年或更久的時間(表示儲存時安定)之後該等具有式A、B或C的有機 胺基矽烷前驅物包含少於2重量%,或低於1重量%,或低於0.5重量%的副產物(例如對應的雙-矽烷副產物)。除了前述優點之外,在例如使用ALD或PEALD沉積方法沉積氧化矽或氮化矽膜的特定具體實施例中,文中所述的有機胺基矽烷前驅物可能能於較低沉積溫度,例如,於500℃或更低,於400℃或更低,於300℃或更低,於200℃或更低,於100℃或更低,或於50℃或更低下沉積高密度材料。
有一形態中,提供下式A、B或C所示的特定前驅物或有機胺基矽烷: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團。在式A的某些具體實施例 中,R及R1可被結合以形成一環狀或經烷基取代的環狀基團。有一特定具體實施例中,該有機胺基矽烷前驅物是具有式A的化合物,其中R是C5至C6環狀烷基而且R1係選自由線性或分支C1至C3烷基或C5至C6環狀烷基所組成的群組。
在式A的有機胺基矽烷的特定具體實施例中,R1及R2可連結在一起以形成一環。在各個不同具體實施例中,該環包含雜環族環。該環,或者,雜環族環可能是飽和或不飽和。
在式A的有機胺基矽烷的替代具體實施例中,R1及R2沒連結在一起以形成一環。
在式C的某些具體實施例中,R、R2及R1的任一或多者可結合以形成一環狀基團。在這些具體實施例中,該環狀基團可為一碳環或雜環族基團。該環狀基團可為飽和或,也可以,不飽和。
在式C的其他具體實施例中,R及R1或任一者或R、R2及R1分別沒結合以形成一環狀基團。
在式A、B及C和整個描述中,該措辭“烷基”表示具有1至20或1至10或3至10或1至6個碳原子的線性或分支官能基。例示性線性烷基包括,但不限於,甲基、乙基、丙基、丁基、戊基及己基。例示性分支烷基包括,但不限於,異丙基、異丁基、第二丁基、第三丁基、異戊基、第三戊基、異己基及新己基。在特定的具體實施例中,該烷基可具有一或更多附接於該烷基的官能基例如,但不限 於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,該烷基沒有一或更多附接於該烷基的官能基。該烷基可為飽和或,也可以,不飽和。
在式A、B及C和整個描述中,該措辭“環狀烷基”表示具有4至20或5至10個碳原子的環狀基團。例示性環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。在特定具體實施例中,該環狀烷基可具有一或更多C1至C10線性、分支取代基或含氧或氮原子的取代基。在各個不同具體實施例中,該環狀烷基可具有一或更多線性或分支烷基或烷氧基作為取代基,例如,舉例來說,甲基環己基或甲氧基環己基。
在式A、B及C和整個描述中,該措辭“芳基”表示具有5至10或6至10個碳原子的芳族環狀官能基。例示性芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。
在式A、B及C和整個描述中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有2至20或2至12或2至6個碳原子的基團。
在式A、B及C和整個描述中,該措辭“烷氧基”表示連至一氧原子(例如,R-O)並且可具有1至20,或1至12,或1至6個碳原子的烷基。例示性烷氧基包括,但不限於,甲氧基(-OCH3)、乙氧基(-OCH2CH3)、正丙氧基(-OCH2CH2CH3)及異丙氧基(-OCHMe2)。
在式A、B及C和整個描述中,該措辭“不飽和”用於 本文時意指該官能基、取代基、環或架橋具有一或更多碳雙鍵或碳叁鍵。不飽和環的實例可為,但不限於,芳族環例如苯基環。該措辭“飽和”意指該官能基、取代基、環或架橋沒有一或更多碳雙鍵或碳叁鍵。
在式A、B及C和整個描述中,該措辭“烷基胺基”表示有一或兩個烷基連至一氮原子並且具有1至20或2至12或2至6個碳原子的基團。烷基胺基的實例可為,但不限於,六氫吡啶基。
在特定具體實施例中,在式A、B及C中的烷基、烯基、炔基、烷氧基烷基、烷氧基、烷基胺基烷基、芳基及/或芳族基團的一或更多者可被取代或具有一或更多將,舉例來說,氫原子取而代之的原子或原子團。例示性取代基包括,但不限於,氧、硫、鹵素原子(例如,F、Cl、I或Br)、氮及磷。在其他具體實施例中,在式A、B及C中的烷基、烯基、炔基、烷氧基烷基、烷氧基、烷基胺基烷基、芳族及/或芳基均可能未被取代。
在特定具體實施例中,該至少一具有式A、B或C的有機胺基矽烷前驅物具有包含氧原子的一或更多包含氧原子的取代基。在這些具體實施例中,可避免沉積製程期間對於氧來源的需求。在其他具體實施例中,該至少一具有式A、B或C的有機胺基矽烷前驅物具有包含氧原子的一或更多包含氧原子的取代基,也使用氧來源。
有一類文中所述矽化合物是有機胺基矽烷前驅物而且其係由以下式A來表示: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及其中式A中的R及R1也可結合以形成一環狀基團。在文中所述的具有式A的有機胺基矽烷前驅物之另一具體實施例中,R是有或沒有取代基的芳族基團而且R1是線性或分支烷基。在具有式A的化合物之一特定具體實施例中,R是C5至C6環狀烷基而且R1係選自由線性或分支C1至C3烷基或C5至C6環狀烷基所組成的群組。
在式A的又另一具體實施例中,將R及R1結合以形成一衍生自以下化合物一或更多之5或6員雜環族的經取代或未經取代的芳族環,該化合物包括但不限於,吡咯、經烷基取代的吡咯、咪唑、經烷基取代的咪唑、吡唑或經烷基取代的吡唑。此等具體實施例的實例包括,但不限於,N-甲矽烷基吡咯(表III,編號24)、N-甲矽烷基-2,5-二甲基吡咯(表III,編號19)及1-甲矽烷基-7-吖吲哚(表III,編號27)。
在式A的又另一具體實施例中,將R及R1結合以形成一衍生自以下化合物一或更多之5或6員雜環族的經取代或未經取代的脂族環,該化合物包括但不限於,吡咯啶、六氫吡啶、吡咯啶、六氫吡啶、嗎啉、六氫吡嗪或其經烷基取代的衍生物。此等具體實施例的實例包括,但不限於,2,6-二甲基嗎啉基矽烷(表III,編號10)、2-甲基吡咯啶基矽烷(表III,編號12)及N-甲矽烷基十氫喹啉(表III,編號16)。
在式A的某些具體實施例中,R及R1是相同取代基而且該有機胺基矽烷是一對稱分子,附帶條件為R及R1均不是以下基團之一:乙基、異丙基、第三丁基、異丁基、第二丁基、正丁基、第三戊基及第二戊基。此具體實施例之一實例包括,但不限於,二環己基胺基矽烷(表III,編號7)。
在式A的其他具體實施例中,R及R1是不同取代基而且該有機胺基矽烷是一不對稱分子。此等具體實施例的實例包括,但不限於,N-丙基-異丙基胺基矽烷(表III,編號4)、N-甲基環己基胺基矽烷(表III,編號5)、N-乙基環己基胺基矽烷(表III,編號5)、烯丙基苯基胺基矽烷(表III,編號15)、N-異丙基環己基胺基矽烷(表III,編號17)、烯丙基環戊基胺基矽烷(表III,編號18)、苯基環己基胺基矽烷(表III,編號22)及2-(N-甲矽烷基甲基胺基)吡啶(表III,編號25)。
第二類適合用於製造氧化矽層的有機胺基矽烷前驅 物是具有兩個從氮原子懸垂的甲矽烷基的有機胺基矽烷,如式B所示。
在式B中,R係選自C6至C10經取代或未經取代的芳族基團,附帶條件為R不是苯基;C3至C10經取代或未經取代的環狀烷基;線性或分支、經取代或未經取代的C2至C6烯基;C1至C10烷氧基烷基;或C1至C10烷基胺基或二烷基胺基。R也可為C4至C10線性或分支、經取代或未經取代的烷基,附帶條件為R不是未經取代的第三丁基、第三戊基或環己基。
在式B的某些具體實施例中,R是經取代的C5至C10芳族基團,其中該芳族基團係以下列一或多者取代:烷基、烯基、胺基或烷氧基。此等具體實施例的實例包括但不限於N-(4-甲氧基苯基)二矽氮烷(表IV,編號11)、N-(3-甲氧基苯基)二矽氮烷(表IV,編號12)、N-(2-甲氧基苯基)二矽氮烷(表IV,編號13)、N-(4-氯苯基)二矽氮烷(表IV,編號14)、N-(2-氯苯基)二矽氮烷(表IV,編號15)、N-(2-乙基苯基)二矽氮烷(表IV,編號21)、N-(2,6-二乙基苯基)二矽氮烷(表IV,編號22)、N-(2-丙基苯基)二矽氮烷(表IV,編號23)、N-(4-第三丁基苯基)二矽氮烷(表IV,編號24)、N-(4-異丙基苯基)二矽氮烷(表IV,編號25)、N-(2-異丙基苯基)二矽氮烷(表IV,編號26)、N-(3-乙基苯基)二矽氮烷(表IV, 編號30)、N-(4-第二丁基苯基)二矽氮烷(表IV,編號31)、N-(4-乙烯基苯基)二矽氮烷(表IV,編號32)、N-(3-甲基苯基)二矽氮烷(表IV,編號33)、N-(4-甲基苯基)二矽氮烷(表IV,編號34)、N-(2,4,6-三甲基苯基)二矽氮烷(表IV,編號35)及N-(2,6-二異丙基苯基)二矽氮烷(表IV,編號36)。
在式B的某些具體實施例中,R是C5至C10雜環族基團,其中該雜環族基團的環中含有N或O原子而且該基團可以下列一或更多來取代:烷基、烯基、胺基或烷氧基。此等具體實施例的實例包括但不限於1-N-(2-吡啶基)二矽氮烷(表IV,編號1)、N,N-二甲矽烷基-2-胺基嘧啶(表IV,編號2)、N-(4-甲基-2-吡啶基)二矽氮烷(表IV,編號16)、N-(6-甲基-2-吡啶基)二矽氮烷(表IV,編號17)、N-(3-甲基-2-吡啶基)二矽氮烷(表IV,編號18)、N-(5-甲基-2-吡啶基)二矽氮烷(表IV,編號19)及N-[2-(4-甲基嘧啶基)胺基]二矽氮烷(表IV,編號37)。
在式B的某些具體實施例中,R是經取代的C2至C10烷基,其中該烷基係以下列一或多者取代:雜原子(例如,N、Cl、O)、烷基、芳族基團、烷基、烷基胺基或烷氧基。此等具體實施例的實例包括但不限於N-第三戊基二矽氮烷(表IV,編號6)、N-(2-二甲基胺基-1-甲基乙基)二矽氮烷(表IV,編號7)、N-(2-二甲基胺基乙基)二矽氮烷(表IV,編號8)、N-(1-環己基乙基)二矽氮烷(表IV,編號27)、N,N-二甲矽烷基枯基胺(表IV,編號29)、N-[3,3-二甲基丁基-2]二矽氮烷(表IV,編號39)、N,N-二甲矽烷基-2-吡啶甲胺(表 IV,編號40)、N,N-二甲矽烷基-2-(2-吡啶基)乙基胺(表IV,編號41)及N,N-二甲矽烷基-1-(4-甲基苯基)乙基胺(表IV,編號42)。
第三類有機胺基矽烷化合物係由式C來表示。
在式C中,R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或在式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和碳環或雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和的線性或分支烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2。在特定具體實施例中,R及R1相同。在選擇性具體實施例中,R及R1不同。該R2基團架橋於該等氮原子。在該R2基團是單鍵的具體實施例中,其可為直接鍵結於該化合物中的氮原子之氮原子。在特定具體實施例中,該R2基團只是該等氮原子之間的一單鍵。有一選擇性具體實施例中,該R2基團可為一架橋基團,例如SiR2、SiH2、鏈、環或C1至C10線性或C3至C10分支 烷基。在式C的又另一具體實施例中,R及R1可連結在一起。在後者具體實施例中,式C中的R及R1可經由碳-碳單鍵或雙鍵的形成或透過氧或氮原子的鍵聯結合為一雜環族基團。
不受理論所限,咸相信有機胺基矽烷前驅物例如具有文中所述之式A、B及C並且具有一或更多-SiH3基團的那些有機胺基矽烷由於其於經羥基化的半導體表面上反應的較低活化能障(進而較低的沉積溫度)、較低不純度及沉積之後的較高膜密度而優於其他含SiH2或-SiH基團的有機胺基矽烷前驅物。然而,具有-SiH3基團的特定有機胺基矽烷前驅物例如二甲基胺基矽烷(DMAS)或二乙基胺基矽烷(DEAS)非熱安定性,因為其會進行歧化反應而分別形成自燃性矽烷及雙(二甲基胺基)矽烷或雙(二乙基胺基)矽烷。再者,咸認為利用這些特定有機胺基矽烷前驅物所沉積的膜之氮化矽或碳氮化矽網狀結構中可能含有適當量及類型的碳,其可能顯著降低溼式蝕刻速率還有保持特定的介電常數值。
在特定具體實施例中,具有式A、B或C的有機胺基矽烷可藉由使單鹵基矽烷(XSiH3,其中X=Cl、Br或I)或較低分子量的二烷基胺基矽烷例如二異丙基胺基矽烷與以下表I(式A)和II(式B和C)所提供的胺類之一或多者於有機溶劑或溶劑混合物中反應製備。
以下方程式(1)至(6)提供可用以製造文中所述的具有式A、B或C的有機胺基矽烷之反應圖式或合成途徑的實例。在式(1)至(6)中,取代基R、R1及R2與本文關於式A、B或C所述者相同;M是Li、N或K;及X是Cl、Br或I;方程式(5)中的R’係選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基。此外,方程式(2)中也可運用R’3N以形成R’3N-HCl而非RR1N-HCl以降低RR1NH的使用量。式(1)至(6)中的反應圖解可利用(例如,存在)或沒用有機溶劑進行。在使用有機溶劑的具體實施例中,適合有機溶劑的實例包括,但不限於,烴例如己烷類、辛烷、甲苯及四氫呋喃(THF)。在各個不同具體實施例中,若涉及溶劑的話反應溫度係於約-70℃至所用的溶劑沸點之範圍中。所得的有機胺基矽烷可在移除所有副產物以及溶劑(若有的話)之後經由真空蒸餾予以純化。方程式(1)至(5)是用於製造具有式A或B的前驅物之不同具體實施例。方程式(5)是方程式(2)的修飾例以使其更適用於式B化合物。方程式(6)表示式C的合成方法。
用以形成該等含有矽的含矽膜或塗層的方法為沉積方法。用於文中所揭示的方法的適合沉積方法之實例包括,但不限於,循環式CVD(CCVD)、MOCVD(金屬有機CVD)、熱化學氣相沉積、電漿強化化學氣相沉積(“PECVD”)、高密度PECVD、光子輔助CVD、電漿-光子輔助(“PPECVD”)、極低溫化學氣相沉積、化學輔助氣相沉積、熱極化學氣相沉積、液態聚合物前驅物的CVD、由超臨界流體沉積及低能量CVD(LECVD)。在特定的具體實施 例中,該等含金屬膜係經由原子層沉積(ALD)、電漿強化ALD(PEALD)或電漿強化循環式CVD(PECCVD)方法沉積。如文中所用的,該措辭“化學氣相沉積方法”表示使基材暴露於一或更多揮發性前驅物的任何方法,該等前驅物於該基材表面上反應及/或分解而產生所欲的沉積。用於本文時,該措辭“原子層沉積方法”表示自限性(例如,在各反應循環中所沉積的膜材料量固定)的連續表面化學,其將材料膜沉積於變化組成的基材上。儘管文中所用的前驅物、試藥及來源有時候可能被稱作“氣態”,但是咸瞭解該等前驅物可為經由直接汽化、發泡或昇華利用或沒用惰性氣體運送至該反應器的液態或固態。在一些具體實施例中,汽化的前驅物會通過一電漿產生器。有一具體實施例中,該含矽膜係利用ALD方法沉積。在另一具體實施例中,該含矽膜係利用CCVD方法沉積。在又另一具體實施例中,該介電膜係利用熱CVD方法沉積。用於本文時該措辭“反應器”,包括但不限於,反應艙或沉積艙。
在特定的具體實施例中,文中所揭示的方法避免藉由使用ALD或CCVD方法使前驅物預先反應,該等方法在引進該反應器之前及/或期間分離該等前驅物。關此,沉積技術例如ALD或CCVD方法係用以沉積該含矽膜。有一具體實施例中,該膜係經由ALD方法藉著使該基材表面輪流暴露於該一或更多含矽前驅物、氧來源、含氮來源或其他前驅物或試藥而沉積。膜生長藉由表面反應的自限性控制、各前驅物或試藥的脈衝時間長度及沉積溫度沉積。然而, 一旦該基材的表面飽和,該膜生長即停止。
如先前所述,在特定具體實施例中,例如利用ALD或PEALD沉積方法沉積氧化矽或氮化矽膜,文中所述之具有式A、B或C的有機胺基矽烷前驅物可能能於較低沉積溫度下,例如,500℃或更低或400℃或更低、300℃或更低、200℃或更低、100℃或更低或50℃或更低或室溫,沉積膜。在各個不同具體實施例中,該基材(沉積)溫度介於,該等沸點之間的沸點差異介於以下端點之任一或多者:25、50、100、200、300、400或500℃。這些範圍之實例是,但不限於,25至50℃、100°至300℃或100℃至500℃。
在特定的具體實施例中,文中所述的方法另外包含具有上述式A、B或C之有機胺基矽烷前驅物以外的一或更多其他含矽前驅物。其他含矽前驅物的實例包括,但不限於,有機矽化合物例如矽氧烷類(例如,六甲基二矽氧烷(HMDSO)及二甲基矽氧烷(DMSO));有機矽氧烷類(例如,甲基矽烷;二甲基矽烷;乙烯基三甲基矽烷;三甲基矽烷;四甲基矽烷;乙基矽烷;二甲矽烷基甲烷;2,4-二矽雜戊烷;1,4-二矽雜丁烷;2,5-二矽雜己烷;2,2-二甲矽烷基丙烷;1,3,5-三矽雜環己烷及這些化合物的氟化衍生物;含苯基的有機矽化合物(例如,二甲基苯基矽烷及二苯基甲基矽烷);含氧的有機矽化合物,例如,二甲基二甲氧基矽烷;1,3,5,7-四甲基環四矽氧烷;1,1,3,3-四甲基二矽氧烷;1,3,5,7-四矽雜-4-酮基-庚烷;2,4,6,8-四矽雜-3,7-二酮基-壬烷;2,2-二甲基-2,4,6,8-四矽雜-3,7-二酮基-壬烷;八甲 基環四矽氧烷;[1,3,5,7,9]-五甲基環五矽氧烷;1,3,5,7-四矽雜-2,6-二酮基-環辛烷;六甲基環三矽氧烷;1,3-二甲基二矽氧烷;1,3,5,7,9-五甲基環五矽氧烷;六甲氧基二矽氧烷及這些化合物的氟化衍生物。
依據該沉積方法,在特定的具體實施例中,該一或更多含矽前驅物可於預定莫耳體積,或約0.1至約1000微莫耳下引進該反應器。在各個不同具體實施例中,該含矽及/或有機胺基矽烷前驅物可引進該反應器歷經預定時期。在特定的具體實施例中,該時期期介於約0.001至約500秒。
在特定的具體實施例中,使用文中所述的方法沉積的含矽膜係於氧存在下使用氧來源、試藥或包含氧的前驅物沉積。氧來源可以至少一氧來源的形式引進該反應器及/或可附帶存在於該沉積方法所用的其他前驅物中。適合的氧來源氣體可包括,舉例來說,水(H2O)(例如,去離子水、純水及/或蒸餾水)、水電漿、氧(O2)、過氧化物(O3)、氧電漿、臭氧(O3)、NO、NO2、一氧化碳(CO)、二氧化碳(CO2)及其組合。在特定的具體實施例中,該氧來源包含於約1至約2000每分鐘標準立方公分(sccm)或約1至約1000 sccm的流速下引進該反應器的氧來源氣體。該氧來源可被引進歷經介於約0.1至約100秒的時間。在一特定具體實施例中,該氧來源包含具有10℃或更高溫度的水。在藉由ALD或循環式CVD方法沉積該膜的具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該氧來源可具有小於0.01秒的脈衝期間,而該水脈衝期間可具有小於0.01 秒的脈衝期間。在又另一具體實施例中,該等脈衝之間的洗淨期間可低到0秒或連續脈衝而於其間沒有洗淨。該氧來源或試藥係以對該矽前驅物1:1比例的分子量提供,所以原沉積(as deposited)的含矽膜中還保持至少一些碳。
在特定的具體實施例中,該等含矽膜包含矽及氮。在這些具體實施例中,使用文中所述的方法所沉積的含矽膜係於含氮來源存在之下形成。含氮來源可以至少一氮來源的形式引進該反應器及/或可附帶存在於該沉積方法中所用的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氫電漿及其混合物。在特定的具體實施例中,該含氮來源包含氨電漿或氫/氮電漿來源氣體,該來源氣體係於介於約1至約2000每分鐘標準立方公分(sccm)或約1至約1000 sccm的流速下引進該反應器。該含氮來源可被引進歷經介於約0.1至約100秒的時間。在藉由ALD或循環式CVD方法沉積該膜的具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該含氮來源可具有小於0.01秒的脈衝期間,而該水脈衝期間可具有小於0.01秒的脈衝期間。在又另一具體實施例中,該等脈衝之間的洗淨期間可低到0秒或連續脈衝而於其間沒有洗淨。
在此所揭示的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗淨未消耗的反應物及/或反應副產物,為不會與該等前驅物反應的惰性氣體。例示性洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖、氫 (H2)及其混合物。在特定的具體實施例中,洗淨氣體例如Ar係於介於約10至約2000 sccm的流速下歷經約0.1至1000秒供應至該反應器中,藉以洗掉未反應的材料及可能留在該反應器中的任何副產物。
供應該等前驅物、氧來源、該含氮來源及/或其他前驅物、來源氣體及/或試藥的分別步驟可藉由改變供應彼等的時間以改變所得介電膜的化學計量組成而進行。
將能量施於該前驅物、含氮來源、還原劑、其他前驅物或其組合之至少其一以引發反應並且將該含矽膜或塗層形成於該基材上。此能量可經由,但不限於,熱、電漿、脈衝電漿、螺旋電漿(helicon plasma)、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合來提供。在特定的具體實施例中,可使用二次RF頻率來源以改變該基材表面的電漿特性。在沉積涉及電漿的具體實施例中,該電漿產生方法可包含於該反應器中直接產生電漿的直接電漿產生方法,或選擇性地在該反應器外側產生電漿而且供應至該反應器中的遠距電漿產生方法。
該等有機胺基矽烷前驅物及/或其他含矽前驅物可以各式各樣的方式輸送至該反應艙,例如CVD或ALD反應器。有一具體實施例中,可利用液體輸送系統。有一選擇性具體實施例中,可運用合併液體輸送及閃蒸汽化方法,例如,舉例來說,明尼蘇達州,休爾瓦的MSP有限公司所製造的渦輪汽化器使低揮發性材料能夠以體積輸送,導致可再現的運送及沉積而不會使該前驅物熱分解。在液體輸 送配方或組合物中,文中所述的前驅物可以純液體形態遞送,或者,可以溶劑調合物或包含該前驅物的組合物加以運用。因此,在特定的具體實施例中該等前驅物配方可包括指定的最終用途應用可能想要及有益的適合特性的溶劑組分以在一基材上形成膜。
關於包含溶劑及文中所述之具有式A、B或C的有機胺基矽烷前驅物之組合物中使用具有式A、B或C的前驅物的那些具體實施例中,所選擇的溶劑或其混合物不會與該有機胺基矽烷反應。在該組合物中以重量百分比計的溶劑量介於0.5重量%至99.5%或10重量%至75%。在各個不同具體實施例中,該溶劑具有類似於式A、B或C的有機胺基矽烷的沸點之沸點(b.p.)或該溶劑的沸點與式A、B或C的有機胺基矽烷的沸點之間的差異為40℃或更低、30℃或更低或200℃或更低或100℃。也可以,該等沸點之間的沸點差異介於以下端點之任一或多者:0、10、20、30或40℃。沸點差異的適合範圍之實例包括,但不限於,0至40℃、20°至30℃或10°至30℃。該等組合物中的適合溶劑之實例包括,但不限於,醚(例如1,4-二噁烷、二丁醚)、三級胺(例如吡啶、1-甲基六氫吡啶、1-乙基六氫吡啶、N,N'-二甲基六氫吡嗪、N,N,N',N'-四甲基乙二胺)、腈(例如苯甲腈)、烷基烴(例如辛烷、壬烷、十二烷、乙基環己烷)、芳族烴(例如甲苯、三甲苯)、三級胺基醚(例如雙(2-二甲基胺基乙基)醚)或其混合物。一些非限制的例示性組合物包括,但不限於,包含二異丙基胺基矽烷(沸點約116℃)及辛 烷(沸點125至126℃)的組合物;包含二異丙基胺基矽烷(沸點約116℃)及吡啶(沸點115℃)的組合物;包含二異丙基胺基矽烷(沸點約116℃)及甲苯(沸點110℃)的組合物;包含N-甲基環己基胺基矽烷(沸點約171℃)及癸烷(沸點174℃)的組合物;包含N-甲基環己基胺基矽烷(沸點約171℃)及二乙二醇二甲醚(沸點162℃)的組合物;包含N-異丙基環己基胺基矽烷(沸點約199℃)及雙(2-二甲基胺基乙基)醚(沸點189℃)的組合物;包含N-異丙基環己基胺基矽烷(沸點約199℃)及苯甲腈(沸點191℃)的組合物。
在另一具體實施例中,文中描述用於沉積包含一或更多具有式A、B或C的有機胺基矽烷前驅物的含矽膜之容器。有一特定具體實施例中,該容器包含至少一裝配適當閥及附件的可加壓容器(較佳由不銹鋼製成)以便能將一或更多前驅物輸送至該反應器供CVD或ALD方法之用。在各個不同具體實施例中,將該式A、B或C的有機胺基矽烷前驅物提供於包含不銹鋼的可加壓容器中而且該前驅物的純度為98重量%或更高或99.5%或更高,其適用於大部分半導體應用。在特定的具體實施例中,必要的話這樣的容器也具有用於混合該等前驅物與一或更多其他前驅物的裝置。在各個不同具體實施例中,該(等)容器的內容物可與另一前驅物預先混合。或者,該有機胺基矽烷前驅物及/或其他前驅物可保持於獨立容器中或具有分隔機構以供於儲存期間使該有機胺基矽烷前驅物及其他前驅物保持分開之單一容器中。
如先前所述,該有機胺基矽烷的純度高到足以為可靠性半導體製造所接受。在特定具體實施例中,文中所述之具有式A、B或C的有機胺基矽烷前驅物包含低於2重量%或低於1重量%或低於0.5重量%的以下雜質之一或多者:游離胺類、鹵化物及較高分子量物種。較高純度的文中所述之有機胺基矽烷類可透過以下方法之一或多者獲得:純度、吸附及/或蒸餾。
在文中所述的方法之一具體實施例中,可使用循環式沉積方法例如CCVD、ALD或PEALD,其中使用至少一選自具有式A、B或C的有機胺基矽烷前驅物的含矽前驅物及任意含氮來源例如,舉例來說,氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氫電漿。
在文中所述的方法之特定的具體實施例中,該沉積方法中使用還原劑。還原劑之實例包括,但不限於,氫、肼或氫電漿。
在特定的具體實施例中,從該等前驅物藥罐連接到該反應艙的氣體管道係加熱至一或更多溫度,其取決於加工的需求,而且使該具有式A、B或C的有機胺基矽烷前驅物的容器保持於一或更多溫度以供起泡。在其他具體實施例中,把包含該至少一具有式A、B或C的含矽前驅物的溶液注入保持於一或更多溫度的汽化器以供直接液體注入。
氬及/或其他氣體流可當作載體氣體以協助在該前驅物脈衝的期間將該至少一有機胺基矽烷前驅物蒸氣輸送至 該反應艙。在特定的具體實施例中,該反應艙加工壓力為約1托耳。
在典型的ALD或CCVD製程中,該基材例如氧化矽基材係於一反應艙的加熱器段上加熱,該反應艙最初暴露於該含矽前驅物以使該錯合物能化學吸附於該基材的表面上。
洗淨氣體例如氬從該加工艙洗掉未被吸附的過量錯合物。經過充分洗淨之後,可將含氮來源引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。此加工循環可重複進行以達到所欲的膜厚度。
在各個不同具體實施例中,咸瞭解文中所述的方法的步驟可以各式各樣的順序及其任何組合進行,可連續地或同時地進行(例如,在另一步驟的至少一部分的期間)。供應該等前驅物及該等含氮來源氣體的分別步驟可藉由變化供應彼等的時期以改變所得含矽膜的化學計量組成而進行。
在文中所揭示的方法的另一具體實施例中,使用ALD沉積方法形成同時含矽及氮的膜,該方法包含下列步驟:將基材提供於一ALD反應器中;將至少一具有式A、B及C的有機胺基矽烷或其混合物引進該ALD反應器中: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性、分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團;使該至少一有機胺基矽烷前驅物化學吸附於一基材上;使用洗淨氣體將洗掉未反應的至少一有機胺基矽烷前驅物;將氮來源提供給在被加熱的基材上的有機胺基矽烷前驅物以與該被吸附的至少一有機胺基矽烷前驅物反應;及任意洗掉任何未反應的含氮來源。
在文中所揭示的方法的另一具體實施例中,使用ALD沉積方法形成該等介電膜,該方法包含下列步驟:將基材提供於一反應器中;將至少一具有式A、B及C的有機胺基矽烷或其混合 物引進該反應器中: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性、分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團;使該至少一有機胺基矽烷前驅物化學吸附於一基材上;使用洗淨氣體將洗掉未反應的至少一有機胺基矽烷前驅物;將氧來源提供給在被加熱的基材上的有機胺基矽烷前驅物以與該被吸附的至少一有機胺基矽烷前驅物反應;及任意洗掉任何未反應的氧來源。
在文中所述的方法的又另一具體實施例中,使用該等有機胺基矽烷前驅物來沉積含矽膜,其係非晶膜、結晶性矽膜或其混合物。在這些具體實施例中,使用選自ALD或循環式CVD的沉積方法形成該等含矽膜,該方法包含以下步驟:將一或更多基材放入一反應器中,該反應器係加熱至介於室溫至約700℃的溫度並且保持於1托耳或更低的壓力;將至少一具有式A、B及C的有機胺基矽烷或其混合物引進該反應器: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性、分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及 R1也可結合以形成一環狀基團;將還原劑提供至該反應器中以與該至少一有機胺基矽烷前驅物至少部分反應並且將含矽膜沉積於該一或更多基材上,其中該還原劑係至少一選自由氫、氫電漿或氯化氫所組成的群組。
上述步驟界定文中所述的方法之一循環;而且該循環可重複進行直到獲得想要的含矽膜厚度為止。在各個不同具體實施例中,咸瞭解文中所述的方法的步驟可以各式各樣的順序及其任何組合進行,可連續地或同時地進行(例如,在另一步驟的至少一部分的期間)。供應該等前驅物及氧來源的分別步驟可藉由變化供應彼等的時期以改變所得含矽膜的化學計量組成而進行,但是始終使用相對於可利用的矽低於化學計量的氧。
有關多組分含矽膜,其他前驅物例如含矽前驅物,含氮前驅物、還原劑或其他試藥可輪流引進該反應器艙內。
在文中所述的方法的另一具體實施例中,該含矽膜係使用熱CVD方法來沉積。在此具體實施例中,該方法包含:將一或更多基材放入反應器中,該反應器係加熱至介於室溫至約700℃的溫度並且保持於1托耳或更低的壓力;引進至少一具有式A、B及C的有機胺基矽烷或其混合物: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性、分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團;及將含氮來源提供至該反應器中以與該至少一有機胺基矽烷前驅物至少部分反應並且將該含矽膜沉積於該一或更多基材上。在該CVD方法的特定具體實施例中,在該引進步驟期間該反應器係保持於介於100毫托耳至600毫托耳的壓力。
上述步驟界定文中所述的方法之一循環;而且該循環可重複進行直到獲得想要的含矽膜厚度為止。在各個不同具體實施例中,咸瞭解文中所述的方法的步驟可以各式各樣的順序及其任何組合進行,可連續地或同時地進行(例如,在另一步驟的至少一部分的期間)。供應該等前驅物及氧來源的分別步驟可藉由變化供應彼等的時期以改變所得含矽膜的化學計量組成而進行,但是始終使用相對於可利 用的矽低於化學計量的氧。
有關多組分含矽膜,其他前驅物例如含矽前驅物,含氮前驅物、氧來源、還原劑及/或其他試藥可輪流引進該反應器艙內。
在文中所述的方法的另一具體實施例中,該含矽膜係使用熱CVD方法來沉積。在此具體實施例中,該方法包含:將一或更多基材放入一反應器中,該反應器係加熱至介於室溫至約700℃的溫度並且保持於1托耳或更低的壓力;引進至少一具有式A、B及C的有機胺基矽烷或其混合物作為該等含矽前驅物之至少其一: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性、分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和 的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團;及將含氮來源提供至該反應器中以與該至少一有機胺基矽烷前驅物至少部分反應並且將該含矽膜沉積於該一或更多基材上。在該CVD方法的特定具體實施例中,在該引進步驟期間該反應器係保持於介於100毫托耳至600毫托耳的壓力。
在特定的具體實施例中,也可使用文中所述之具有式A、B或C的有機胺基矽烷前驅物作為含金屬膜,例如但不限於,金屬氧化物膜或金屬氮化物膜,的摻雜劑。在這些具體實施例中,利用ALD或CVD方法例如文中所述的那些方法使用金屬烷氧化物、金屬醯胺或揮發性有機金屬前驅物來沉積該含金屬膜。可搭配文中所揭示的方法使用的適合金屬烷氧化物前驅物的實例包括,但不限於,第3至6族金屬烷氧化物、同時具有烷氧基及經烷基取代的環戊二烯配位子的第3至6族金屬錯合物、同時具有烷氧基及經烷基取代的吡咯基配位子的第3至6族金屬錯合物、同時具有烷氧基及二酮酸根配位子的第3至6族金屬錯合物;同時具有烷氧基及酮酯配位子的第3至6族金屬錯合物;可搭配文中所揭示的方法使用的適合金屬醯胺前驅物的實例包括,但不限於,肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)及肆(乙基甲基胺基)鉿(TEMAH)、肆(二甲基胺基)鈦(TDMAT)、 肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、第三丁基亞胺基三(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基三(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基三(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基三(二乙基胺基)鉭(EITDET)、乙基亞胺基三(二甲基胺基)鉭(EITDMT)、乙基亞胺基三(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基三(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基三(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基三(乙基甲基胺基)鉭、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢及其組合。可搭配文中所揭示的方法使用的適合有機金屬前驅物的實例包括,但不限於,第3族金屬環戊二烯基化物或烷基環戊二烯基化物。文中的例示性第3至6族金屬包括,但不限於,Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo及W。
在特定的具體實施例中,所得的含矽膜或塗層可暴露於沉積後處理例如,但不限於,電漿處理、化學處理、紫外光曝光、電子束曝光及/或其他處理以影響該膜的一或更多性質。
在特定的具體實施例中,文中所述的含矽膜具有6或更小的介電常數。在各個不同具體實施例中,該等膜可具有約5或以下,或約4或以下,或約3.5或以下的介電常數。然而,想像具有其他介電常數(例如,更高或更低)的 膜可依據該膜所欲的最終用途形成。使用該等有機胺基矽烷前驅物及文中所述的方法所形成的含矽膜之實例具有此式SixOyCzNvHw,其中Si介於約10%至約40%;O介於約0%至約65%;C介於約0%至約75%或約0%至約50%;N介於約0%至約75%或約0%至50%;而且H介於約0%至約50%原子百分比重量%,其中x+y+z+v+w=100原子重量百分比,舉例來說,由XPS或其他裝置所測得的。
如先前提過的,文中所述的方法可用於將含矽膜沉積於基材的至少一部分上。適合基材的實例包括但不限於,矽、SiO2、Si3N4、OSG、FSG、碳化矽、加氫碳化矽、氮化矽、加氫氮化矽、碳氮化矽、加氫碳氮化矽、氮化硼、抗反射塗層、光阻劑、有機聚合物、多孔性有機及無機材料、金屬例如銅及鋁及擴散阻障層,例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜可與多變的後續加工步驟相容,例如,舉例來說,化學機械平坦化(CMP)及非等方性蝕刻製程。
所沉積的膜具有下列應用,其包括,但不限於,電腦晶片、光學裝置、磁性資訊儲存、於支撐材料或基材上的塗層、微電機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)及液晶顯示器(LCD)。
下列實施例舉例說明用於製備文中所述的有機胺基矽烷前驅物以及所沉積的含矽膜的方法而且不欲以任何方式限制本發明。
實施例 實施例1:N-異丙基環己基胺基矽烷等的合成 具有式A的有機胺基矽烷前驅物
在一500 ml施冷克(Schlenk)燒瓶中,使247.3g(1.75 mol)N-異丙基環己基胺及229.9 g(1.75 mol)二異丙基胺基矽烷在氮氣之下迴流8天。利用於40 mmHg的壓力下之真空及50℃移除相對較低沸點的副產物二異丙基胺。部分真空分餾提供50 g的純N-異丙基環己基胺基矽烷。標準沸點(於1大氣壓下測量)藉由示差掃描熱分析儀(DSC)測量為約199℃。該最終產物藉由質譜分析(MS)界定其特徵,該質譜分析係提供於圖1而且峰於171(M+),156(M-CH3)。
使用之前小心清洗兩個10 cc不銹鋼安瓿並且於175℃下烘乾。將數份N-異丙基環己基胺的5 g試樣個別裝於手套箱內的安瓿中。接著使用預設於80℃±2℃的實驗室烘箱使安瓿儲藏於恆溫環境中1周及2周的間隔。藉由氣體層析(GC)測定該等試樣以求得降解的程度。該等GC結果顯示該化驗1周僅滑落約0.20重量%而且2周0.27重量%,這證明其具有優良安定性並且可作為可靠性半導體製程的適合前驅物。
式A的其他有機胺基矽烷前驅物係依據本文的方程式(1)中所述的反應圖解使用二異丙基胺基矽烷及以下表I提供的胺類之一或多者製成。具有式A之想要的有機胺基矽烷前驅物藉由真空蒸餾製得並且藉由質譜分析(MS)界定其特徵。表III中提供各自有機胺基矽烷前驅物的分子量 (MW)、構造及對應的MS片斷峰以確認其身份。
實施例2:具有式B的N-2-吡啶基二矽氮烷及其他有機胺基矽烷前驅物
在一500 ml施冷克燒瓶中,於周遭溫度下在氮氣作用 之下攪拌57 g(0.5 mol)2-胺基吡啶及196.5 g(1.5 mol)二異丙基胺基矽烷12小時。利用於20 mmHg的壓力及室溫(25℃)下之真空移除相對較低沸點的副產物二異丙基胺。接著另外再攪拌該反應混合物12小時。藉由真空蒸餾獲得產物N-2-吡啶基二矽氮烷(65 g,84.5%產率),其於6 mm Hg下具有60℃的沸點。該最終產物藉由質譜分析(MS)界定其特徵,該質譜分析係提供於圖1中而且當中顯示於154(M+)、153(M-CH3)、123(M-SiH3)、121、106、94及80的峰。該N-2-吡啶基二矽氮烷的分子量為154.32。
其他有機胺基矽烷前驅物係依據本文的方程式(5)中所述的反應圖解使用二異丙基胺基矽烷及以下表II提供的胺類之一或多者(式B)製造以提供一反應混合物並且於周遭溫度在氮氛圍之下攪拌該反應混合物12小時。選定的胺之選擇影響預期得到的最終產物前驅物。舉例來說,N-金剛烷基二矽氮烷係由包含二異丙基胺基矽烷及1-金剛烷基胺的反應混合物製造。利用於20 mmHg的壓力及室溫(25℃)下之真空移除相對較低沸點的副產物二異丙基胺。接著另外再攪拌該反應混合物12小時。具有式B之想要的有機胺基矽烷最終產物係藉由真空蒸餾製得。該最終產物藉由質譜分析(MS)界定其特徵並且於表IV中提供各自最終產物的峰及分子量以確認其身份。
實施例3:具有式C的N,N’-二甲矽烷基-反式-2,5-二甲基六氫吡啶及其他有機胺基矽烷前驅物的合成
在一500 ml施冷克燒瓶中,於周遭溫度下在氮氣氛圍之下攪拌57 g(0.5 mol)反式-2,5-二甲基六氫吡啶及196.5 g(1.5 mol)二異丙基胺基矽烷12小時。利用於20 mmHg的壓力及室溫(25℃)下之真空移除相對較低沸點的副產物二異丙基胺。接著另外再攪拌該反應混合物12小時。藉由真空蒸餾獲得產物N,N’-二甲矽烷基-反式-2,5-二甲基六氫吡啶(78 g,90%產率),其於10 mm Hg下具有54℃的沸點。該最終產物藉由質譜分析(MS)界定其特徵,該質譜分析係提供於圖2中而且當中顯示於174(M+)、159(M-CH3)、143(M-SiH3)、131、117、100、83、72及58的峰。該N,N’-二甲矽烷基-反式-2,5-二甲基六氫吡啶的分子量為174.39。
其他有機胺基矽烷前驅物係依據本文的方程式(6)中所述的反應圖解使用二異丙基胺基矽烷及以下表II提供的胺類之一或多者(式B或C)製造以提供一反應混合物並且於周遭溫度在氮氛圍之下攪拌該反應混合物12小時。選定的胺之選擇影響預期得到的最終產物前驅物。舉例來說,N,N’-二(2-嘧啶基)三矽氮烷係由包含二異丙基胺基矽烷及2-胺基嘧啶的反應混合物製造。利用於20 mmHg的壓力及室溫(25℃)下之真空移除相對較低沸點的副產物二異丙基胺。接著另外再攪拌該反應混合物12小時。具有式C之想要的有機胺基矽烷最終產物係藉由真空蒸餾製得。該最終產物藉由質譜分析(MS)界定其特徵並且於表V中提供各自最終產物的峰及分子量。
實施例4:式A前驅物的相對化學安定劑的電腦模擬
為了瞭解用於沉積方法的前驅物候選物的熱安定性,藉由以下式A前驅物進行量子力學計算:N-甲矽烷基十氫喹啉、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷及二環己基胺基矽烷。該等量子力學計算結果係利用密度泛函理論(DFT)獲得以估算以下搶奪反應(scrambling reaction)的動力學和熱力學行為:2SiH3L → SiH4+SiH2L2(其中L=十氫喹啉、N-甲基環己基胺基、N-乙基環己基胺 基、N-異丙基環己基胺基及二環己基胺基)。
由於實驗證實鋼瓶頂部空間中的壓力累積使得有潛在安全危害性的甲矽烷(SiH4)形成,所以才為此模擬選定這個特殊反應。此反應是開端而且一般視為產生SiX4及SiH4作為最終產物的一系列類似步驟中的速度限制。在所有電子近似法中利用由B88交換能泛函()
在所有電近似法中使用由B88交換泛函數(exchange functional)(Becke,Phys.Rev.A 38,3098 1988)及LYP相關泛函數(correlation functional)(Lee Yang Parr,Phys.Rev.B 37,785 1988)所組成的BLYP密度泛函結合由Accelrys所發表的Materials Studio® 5.5之Dmol3模組(B.Delley,J.Chem.Phys.92,508 1990;B.Delley,J.Chem.Phys.113,7756 2000)中施行的雙重計數原子基底函數組(double numerical atomic basis set)及4.0oA總體截斷(global cutoff)進行計算。
於表VI中提供計算結果。從該表VI可見到所有反應均為熱力學有利者或接近熱中性(由反應的負能量或接近反應零能,Erxn,指示)。表VI也顯示提高接附於該氮原子的碳原子膨鬆性將提高搶奪反應的活化能(Ea),其指示經由延緩動力學提高關於此熱分解機構的熱安定性。提高活化能造成較少部分的分子帶有足以克服反應物與產物(其形成可能不是熱力學有利的)之間的能障的能量。此結果是於指定溫度下的化學反應延緩,或,也可能,提高達到此機械的一定分解速率所需的溫度。
實施例5:氧化矽膜的原子層沉積
利用以下式A前驅物進行氧化矽膜的原子層沉積:N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷及N-異丙基環己基胺基矽烷。藉由實驗室規模的ALD加工機具進行沉積。所有氣體(例如,洗淨及反應物氣體或前驅物及氧來源)在進入沉積區之前先預熱至100℃。以具有高速致動能力的ALD膜片閥控制氣體及前驅物流速。沉積時所用的基材是熱電耦接附於試樣固持器上以確認基材溫度的12吋長的矽條。利用400個循環的基線以臭氧作為氧來源氣體進行沉積並且將沉積的加工參數列於表VII中。
界定所得的SiO2膜的沉積速率及折射率特徵。該等膜的厚度及折射率利用FilmTek 2000SE橢圓儀藉由將該膜的反射數據擬合於預設的物理模型(例如,Lorentz Oscillator模型)測得。關於折射率,大約1.44至1.47的值總是反映典型的CVD氧化矽膜。所有測試的前驅物均沉積出具有介於約1.4至約1.5的折射率的膜。
氧化矽膜係經由ALD於150℃的基材溫度利用N-甲基 環己基胺基矽烷及臭氧沉積。該N-甲基環己基胺基矽烷前驅物係於17托耳下配合介於1秒至5秒的脈衝時間輸送,同時將臭氧流量固定於5000 sccm下4秒。圖7顯示該等N-甲基環己基胺基矽烷膜的沉積速率與前驅物脈衝時間無關,其顯現出於2Å/cycle的自限性行為。該等膜的折射率介於1.45與1.47之間,其係氧化矽膜的典型值。
氧化矽膜係經由ALD於75℃、100℃及150℃的溫度利用N-甲基環己基胺基矽烷及臭氧沉積。該N-甲基環己基胺基矽烷前驅物係於17托耳下輸送2秒,同時將臭氧流量固定於5000 sccm下4秒。表VIII提供呈基材溫度的函數之沉積速率。
氧碳化矽膜係經由ALD於介於45℃至150℃的各個不同溫度利用N-乙基環己基胺基矽烷及臭氧沉積。該N-乙基環己基胺基矽烷前驅物係於9托耳下輸送2秒,同時將臭氧流量固定於5000 sccm下4秒。表VIII提供呈基材溫度的函數之沉積速率。表VIII中也提供關於所沉積的膜之折射率。該等N-乙基環己基胺基矽烷沉積膜的折射率隨著溫度提高從1.60降至1.45。越高的折射率表示該等氧化矽膜中的碳摻雜劑越多。
氧碳化矽膜係經由ALD於介於45℃至300℃的各個不同溫度利用N-異丙基環己基胺基矽烷及臭氧沉積。該N-異丙基環己基胺基矽烷前驅物係於3托耳下輸送2秒,同時將臭氧流量固定於5000 sccm下2秒。表VIII提供呈基材溫度的函數之沉積速率。表VIII中也提供關於所沉積的 膜之折射率。該等N-異丙基環己基胺基矽烷沉積膜的折射率隨著溫度提高從1.77降至1.50。將沉積速率對比於利用N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷沉積的膜之溫度的比較列於表VIII中。表VIII顯示較大的R取代基基團例如異丙基在沉積製程的期間提供立體阻礙,其造成較低沉積速率以及更多碳摻入,如同比較小R取代基例如甲基更高的折射率所證實的。然而,碳含量極有可能能經由調整ALD條件例如利用臭氧以外的氧化劑而降低,讓N-乙基環己基胺基矽烷或N-異丙基環己基胺基矽烷能用以沉積高純度氧化矽。
圖1提供文中所述及表III編號17和實施例1所述之具有式A的N-異丙基環己基胺基矽烷的質譜分析(MS)光譜。
圖2提供文中所述及表III編號7所述之具有式A的二環己基胺基矽烷的質譜分析(MS)光譜。
圖3提供文中及實施例2所述之具有式B的N-2-吡啶基二矽氮烷的質譜分析(MS)光譜。
圖4提供文中及實施例3所述之具有式C的N,N’-二甲矽烷基-反式-2,5-二甲基六氫吡啶的質譜分析(MS)光譜。
圖5提供文中所述及表III編號5所述之具有式A的N-甲基環己基胺基矽烷的質譜分析(MS)光譜。
圖6提供文中所述及表III編號6所述之具有式A的N-乙基環己基胺基矽烷的質譜分析(MS)光譜。
圖7以實施例5所述的前驅物脈衝時間的函數之形式提供利用N-甲基環己基胺基矽烷前驅物所沉積的氧化矽膜的沉積速率。

Claims (10)

  1. 一種下式A、B或C中之其一所示之有機胺基矽烷, 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C3至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的R亦可為帶或沒帶取代基的甲矽烷基;其中R1係獨立地選自C3至C10線性、分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;及其中R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,及其中式A中的R及R1也可結合以形成一環狀基團,其中式C中的R、R1及R2中的任一個或多個也可結合以形成一環狀基團,附帶條件為該有機胺基矽烷係選自由以下所組成的群組之一者:(a)該有機胺基矽烷包含1-甲矽烷基-7-吖吲哚; (b)該有機胺基矽烷包含N-甲矽烷基-2,5-二甲基吡咯;(c)該有機胺基矽烷具有式B,其中式B的R為經取代的C5至C10芳族基團,其包含下列一或多個取代基:烷基、烯基或烷氧基;及(d)該有機胺基矽烷具有式B,其中式B的R為經取代的C2至C10烷基,其中該烷基係以下列一或多者取代:雜原子、芳族基團、烷基胺基或烷氧基。
  2. 一種用於沉積含矽膜之組合物,其包含:一具有式A、B及C的有機胺基矽烷或其混合物: 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C2至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或式C中的R亦可為帶或沒帶取代基的甲矽烷基;R1係獨立地選自C3至C10線性、分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;氫原子;線性或分支C3至C10烯基;C1至C10烷氧基;C1至C10烷基胺基;或帶取代基的甲矽烷基;而且R2表示單鍵;飽和或不飽和、線性或分支、經取代或未經取代的烴鏈,其中碳原子數目介於1至10;飽和或不飽和的碳環或雜環族環;SiR2;或SiH2,而且其中 式A中的R及R1也可結合以形成一環狀基團,及一溶劑,其係選自由醚、三級胺、腈、三級胺基醚及其混合物所組成的群組。
  3. 如申請專利範圍第2項的組合物,其中該有機胺基矽烷及溶劑各自具有一沸點及其中介於該有機胺基矽烷的沸點與該溶劑的沸點之間的差異是40℃或更小。
  4. 如申請專利範圍第2項之組合物,其中該有機胺基矽烷及溶劑各自具有一沸點及其中介於該有機胺基矽烷的沸點與該溶劑的沸點之間的差異是20℃或更小。
  5. 一種下列式A的有機胺基矽烷, 其中R係獨立地選自C1至C10線性或分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和雜環族基團;線性或分支C3至C10烯基;C1至C10烷氧基;或C1至C10烷基胺基;其中R1係獨立地選自C3至C10線性、分支烷基;C3至C10環狀烷基;C5至C10芳族基團;C3至C10飽和或不飽和 雜環族基團;氫原子;線性或分支C3至C10烯基;C1至C10烷氧基;或C1至C10烷基胺基;及附帶條件為式A中的R及R1係選自由以下所組成的群組之一者:(a)該有機胺基矽烷包含1-甲矽烷基-7-吖吲哚;(b)將R及R1結合以形成一5或6員雜環族的經取代或未經取代的脂族環,該有機胺基矽烷包含N-甲矽烷基十氫喹啉;(c)R及R1是相同取代基,附帶條件為R及R1均不是以下基團之一:乙基、異丙基、第三丁基、異丁基、第二丁基、正丁基、第三戊基及第二戊基;及(d)R及R1是不同取代基。
  6. 如申請專利範圍第5項之有機胺基矽烷,其中R及R1係不同取代基並且其中該有機胺基矽烷係選自由以下所組成的群組者:N-丙基-異丙基胺基矽烷、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、烯丙基苯基胺基矽烷、N-異丙基環己基胺基矽烷、烯丙基環戊基胺基矽烷、苯基環己基胺基矽烷及2-(N-甲矽烷基甲基胺基)吡啶。
  7. 一種以下式B之有機胺基矽烷, 其中R係選自C6至C10經取代的芳族基團其至少具有 一個選自烷基、烯基、胺基及烷氧基所組成的群組的取代基團,或未經取代的芳族基團,附帶條件為R不是苯基;線性或分支的經取代或未經取代的C3至C10烯基;C1至C10烷氧基烷基;C1至C10烷基胺基或二烷基胺基;或C4至C10線性或分支的經取代或未經取代的烷基,附帶條件為R不是未經取代的第三丁基、第三戊基或環己基。
  8. 如申請專利範圍第7項之有機胺基矽烷,其係選自由以下所組成的群組者:N-(4-甲氧基苯基)二矽氮烷、N-(3-甲氧基苯基)二矽氮烷、N-(2-甲氧基苯基)二矽氮烷、N-(4-氯苯基)二矽氮烷、N-(2-氯苯基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,6-二乙基苯基)二矽氮烷、N-(2-丙基苯基)二矽氮烷、N-(4-第三丁基苯基)二矽氮烷、N-(4-異丙基苯基)二矽氮烷、N-(2-異丙基苯基)二矽氮烷、N-(3-乙基苯基)二矽氮烷、N-(4-第二丁基苯基)二矽氮烷、N-(4-乙烯基苯基)二矽氮烷、N-(3-甲基苯基)二矽氮烷、N-(4-甲基苯基)二矽氮烷、N-(2,4,6-三甲基苯基)二矽氮烷及N-(2,6-二異丙基苯基)二矽氮烷。
  9. 一種有機胺基矽烷,其係選自由以下所組成的群組者:1-N-(2-吡啶基)二矽氮烷、N,N-二甲矽烷基-2-胺基嘧啶、N-(4-甲基-2-吡啶基)二矽氮烷、N-(6-甲基-2-吡啶基)二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(5-甲基-2-吡啶基)二矽氮烷及N-[2-(4-甲基嘧啶基)胺基]二矽氮烷。
  10. 一種有機胺基矽烷,其係選自由以下所組成的群組者:N-第三戊基二矽氮烷、N-(2-二甲基胺基-1-甲基乙基)二矽氮烷、N-(2-二甲基胺基乙基)二矽氮烷、N-(1-環己基乙基)二矽氮烷、N,N-二甲矽烷基枯基胺、N-[3,3-二甲基丁基-2]二矽氮烷、N,N-二甲矽烷基-2-吡啶甲胺、N,N-二甲矽烷基-2-(2-吡啶基)乙基胺及N,N-二甲矽烷基-1-(4-甲基苯基)乙基胺。
TW101118402A 2011-05-24 2012-05-23 有機胺基矽烷前驅物及其製造和使用方法 TWI541248B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161489486P 2011-05-24 2011-05-24
US13/474,076 US8771807B2 (en) 2011-05-24 2012-05-17 Organoaminosilane precursors and methods for making and using same

Publications (2)

Publication Number Publication Date
TW201247690A TW201247690A (en) 2012-12-01
TWI541248B true TWI541248B (zh) 2016-07-11

Family

ID=46197051

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101118402A TWI541248B (zh) 2011-05-24 2012-05-23 有機胺基矽烷前驅物及其製造和使用方法

Country Status (6)

Country Link
US (2) US8771807B2 (zh)
EP (1) EP2535343B1 (zh)
JP (3) JP6404540B2 (zh)
KR (3) KR101470876B1 (zh)
CN (1) CN102827198B (zh)
TW (1) TWI541248B (zh)

Families Citing this family (395)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10573511B2 (en) * 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
KR101600337B1 (ko) * 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
JP6267374B2 (ja) * 2013-07-31 2018-01-24 東京エレクトロン株式会社 シリコン膜の成膜方法
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9920077B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6272033B2 (ja) * 2014-01-06 2018-01-31 株式会社Adeka 原子層堆積法による酸化ケイ素又は酸窒化ケイ素薄膜の製造方法
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR20150108664A (ko) * 2014-03-18 2015-09-30 주식회사 유진테크 머티리얼즈 전구체 화합물 및 이를 이용한 박막 증착 방법, 어모퍼스 실리콘막의 증착방법
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
CN106459098A (zh) * 2014-05-30 2017-02-22 道康宁公司 单氨基硅烷化合物
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016142226A1 (en) * 2015-03-12 2016-09-15 Basf Se Process for the generation of thin inorganic films
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11035039B2 (en) * 2015-07-31 2021-06-15 Versum Materials Us, Llc Compositions and methods for depositing silicon nitride films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
CN117265512A (zh) * 2015-09-11 2023-12-22 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102153564B1 (ko) * 2015-10-06 2020-09-08 버슘머트리얼즈 유에스, 엘엘씨 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10875877B2 (en) * 2015-12-18 2020-12-29 Dow Silicones Corporation Method for making an organoaminosilane; a method for making a silylamine from the organoaminosilane
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) * 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102482618B1 (ko) * 2016-02-26 2022-12-28 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 막의 증착을 위한 조성물, 및 이를 이용한 방법
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
CN108713243B (zh) * 2016-03-11 2022-11-01 大阳日酸株式会社 硅氮化膜的制造方法及硅氮化膜
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
TW201822259A (zh) * 2016-09-09 2018-06-16 美商諾發系統有限公司 氧摻雜矽碳化物膜之基於遠程電漿的沉積
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6787875B2 (ja) * 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) * 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020101437A1 (ko) * 2018-11-15 2020-05-22 주식회사 유피케미칼 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2022518595A (ja) * 2019-02-01 2022-03-15 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜のための組成物及びその組成物を使用する方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020163359A1 (en) 2019-02-05 2020-08-13 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210146448A (ko) * 2019-04-25 2021-12-03 버슘머트리얼즈 유에스, 엘엘씨 실리콘 옥사이드 박막의 고온 원자층 증착을 위한 오가노아미노디실라잔
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020241153A1 (ja) * 2019-05-25 2020-12-03 国立大学法人東北大学 走査ミラーおよび走査ミラーの製造方法
EP3978987A4 (en) * 2019-05-25 2022-07-27 Tohoku University SCANNING MIRROR AND MANUFACTURING METHOD FOR A SCANNING MIRROR
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
JP7336365B2 (ja) * 2019-11-19 2023-08-31 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
JP7472312B2 (ja) 2020-03-31 2024-04-22 インテグリス・インコーポレーテッド ケイ素含有膜を調製するための前駆体及び方法
JP2023520226A (ja) 2020-04-02 2023-05-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有薄膜の堆積のための有機アミノ官能基を有する環式オリゴシロキサン
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112279665B (zh) * 2020-11-06 2022-06-07 浙江华茂航天科技股份有限公司 一种吸波陶瓷先驱体及其制备方法和应用
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
CN112420137B (zh) * 2020-11-18 2024-02-06 齐鲁工业大学 一种球体包覆模型的构建方法以及界面吸附评价方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023195691A1 (ko) * 2022-04-08 2023-10-12 (주)디엔에프 실라잔 화합물을 포함하는 실리콘 함유 봉지막용 조성물 및 이를 이용하는 실리콘 함유 봉지막의 제조방법
US20240182498A1 (en) * 2022-11-22 2024-06-06 Entegris, Inc. Disilylamine precursors and related methods

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1008403A (en) 1961-01-19 1965-10-27 Secr Aviation Polymeric compounds containing silicon-nitrogen bonds
SE396216B (sv) * 1973-03-15 1977-09-12 Thomae Gmbh Dr K Forfarande for framstellning av n-(2-amino-3,5-dibrombensyl)-n-metylcyklohexylamin
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
GB8825864D0 (en) * 1988-11-04 1988-12-07 Tioxide Group Plc Curable compositions
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH04151839A (ja) 1990-10-16 1992-05-25 Kawasaki Steel Corp シリコンオキシナイトライド膜の製造方法
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
US5382550A (en) 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
JP3336770B2 (ja) 1993-12-27 2002-10-21 ソニー株式会社 絶縁膜の形成方法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
JPH0899979A (ja) * 1994-08-01 1996-04-16 Shin Etsu Chem Co Ltd ジシリルアミンの製造方法
JPH08227890A (ja) 1995-02-21 1996-09-03 Sony Corp 半導体基体の保護絶縁膜形成方法
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
KR20010032764A (ko) 1997-12-02 2001-04-25 베리 아이클스 아이오도사일렌 전구체로부터 형성된 실리콘계 필름과 그제조방법
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6153261A (en) 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156063A (ja) 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP3819660B2 (ja) 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6486015B1 (en) 2000-04-25 2002-11-26 Infineon Technologies Ag Low temperature carbon rich oxy-nitride for improved RIE selectivity
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
CN100431110C (zh) 2000-08-18 2008-11-05 东京毅力科创株式会社 低介电氮化硅膜的形成方法和半导体器件及其制造工艺
US6268299B1 (en) 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
TW473915B (en) 2000-12-29 2002-01-21 Applied Materials Inc Manufacture method of silicon nitride layer
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003166060A (ja) 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US6559074B1 (en) 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
WO2004010467A2 (en) 2002-07-19 2004-01-29 Aviza Technology, Inc. Low temperature dielectric deposition using aminosilane and ozone
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR20050018641A (ko) 2002-07-19 2005-02-23 에비자 테크놀로지, 인크. 아미노실란 및 오존을 이용한 저온 유전체 증착
TW200422424A (en) 2002-08-18 2004-11-01 Asml Us Inc Low temperature deposition of silicon oxides and oxynitrides
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US7470450B2 (en) 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP2006042214A (ja) 2004-07-29 2006-02-09 Nec Electronics Corp 半導体装置およびicタグ
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US20060051975A1 (en) 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
US20060062913A1 (en) 2004-09-17 2006-03-23 Yun-Ren Wang Process for depositing btbas-based silicon nitride films
US7332618B2 (en) * 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
WO2006087893A1 (ja) 2005-02-17 2006-08-24 Hitachi Kokusai Electric Inc. 基板処理方法および基板処理装置
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8168375B2 (en) * 2007-06-08 2012-05-01 Tokyo Electron Limited Patterning method
CN101889331A (zh) * 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
US9103019B2 (en) * 2008-02-01 2015-08-11 American Air Liquide, Inc. Metal precursors containing beta-diketiminato ligands
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
KR101832500B1 (ko) 2009-07-06 2018-02-26 린데 악티엔게젤샤프트 용액계 전구체
WO2011020028A2 (en) 2009-08-14 2011-02-17 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Silane blend for thin film vapor deposition
US8535760B2 (en) * 2009-09-11 2013-09-17 Air Products And Chemicals, Inc. Additives to silane for thin film silicon photovoltaic devices
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8461367B2 (en) * 2010-01-15 2013-06-11 Shin-Etsu Chemical Co., Ltd. Preparation process of trisilylamine
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same

Also Published As

Publication number Publication date
KR20140142209A (ko) 2014-12-11
US9005719B2 (en) 2015-04-14
US20130129940A1 (en) 2013-05-23
JP2017171664A (ja) 2017-09-28
KR20120132403A (ko) 2012-12-05
KR101470876B1 (ko) 2014-12-09
EP2535343A3 (en) 2013-03-27
EP2535343B1 (en) 2016-03-30
CN102827198B (zh) 2016-02-17
US20140272194A1 (en) 2014-09-18
CN102827198A (zh) 2012-12-19
US8771807B2 (en) 2014-07-08
JP6404540B2 (ja) 2018-10-10
EP2535343A2 (en) 2012-12-19
JP2012248844A (ja) 2012-12-13
KR20200051543A (ko) 2020-05-13
TW201247690A (en) 2012-12-01
JP6498864B2 (ja) 2019-04-10
JP2014074236A (ja) 2014-04-24

Similar Documents

Publication Publication Date Title
TWI541248B (zh) 有機胺基矽烷前驅物及其製造和使用方法
JP7177209B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
TWI491760B (zh) 有機胺基矽烷前驅物及其膜的沉積方法
JP6777680B2 (ja) 有機アミノシラン前駆体およびこれを含む膜の堆積方法
TWI614261B (zh) 氮雜-多矽烷前驅物及包含氮雜-多矽烷前驅物的膜沉積方法
TWI437005B (zh) 鹵化有機胺基矽烷前驅物及含有此前驅物的膜的沉積方法
US11049714B2 (en) Silyl substituted organoamines as precursors for high growth rate silicon-containing films
EP2669249A1 (en) Organoaminodisilane precursors and methods for depositing films comprising same
TW201713671A (zh) 有機胺基二矽烷前驅物及其用於沉積膜的方法