JP2014074236A - 有機アミノシラン前駆体、並びにその製造方法及び使用方法 - Google Patents

有機アミノシラン前駆体、並びにその製造方法及び使用方法 Download PDF

Info

Publication number
JP2014074236A
JP2014074236A JP2013266781A JP2013266781A JP2014074236A JP 2014074236 A JP2014074236 A JP 2014074236A JP 2013266781 A JP2013266781 A JP 2013266781A JP 2013266781 A JP2013266781 A JP 2013266781A JP 2014074236 A JP2014074236 A JP 2014074236A
Authority
JP
Japan
Prior art keywords
group
formula
linear
disilazane
substituted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013266781A
Other languages
English (en)
Other versions
JP6498864B2 (ja
JP2014074236A5 (ja
Inventor
Manchao Xiao
シャオ マンチャオ
Xinjian Lei
レイ シンジャン
Bing Han
ビン ハン
O'neal Leonard Marc
レオナルド オニール マーク
Martin Pearlstein Ronald
マーティン パールステン ロナルド
Ho Richard
ホー リチャード
Chandra Hairpin
チャンドラ ハリピン
Derecskei-Kovacs Agnes
デレスキ−コバクス アグネス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2014074236A publication Critical patent/JP2014074236A/ja
Publication of JP2014074236A5 publication Critical patent/JP2014074236A5/ja
Application granted granted Critical
Publication of JP6498864B2 publication Critical patent/JP6498864B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/347Carbon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】本発明は、ケイ素含有膜の堆積に用いることができる有機アミノシラン前駆体を提供する。
【解決手段】ケイ素を含むケイ素含有膜の堆積に用いることができる有機アミノシラン前駆体及びこれらの前駆体の製造方法を開示する。また、ここに記載した有機アミノシラン前駆体を用いてケイ素含有膜を製造するための堆積方法も開示する。例えば、ケイ素含有膜を堆積させるために前駆体を反応器に供給するのに用いることができる、上記有機アミノシラン前駆体又はその組成物を含む容器も開示する。
【選択図】なし

Description

例えば限定されないが、アモルファスシリコン、結晶性シリコン、窒化ケイ素、酸化ケイ素、炭窒化ケイ素及び酸窒化ケイ素膜を含むケイ素含有膜の堆積に用いることができる前駆体、特に有機アミノシラン前駆体について、本明細書で述べる。さらに他の1つの態様について、本明細書で述べるものは、集積回路デバイスの製造時のケイ素含有膜を堆積するための有機アミノシラン前駆体の使用である。これらの態様又は他の態様では、有機アミノシラン前駆体を、様々な堆積プロセスに関して、例えば限定されないが原子層堆積(ALD)、化学気相成長(CVD)、プラズマ強化化学気相成長(PECVD)、低圧化学気相成長(LPCVD)及び常圧CVDに関して、用いることができる。
複数の種類の化合物を、ケイ素含有膜用の前駆体、例えば限定されないが、酸化ケイ素膜又は窒化ケイ素膜用の前駆体として用いることができる。前駆体としての使用に適切なこれらの化合物の例としては、シラン、クロロシラン、ポリシラザン、アミノシラン及びアジドシランが挙げられる。また、不活性キャリアガス又は希釈剤を用いて、例えば限定されないが、ヘリウム、水素、窒素等を用いて、前駆体を反応チャンバーに提供する。
低圧化学気相成長(LPCVD)プロセスは、ケイ素含有膜の堆積に関して半導体産業で用いられる、比較的幅広く受け入れられている方法の1つである。アンモニアを用いる低圧化学気相成長(LPCVD)では、適度な成長速度及び均質性を得るために、750℃超の堆積温度が必要となる場合がある。改良した膜特性を与えるために、比較的高い堆積温度が通常用いられる。窒化ケイ素又は他のケイ素含有膜を成長させるための比較的一般的な産業的方法の1つは、前駆体のシラン、ジクロロシラン及び/又はアンモニアを用いる、750℃超の温度でのホットウォール反応器(hot wall reactor)内での低圧化学気相成長による。しかし、この方法を用いることには複数の欠点が存在している。例えば、ある種の前駆体、例えばシランは、自然発火性である。これは、取り扱い時及び使用時に問題点を提示する場合がある。また、シラン及びジクロロシランから堆積した膜は、ある種の不純物を含有する場合がある。例えば、ジクロロシランを用いて堆積した膜は、ある種の不純物、例えば塩素及び塩化アンモニウムを含有する場合があり、これらは堆積プロセスの間に副生成物として形成される。シランを用いて堆積した膜は、水素を含有する場合がある。
特許文献1は、一般式(RN)SiH4−n(式中、R及びRは、H−、CH−、C−、C−及びC−のいずれかであり、この少なくとも一つはH−ではなく、かつnは1〜4の整数である)によって表される有機シラン化合物を開始ガスとして用いて、窒化ケイ素膜を化学気相成長によって形成する方法を記載している。請求項3は、有機シラン化合物が、トリスジメチルアミノシラン((CH32 N)3 SiH、ビスジメチルアミノシラン((CH32 N)2 SiH2 、ジメチルアミノシラン((CH32 N)SiH3 、トリスジエチルアミノシラン((C252 N)3 SiH、ビスジエチルアミノシラン((C252 N)2 SiH2 、ジエチルアミノシラン((C252 N)SiH3 、トリスジプロピルアミノシラン((C372 N)3 SiH、ビスジプロピルアミノシラン((C372 N)2 SiH2 、ジプロピルアミノシラン((C372 N)SiH3 、トリスジイソブチルアミノシラン((C492 N)3 SiH、ビスジイソブチルアミノシラン((C492 N)2 SiH2 、ジイソブチルアミノシラン((C492 N)SiH3である、と列挙している。
特許文献2は、好ましくはSi[N(CH、SiH[N(CH、SiH[N(CH又はSiH[N(CH]である第一の反応物と、好ましくは活性化したNHである第二の反応物とを用いて、ケイ素を含有する薄膜層、例えばSi薄膜及びSiO薄膜を形成するための原子層堆積方法を記載している。
特許文献3は、一般式(RN)SiH4−n(式中、R及びRは、H−、CH−、C−、C−及びC−のいずれかであり、この少なくとも一つはH−ではなく、かつnは1〜4の整数である)によって表される有機シラン化合物と酸素とを用いて、酸化ケイ素膜をCVDによって形成する方法を記載している。請求項3は、「有機シラン化合物が、トリスジメチルアミノシラン((CH32 N)3 SiH、ビスジメチルアミノシラン((CH32 N)2 SiH2 、ジメチルアミノシラン((CH32 N)SiH3 、トリスジエチルアミノシラン((C252 N)3 SiH、ビスジエチルアミノシラン((C252 N)2 SiH2 、ジエチルアミノシラン((C252 N)SiH3 、トリスジプロピルアミノシラン((C372 N)3 SiH、ビスジプロピルアミノシラン((C372 N)2 SiH2 、ジプロピルアミノシラン((C372 N)SiH3 、トリスジイソブチルアミノシラン((C492 N)3 SiH、ビスジイソブチルアミノシラン((C492 N)2 SiH2 、及びジイソブチルアミノシラン((C492 N)SiH3である」と列挙している。
出願人による特許である特許文献4〜6は、化学気相成長プロセス又は原子層堆積プロセスでの誘電体膜の堆積に用いる、例えば酸化ケイ素膜及び炭窒化ケイ素膜の堆積に用いる、数種類の有機シランを記載している。
出願人による係属中の出願である特許文献7は、特許文献8に関連するが、ケイ素含有膜の堆積に用いる前駆体を記載している。
特開平6−132284号公報 米国特許第6,391,803号 特開平6−132276号公報 米国特許第7,875,556号 米国特許第7,875,312号 米国特許第7,932,413号 欧州特許出願公開第2,392,691号 米国特許出願番号第13/114,287号
窒化ケイ素膜を堆積するのに用いられる前駆体、例えばBTBAS及びクロロシランは、通常、550℃超の温度で膜を堆積させる。半導体デバイスの縮小化及び低いサーマルバジェット(thermal budget)の傾向は、比較的低い処理温度及び比較的高い堆積速度を必要とする。ケイ素含有膜を堆積させる温度は、格子中へのイオン拡散を防ぐために、特に金属化層(metallization layer)を有する基材に関して、また多くの3−5族デバイス及び2−6族デバイスにおいて、格子へのイオン拡散を防ぐために、低下させるべきである。したがって、550℃以下又は更には室温において、CVD、ALD又は他のプロセスにより堆積を可能とするのに化学的に十分に反応性があり、さらに通常の処理及び取扱い性の要件に関して安定で、新規でかつ比較的コスト優位性のある、ケイ素含有膜の堆積用の前駆体、例えば酸化ケイ素又は窒化ケイ素膜の堆積用の前駆体を与える継続的な必要性が、本分野において存在している。
本明細書に記載するものは、例えばアモルファスシリコン、結晶性シリコン、半結晶性シリコン、化学量論的又は非化学量論的酸化ケイ素、化学量論的又は非化学量論的窒化ケイ素、酸窒化ケイ素、炭化ケイ素、炭窒化ケイ素及びこれらの組合せを含むケイ素を含有する膜(本明細書でケイ素含有膜と言及する)を、基材の少なくとも一部に形成するための有機アミノシラン前駆体、並びにこれを用いる方法である。また、本明細書に開示するものは、ケイ素含有膜又はコーティングを、処理する対象に、例えば半導体ウェハーに、形成するための方法である。本明細書に記載した方法の1つの実施態様では、酸化ケイ素層を基材に生成するための条件下の堆積チャンバーで、有機アミノシラン前駆体及び酸化剤を用いて、ケイ素及び酸素を含む層を基材に堆積させる。本明細書に記載した方法の他の1つの実施態様では、窒化ケイ素層を基材に生成するための条件下の堆積チャンバーで、有機アミノシラン前駆体及び窒素含有前駆体を用いて、ケイ素及び窒素を含む層を基材に堆積させる。さらなる実施態様では、本明細書に記載した有機アミノシラン前駆体を、金属含有膜、例えば限定されないが、金属酸化物膜又は金属窒化物膜用のドーパントとして、用いることもできる。
本明細書に記載したプロセスでは、次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、ケイ素含有前駆体の少なくとも1種として用いる:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。)。
式Aのある種の実施態様においては、R及びRは、結合して環状基又はアルキル置換環状基を形成することができる。式Cのある種の実施態様においては、R、R及びRのいずれか1つ以上は、結合して環状基を形成することができる。式A又はCの他の実施態様において、R及びR、又はR、R及びRのいずれか1つは、それぞれ環状基を形成するための結合をしない。1つの特定の実施態様では、有機アミノシラン前駆体は、式Aを有し、Rはn−プロピルであり、かつRはイソプロピルである。式Aのこれらの実施態様又は他の実施態様では、R及びRは、異なる置換基であり、そして有機アミノシランは、非対称性の分子である。式Aの他の1つの実施態様では、R及びRは同じ置換基であり、そして有機アミノシランは、対称性の分子である。式Aの好ましい実施態様では、Rは、C〜Cの環状アルキル基であり、かつRは、直鎖又は分岐鎖のC〜Cのアルキル基及びC〜Cの環状アルキル基からなる群より選択される。
1つの態様において、本明細書に記載した有機アミノシラン前駆体は、次の式A、B及びCを有する少なくとも1つの前駆体を含む:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。)。
式Aのある種の実施態様においては、R及びRは、結合して環状基又はアルキル置換環状基を形成することができる。式Cのある種の実施態様においては、R、R及びRのいずれか1つ以上は、結合して環状基を形成することができる。式A又はCの他の実施態様において、R及びR、又はR、R及びRのいずれか1つは、それぞれ環状基を形成するための結合をしない。1つの特定の実施態様では、有機アミノシラン前駆体は、式Aを有し、Rはn−プロピルであり、かつRはイソプロピルである。式Aのこれらの実施態様又は他の実施態様では、R及びRは、異なる置換基であり、そして有機アミノシランは、非対称性の分子である。式Aの他の1つの実施態様では、R及びRは同じ置換基であり、そして有機アミノシランは、対称性の分子である。
他の1つの態様において、次の工程を含む、基材の少なくとも1つの表面にケイ素含有膜を形成する方法が与えられる:
反応チャンバーに、上記基材の少なくとも1つの表面を与える工程;及び
次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、上記ケイ素含有前駆体の少なくとも1つとして用いて、化学気相成長プロセス及び原子層堆積プロセスから選択される堆積プロセスによって、上記少なくとも1つの表面に上記ケイ素含有膜を形成する工程:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。)。
式Aのある種の実施態様においては、R及びRは、結合して環状基又はアルキル置換環状基を形成することができる。1つの特定の実施態様では、有機アミノシランは、式Aの前駆体を含み、ここでRは、C〜Cの環状アルキル基から選択され、かつRは、直鎖又は分岐鎖のC〜Cのアルキル基及びC〜Cの環状アルキル基からなる群より選択される。
他の1つの態様において、次の工程を含む、原子層堆積プロセスによって酸化ケイ素膜を形成する方法が与えられる:
a.反応器に基材を与える工程;
b.上記ケイ素含有前駆体の少なくとも1つとして用いる、次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物から選択される少なくとも1種のケイ素前駆体を、上記反応器に導入する工程:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);
c.上記反応器をパージガスでパージする工程;
d.酸素源を上記反応器に導入する工程;
e.上記反応器をパージガスでパージする工程;及び
f.上記膜の所望の厚みが得られるまで、b〜eの工程を繰り返す工程。
本明細書に記載された1つの特定の実施態様では、有機アミノシランは、式Aの前駆体を含み、ここでRは、C〜Cの環状アルキル基から選択され、かつRは、直鎖又は分岐鎖のC〜Cのアルキル基及びC〜Cの環状アルキル基からなる群より選択される。
さらなる態様において、次の工程を含む、CVDプロセスを用いて基材の少なくとも1つの表面に酸化ケイ素膜を形成する方法が与えられる:
a.反応器に基材を与える工程;
b.次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、上記反応器に導入する工程:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);及び
c.酸素源を提供して、上記少なくとも1つの表面に上記酸化ケイ素膜を堆積する工程。
本明細書に記載された1つの特定の実施態様では、有機アミノシランは、式Aの前駆体を含み、ここでRは、C〜Cの環状アルキル基から選択され、かつRは、直鎖又は分岐鎖のC〜Cのアルキル基及びC〜Cの環状アルキル基からなる群より選択される。
他の1つの態様では、次の工程を含む、原子層堆積プロセスによって窒化ケイ素を形成する方法が与えられる:
a.反応器に基材を与える工程;
b.上記ケイ素含有前駆体の少なくとも1つとして、次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、上記反応器に導入する工程:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);
c.上記反応器をパージガスでパージする工程;
d.窒素含有源を上記反応器に導入する工程;
e.上記反応器をパージガスでパージする工程;及び
f.上記窒化ケイ素膜の所望の厚みが得られるまで、b〜eの工程を繰り返す工程。
本明細書に記載された1つの特定の実施態様では、有機アミノシラン前駆体は、式Aの前駆体を含み、ここでRは、C〜Cの環状アルキル基から選択され、かつRは、直鎖又は分岐鎖のC〜Cのアルキル基及びC〜Cの環状アルキル基からなる群より選択される。
さらなる態様では、CVDプロセスを用いて基材の少なくとも1つの表面に窒化ケイ素膜を形成する方法が与えられる:
a.反応器に基材を与える工程;
b.上記ケイ素含有前駆体の少なくとも1つとして、次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、上記反応器に導入する工程:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);
c.窒素含有源を提供する工程であって、上記少なくとも1種の有機アミノシラン及び上記窒素含有源を反応させて、ケイ素と窒素との両方を含む上記膜を上記少なく少なくとも1つの表面に堆積させる工程。
本明細書に記載された1つの特定の実施態様では、有機アミノシランは、式Aの前駆体を含み、ここでRは、C〜Cの環状アルキル基から選択され、かつRは、直鎖又は分岐鎖のC〜Cのアルキル基及びC〜Cの環状アルキル基からなる群より選択される。
他の1つの態様について、式A、B及びCを有する1種以上の有機アミノシラン又はこれらの混合物を含む、ケイ素含有膜を堆積させるための容器が、本明細書に記載される。1つの特定の実施態様では、その容器は、CVDプロセス又はALDプロセス用の反応器への1種以上の前駆体の供給を可能とするのに適切なバルブ及び治具を備えた、少なくとも1つの耐圧容器(好ましくはステンレス鋼製)を含む。
さらなる他の1つの実施態様では、次を含む、ケイ素含有膜堆積用の前駆体組成物が与えられる:
次の式A、B及びCを有する有機アミノシラン又はこれらの混合物
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);及び
エーテル、第三級アミン、ニトリル、アルキル炭化水素、芳香族炭化水素、第三級アミノエーテル及びこれらの混合物からなる群より選択される、溶媒。
本明細書に記載した前駆体組成物の1つの特定の実施態様では、有機アミノシランは、式Aの前駆体を含み、ここでRは、C〜Cの環状アルキル基から選択され、かつRは、直鎖又は分岐鎖のC〜Cのアルキル基及びC〜Cの環状アルキル基からなる群より選択される。
図1は、本明細書に記載した式Aを有し、かつ表3のno.17及び例1に記載されているN−イソ−プロピルシクロヘキシルアミノシランの質量分析(MS)スペクトルを与える。 図2は、本明細書に記載した式Aを有し、かつ表3のno.7に記載されているジシクロヘキシルアミノシランの質量分析(MS)スペクトルを与える。 図3は、本明細書に記載した式Bを有し、かつ例2に記載されているN−2−ピリジルジシラザンの質量分析(MS)スペクトルを与える。 図4は、本明細書に記載した式Cを有し、かつ例3に記載されているN,N’−ジシリル−トランス−2,5−ジメチルピペリジンの質量分析(MS)スペクトルを与える。 図5は、本明細書に記載した式Aを有し、かつ表3のno.5に記載されているN−メチルシクロヘキシルアミノシランの質量分析(MS)スペクトルを与える。 図6は、本明細書に記載した式Aを有し、かつ表3のno.6に記載されているN−エチルシクロヘキシルアミノシランの質量分析(MS)スペクトルを与える。 図7は、N−メチルシクロヘキシルアミノシラン前駆体を用いて堆積した酸化ケイ素膜の堆積速度を、例5に記載したような前駆体のパルス時間の関数として与える。
有機アミノシラン、シラン又はケイ素含有前駆体を、前駆体として用いて、化学量論的なケイ素含有膜及び非化学量論的なケイ素含有膜、例えば限定されないが、酸化ケイ素、酸炭化ケイ素、窒化ケイ素、酸窒化ケイ素及び酸窒化炭化ケイ素を形成する。また、これらの前駆体を、例えば、金属含有膜のためのドーパントとして用いることもできる。有機アミノシラン前駆体は、通常、高純度の揮発性液体前駆体化学物質であり、これらを気化させ、そして堆積チャンバー又は反応器にガスとして提供して、CVD又はALDプロセスによって、半導体デバイス用のケイ素含有膜を堆積させる。堆積のための前駆体材料の選択は、所望の生成誘電体材料又は生成誘電体膜に依存する。例えば、前駆体材料を、その化学元素の内容、化学元素の化学量論比及び/又はCVD下で形成する生成ケイ素含有膜若しくは生成ケイ素含有コーティングに関して、選択することができる。また、前駆体材料を、様々な他の特徴、例えばコスト、比較的低い毒性、取扱い性、室温で液相を維持する性能、揮発性、分子量及び/又は他の考慮事項に関して選択することができる。ある種の実施態様では、本明細書に記載した前駆体を、堆積チャンバー又は反応器への前駆体の液相での提供を可能とするあらゆる手段で反応器システムに提供することができ、好ましくは適切なバルブ及び治具を備えた耐圧ステンレス鋼容器を用いて、反応器システムに提供することができる。
本明細書に記載した前駆体は、CVD又はALDの前駆体としてそれらを理想的に適切とする、反応性及び安定性のバランスを示す。反応性に関して、ある種の前駆体では沸点が高すぎて、気化させ、そして反応器に提供して基材に膜として堆積させることができない場合がある。比較的高い沸点を有する前駆体では、供給容器及び供給ラインを前駆体の沸点以上に加熱して、容器、ライン又はこの両方への凝縮物又は粒子の形成を防ぐ必要がある。安定性に関して、他の前駆体は、分解するにしたがって、シラン(SiH)を形成する場合がある。シランは、室温で自然発火性であり、又はそれは自発的に燃焼することがあり、これは安全性の問題と取扱いの問題を提示する。さらに、シラン及び他の副生成物の形成は、前駆体の純度を低下させる。また、1〜2%程の小さな化学的純度の変化が、信頼性のある半導体製造のためには許容できないものとみなされる場合がある。ある種の実施態様では、本明細書の記載した式A、B又はCを有する有機アミノシラン前駆体は、6ヶ月以上又は1年以上の期間で保存した後に、2wt%未満、1wt%未満又は0.5wt%未満の副生成物(例えば、対応するビス−シランの副生成物)を含み、これは保存安定性を示す。上記の利点に加えて、ある種の実施態様、例えばALD堆積法又はPEALD堆積法を用いて酸化ケイ素膜又は窒化ケイ素膜を堆積する実施態様では、本明細書に記載された有機アミノシラン前駆体は、比較的低い堆積温度で、例えば500℃以下、400℃以下、300℃以下、200℃以下、100℃以下又は50℃以下で、高密度材料を堆積できる場合がある。
1つの態様において、次の式A、B又はCによって表される、ある種の前駆体又は有機アミノシランが与えられる:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環若しくは複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。)。
式Aのある種の実施態様においては、R及びRは、結合して環状基又はアルキル置換環状基を形成することができる。1つの特定の実施態様では、有機アミノシラン前駆体は、式Aを有する化合物であり、ここでRは、C〜Cの環状アルキル基であり、かつRは、直鎖又は分岐鎖のC〜Cのアルキル基及びC〜Cの環状アルキル基からなる群より選択される。
式Aの有機アミノシランのある種の実施態様では、R及びRは、共に結合して環を形成することができる。これらの実施態様又は他の実施態様では、環は、複素環を含む。この環、あるいは複素環は、飽和している場合があり、又は不飽和の場合がある。
式Aの有機アミノシランの別の実施態様では、R及びRは、環を形成するための結合を共にしない。
式Cのある種の実施態様では、R、R及びRのいずれか1つ以上は、結合して環状基を形成することができる。これらの実施態様では、環状基は、炭素環又は複素環となることができる。この環状基は、飽和している場合があり、又は不飽和の場合がある。
式Cの他の実施態様では、R及びR、又はR、R及びRのいずれか1つ以上は、それぞれ環状基を形成するための結合をしない。
式A、B及びCにおいて、また明細書を通じて、用語「アルキル」は、1〜20、1〜10、3〜10又は1〜6の炭素原子を有する直鎖又は分岐鎖の官能基を意味する。典型的な直鎖アルキル基としては、限定されないが、メチル、エチル、プロピル、ブチル、ペンチル及びヘキシル基が挙げられる。ある種の実施態様では、アルキル基は、自身に結合している1つ以上の官能基、例えば限定されないが、アルコキシ基、ジアルキルアミノ基又はこれらの組み合わせを有する場合がある。他の実施態様では、アルキル基は、自身に結合する1つ以上の官能基を有さない。アルキル基は、飽和している場合が有り、又は不飽和の場合がある。
式A、B及びCにおいて、また明細書を通じて、用語「環状アルキル」は、4〜20又は5〜10の原子を有する環状基を意味する。典型的な環状アルキル基としては、限定されないが、シクロブチル、シクロペンチル、シクロヘキシル及びシクロオクチル基が挙げられる。ある種の実施態様では、環状アルキル基は、C〜C10の直鎖の置換基、分岐鎖の置換基、又は酸素原子若しくは窒素原子を有する置換基の1以上を有することがある。この実施態様又は他の実施態様では、環状アルキル基は、置換基として、直鎖若しくは分岐鎖のアルキル基又はアルコキシ基、例えばメチルシクロヘキシル基又はメトキシシクロヘキシル基、の一以上を有することがある。
式A、B及びCにおいて、また明細書を通じて、用語「アリール」は、5〜10の炭素原子又は6〜10の炭素原子を有する芳香族の環状官能基を意味する。典型的なアリール基としては、限定されないが、フェニル、ベンジル、クロロベンジル、トリル、及びo−トリルが挙げられる。
式A、B及びCにおいて、また明細書を通じて、用語「アルケニル基」は、1つ以上の炭素−炭素二重結合を有し、且つ2〜20、2〜10又は2〜6の炭素原子を有する基を意味する。
式A、B及びCにおいて、また明細書を通じて、用語「アルコキシ」は、酸素原子に結合しているアルキル基であって(例えばR−O)、1〜20、1〜12又は1〜6の炭素原子を有することができるアルキル基を意味する。典型的なアルコキシ基としては、限定されないが、メトキシ(−OCH)、エトキシ(−OCHCH)、n−プロポキシ(−OCHCHCH)及びイソ−プロポキシ(−OCHMe)が挙げられる。
式A、B及びCにおいて、また明細書を通じて、本明細書で用いられる場合、用語「不飽和」は、1以上の炭素二重結合若しくは三重結合を有する官能基、置換基、環又は架橋を意味する。不飽和環の例は、限定されないが、芳香環、例えばフェニル環となることができる。用語「飽和」は、1以上の炭素二重結合若しくは三重結合を有さない官能基、置換基、環又は架橋を意味する。
式A、B及びCにおいて、また明細書を通じて、用語「アルキルアミノ基」は、窒素原子に結合している1つ以上のアルキル基を有し、且つ1〜20、2〜12又は2〜6の炭素原子を有する基を意味する。アルキルアミノ基の例は、限定しないが、ピペリジン基となることができる。
ある種の実施態様では、式A、B又はC中のアルキル基、アルケニル基、アルキニル基、アルコキシアルキル基、アルコキシ基、アルキルアミノ基、アリール基及び/又は芳香族基の1つ以上を、置換することができ、又は例えば水素原子の代わりに置換した1つ以上の原子若しくはその原子の基を有することができる。典型的な置換基の例としては、限定されないが、酸素、硫黄、ハロゲン原子(例えば、F、Cl、I又はBr)、窒素及びリンが挙げられる。他の実施態様では、式A、B又はC中のアルキル基、アルケニル基、アルキニル基、アルコキシアルキル基、アルコキシ基、アルキルアミノアルキル基、芳香族基及び/又はアリール基の1つ以上を、置換しなくてよい。
ある種の実施態様では、式A、B又はCを有する上記少なくとも1種の有機アミノシラン前駆体は、酸素原子を有する1以上の置換基を有する。これらの実施態様では、堆積プロセスの間の酸素源の必要性を回避することができる。他の実施態様では、式A、B又はCを有する前記少なくとも1種の有機アミノシラン前駆体は、酸素原子を含む置換基を1つ以上有し、かつ酸素源も用いる。
本明細書に記載したケイ素化合物の1つの種類は、有機アミノシラン前駆体で有り、これは以下の式Aによって示される:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつ式A中のR及びRは、結合して環状基を形成することもできる。)。
式Aを有する有機アミノシラン前駆体の他の1つの実施態様において、Rは、置換基を有する又は有さない芳香族基であり、かつRは、直鎖アルキル基又は分岐鎖アルキル基である。式Aを有する化合物の1つの特定の実施態様では、ここでRは、C〜Cの環状アルキル基であり、かつRは、直鎖又は分岐鎖のC〜Cのアルキル基及びC〜Cの環状アルキル基からなる群より選択される。
式Aのさらなる実施態様において、R及びRは、結合して、ピロール、アルキル置換ピロール、イミダゾ−ル、アルキル置換イミダゾ−ル、ピラゾール、又はアルキル置換ピラゾールの1つ以上から誘導された、5員又は6員の複素環式の、置換した芳香環若しくは非置換の芳香環を形成することもできる。このような実施態様の例としては、限定されないが、N−シリルピロール(表3、no.24)、N−シリル−2,5−ジメチルピロール(表3、no.19)及び1−シリル−7−アザインドール(表3、no.27)が挙げられる。
式Aのさらなる実施態様において、R及びRは、結合して、ピロリジン、ピペリジン、モルホリン、ピペラジン、又はこれらのアルキル置換誘導体の1つ以上から誘導された、5員又は6員の複素環式の、置換した脂肪族環若しくは非置換の脂肪族環を形成することもできる。このような実施態様の例としては、限定されないが、2,6−ジメチルモルホリノシラン(表3、no.10)、2−メチルピロリジノシラン(表3、no.12)及びN−シリルデカヒドロキノリン(表3、no.16)が挙げられる。
式Aのさらなる実施態様において、R及びRは、同じ置換基で有り、そして有機アミノシランは対称的な分子となるが、R及びRは、次の基とはならない:エチル、イソプロピル、tert−ブチル、イソブチル、sec−ブチル、n−ブチル、t−ペンチル及びsec−ペンチル。そのような実施態様の例としては、限定されないが、ジシクロヘキシルアミノシラン(表3、no.7)が挙げられる。
式Aの他の実施態様において、R及びRは、異なる置換基であり、そして有機アミノシランは非対称の分子となる。そのような実施態様の例としては、限定されないが、N−プロピル−イソプロピルアミノシラン(表3、no.4)、N−メチルシクロヘキシルアミノシラン(表3、no.5)、N−エチルシクロヘキシルアミノシラン(表3、no.5)、アリルフェニルアミノシラン(表3、no.15)、N−イソプロピルシクロヘキシルアミノシラン(表3、no.17)、アリルシクロペンチルアミノシラン(表3、no.18)、フェニルシクロヘキシルアミノシラン(表3、no.22)及び2−(N−シリルメチルアミノ)ピリジン(表3、no.25)が挙げられる。
酸化ケイ素層の製造に用いるのに適切な有機アミノシラン前駆体の第二の種類は、次の式Bによって表されるような、1つの窒素原子からぶらさがっている2つのシリル基を有する有機アミノシランである:
Figure 2014074236
式Bにおいて、Rは、フェニル以外のC〜C10の置換若しくは非置換の芳香族基;置換若しくは非置換のC〜C10の環状アルキル基;直鎖若しくは分岐鎖の、置換若しくは非置換のC〜C10のアルケニル基;C〜C10のアルコキシアルキル基;又はC〜C10のアルキルアミノ基若しくはジアルキルアミノ基から選択される。Rは、非置換のtert−ブチル、t−ペンチル又はシクロヘキシル基以外の、直鎖若しくは分岐鎖の、置換若しくは非置換のC〜C10のアルキル基となることもできる。
式Bのある種の実施態様では、Bは、置換したC〜C10の芳香族基であり、この芳香族基は、次の1つ以上で置換されている:アルキル基、アルケニル基、アミノ基又はアルコキシ基。そのような実施態様の例としては、限定されないが、N−(4−メトキシフェニル)ジシラザン(表4、no.11)、N−(3−メトキシフェニル)ジシラザン(表4、no.12)、N−(2−メトキシフェニル)ジシラザン(表4、no.13)、 N−(4−クロロフェニル)ジシラザン(表4、no.14)、N−(2−クロロフェニル)ジシラザン(表4、no.15)、N−(2−エチルフェニル)ジシラザン(表4、 no.21)、N−(2,6−ジエチルフェニル)ジシラザン(表4、no.22)、 N−(2−プロピルフェニル)ジシラザン(表4、no.23)、N−(4−t−ブチルフェニル)ジシラザン(表4、no.24)、N−(4−イソ−プロピルフェニル)ジシラザン(表4、no.25)、N−(2−イソ−プロピルフェニル)ジシラザン(表4、 no.26)、N−(3−エチルフェニル)ジシラザン(表4、no.30)、N−(4−sec−ブチルフェニル)ジシラザン(表4、no.31)、N−(4−ビニルフェニル)ジシラザン(表4、no.32)、N−(3−メチルフェニル)ジシラザン(表4、 no.33)、N−(4−メチルフェニル)ジシラザン(表4、no.34)、N−(2,4,6−トリメチルフェニル)ジシラザン(表4、no.35)、及びN−(2,6−ジ−イソプロピルフェニル)ジシラザン(表4、no.36)が挙げられる。
式Bのある種の実施態様では、Rは、C〜C10の複素環基であり、この複素環基は、N又はOを環内に有しており、かつ次の1つ以上で置換されている:アルキル基、アルケニル基、アミノ基又はアルコキシ基。そのような実施態様の例としては、限定されないが、1−N−(2−ピリジル)ジシラザン(表4、no.1)、N,N−ジシリル−2−アミノピリミジン(表4、no.2)、N−(4−メチル−2−ピリジル)ジシラザン(表4、no.16)、N−(6−メチル−2−ピリジル)ジシラザン(表4、no.17)、N−(3−メチル−2−ピリジル)ジシラザン(表4、no.18)、N−(5−メチル−2−ピリジル)ジシラザン(表4、no.19)及びN−[2−(4−メチルピリミジノ)アミノ]ジシラザン(表4、no.37)が挙げられる。
式Bのある種の実施態様では、Rは、置換されたC〜C10のアルキル基であり、このアルキル基は、次の1つ以上で置換されている:ヘテロ原子(例えば、N、Cl、O)、アルキル基、芳香族基、アルキル基、アルキルアミノ基又はアルコキシ基。そのような実施態様の例としては、限定されないが、N−t−ペンチルジシラザン(表4、no.6)、N−(2−ジメチルアミノ−1−メチルエチル)ジシラザン(表4、no.7)、N−(2−ジメチルアミノエチル)ジシラザン(表4、no.8)、N−(1−シクロヘキシルエチル)ジシラザン(表4、no.27)、N,N−ジシリルクミルアミン(表4、no.29)、N−[3,3−ジメチルブチル−2]ジシラザン(表4、no.39)、N,N−ジシリル−2−ピコリルアミン(表4、no.40)、N,N−ジシリル−2−(2−ピリジル)エチルアミン(表4、no.41)及びN,N−ジシリル−1−(4−メチルフェニル)エチルアミン(表4、no.42)が挙げられる。
第三の種類の有機アミノシラン化合物は、次の式Cによって表される:
Figure 2014074236
式Cにおいて、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の、炭素環基若しくは複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環若しくは複素環;SiR;又はSiHを表す。ある種の実施態様では、R及びRは同じである。別の実施態様では、R及びRは異なる。R基は、窒素原子を架橋する。Rが単結合である実施態様では、これは、化合物中のN原子に直接的に結合するN原子となることができる。ある種の実施態様では、R基は、窒素原子の間の単結合にすぎない。別の実施態様では、R基は、架橋する基となることができ、例えばSiR、SiH、鎖、環、又はC〜C10の直鎖アルキル基若しくはC〜C10の分岐鎖アルキル基となることができる。式Cのさらなる実施態様では、R及びRは共に結合することができる。その実施態様では、式CのR及びRは、炭素−炭素の単結合若しくは二重結合、又は酸素原子若しくは窒素原子による結合の形成によって、複素環基に結合することができる。
理論に拘束されないが、有機アミノシラン前駆体、例えば1以上の−SiH基を有し、かつ本明細書に記載した式A、B及びCを有する有機アミノシラン前駆体は、ヒドロキシル化している半導体表面に反応するための比較的低い活性化障壁、比較的少ない不純物及び堆積後の比較的高いフィルム密度の点で、SiH又はSiHを有する他の有機アミノシラン前駆体よりも、有利である。しかし、−SiH基を有するある種の有機アミノシラン前駆体、例えば、ジメチルアミノシラン(DMAS)又はジエチルアミノシラン(DEAS)は、不均化反応を経て自然発火性のシラン、及びそれぞれビス(ジメチルアミノ)シラン又はビス(ジエチルアミノ)シランを形成するので、熱的に安定ではない。さらに、これらの特定の有機アミノシラン前駆体を用いて堆積させた膜は、窒化ケイ素又は炭窒化ケイ素のネットワーク中に適切なレベル及び種類の炭素を含み、これは一定の誘電率を維持しながら、ウェットのエッチングレートを大幅に低下させることを可能とすると考えられる。
ある種の実施態様では、有機溶媒又は溶媒混合物中で、モノハロゲン化シラン(XSiH、ここでX=Cl,Br又はI)又は低級分子のジアルキルアミノシラン、例えばジ−イソ−プロピルアミノシランと、次の表1(式A)及び表2(式B及びC)で与えられる1種以上のアミンとを反応させることによって、式A、B又はCを有する有機アミノシランを調製することができる。
Figure 2014074236
Figure 2014074236
Figure 2014074236
Figure 2014074236
次の式(1)〜(6)は、本明細書に記載した式A、B又はCを有する有機アミノシランを作るために用いることができる反応機構又は合成ルートの例を与える。式(1)〜(6)において、置換基R、R及びRは、式A、B又はCに関して本明細書に記載したものと同じであり;Mは、Li、N又はKであり;かつXは、Cl、Br又はIであり;式(5)のR’は、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基から選択される。さらに、式(2)でR’Nを用いて、RRN−HClの代りにR’N−HClを形成して、RRNHの用いる量を減らすこともできる。式(1)〜(6)の反応機構を、有機溶媒を用いて(例えば、有機溶媒の存在下で)又は有機溶媒を用いずに、実行することができる。有機溶媒を用いる実施態様において、適切な有機溶媒の例としては、限定されないが、炭化水素、例えばヘキサン、オクタン、トルエン及びテトラヒドロフラン(THF)を挙げることができる。これらの実施態様又は他の実施態様において、反応温度は、約−70℃から、溶媒を用いる場合には用いる溶媒の沸点までの範囲である。生成する有機アミノシランを、全ての副生成物と共に存在する場合には溶媒を除去した後、減圧蒸留によって精製することができる。式(1)〜(5)は、式A又はBを有する前駆体を作るための異なる実施態様である。式(5)は、式Bの化合物をより適切に作るための式(2)の変形である。式(6)は、式Cに関する合成方法を表している。
Figure 2014074236
ケイ素含有膜又はケイ素含有コーティングを形成するために用いる方法は、堆積プロセスである。本明細書で開示した方法に関して適切な堆積プロセスの例としては、限定されないが、サイクリックCVD(CCVD)、MOCVD(有機金属CVD)、熱化学気相成長、プラズマ強化化学気相成長(PECVD:plasma enhanced chemical vapor deposition)、高密度PECVD、光支援CVD(photon assisted CVD)、プラズマ−光支援(PPECVD)、低温化学気相成長、化学支援気相成長(chemical assisted vapor deposition)、ホットフィラメント化学気相成長、液体ポリマー前駆体のCVD、超臨界流体からの堆積、及び低エネルギーCVD(LECVD)が挙げられる。ある種の実施態様では、金属含有膜を、原子層堆積(ALD)プロセス、プラズマ強化ALD(PEALD)プロセス、又はプラズマ強化サイクリックCVD(PECCVD)プロセスによって堆積させる。本明細書で用いられる場合、用語「化学気相成長プロセス」は、基材表面で反応及び/又は分解して所望の堆積物を生成する1種以上の揮発性前駆体に、基材を曝露する、あらゆるプロセスについて言及している。本明細書で用いられる場合、用語「原子層堆積プロセス」は、様々な組成の基材に膜の材料を堆積させる、自己制限的な(例えば、各反応サイクルで堆積する膜材料の量が一定である)、順次的な表面化学反応について言及している。本明細書で用いられる前駆体、試薬及び物質源は、「ガス状」として記載される場合があるが、前駆体は、不活性ガスを用いて又は不活性ガスを用いずに、直接気化、バブリング又は昇華によって、反応器に輸送される、液体又は固体のいずれかであってよいことが理解される。いくつかの場合では、揮発した前駆体は、プラズマ発生器を通過することができる。1つの実施態様では、ケイ素含有膜を、ALDプロセスを用いて堆積させる。他の一つの実施態様では、ケイ素含有膜を、CCVDプロセスを用いて堆積させる。さらなる実施態様では、ケイ素含有膜を、熱CVDプロセスを用いて堆積させる。本明細書で用いる場合、用語「反応器」は、限定しないが、反応チャンバー又は堆積チャンバーを含む。
ある種の実施態様では、本明細書に開示した方法は、反応器に導入する前に且つ/又は導入中に、前駆体を分離しておくALD法又はCCVD法を用いることによって、前駆体の前反応を回避する。これに関連して、堆積技術、例えばALDプロセス又はCCVDプロセスを用いて、ケイ素含有膜を堆積させる。1つの実施態様では、基材表面を、ケイ素含有前駆体、酸素源、窒素含有源、又は他の前駆体若しくは試薬の1種以上に交互に曝露することによって、ALDプロセスを通じて、膜を堆積させる。膜の成長は、表面反応の自己制限的な制御、各前駆体又は試薬のパルス長さ、及び堆積温度によって進む。しかし、基材の表面が飽和すると、膜の成長は停止する。
上述したように、ある種の実施態様、例えばALD堆積法又はPEALD堆積法を用いて酸化ケイ素膜又は窒化ケイ素膜を堆積する実施態様では、本明細書に記載した式A、B又はCを有する有機アミノシラン前駆体は、比較的低い堆積温度で、例えば500℃以下、400℃以下、300℃以下、200℃以下、100℃以下、50℃以下又は室温で、膜を堆積することができる場合がある。これらの実施態様又は他の実施態様では、基材(堆積)温度は、次の任意の1つ以上の端点を範囲とすること、又は沸点の差を範囲とすることができる:25℃、50℃、100℃、200℃、300℃、400℃又は500℃。これらの範囲の例は、限定されないが、25〜50℃、100〜300℃又は100〜500℃である。
ある種の実施態様において、本明細書に記載した方法は、上記式A、B又はCを有する有機アミノシラン前駆体以外の、1種以上の追加のケイ素含有前駆体をさらに含む。追加のケイ素含有前駆体の例としては、限定されないが、有機ケイ素化合物、例えばシロキサン(例えば、ヘキサメチルジシロキサン(HMDSO)及びジメチルシロキサン(DMSO));有機シラン(例えばメチルシラン、ジメチルシラン、ビニルトリメチルシラン、トリメチルシラン、テトラメチルシラン、エチルシラン、ジシリルメタン、2,4−ジシラペンタン、1,4−ジシラブタン、2,5−ジシラへキサン、2,2−ジシリルプロパン、1,3,5−トリシラシクロヘキサン及びこれら化合物のフッ素化された誘導体);フェニル含有有機ケイ素化合物(例えば、ジメチルフェニルシラン及びジフェニルメチルシラン);酸素含有有機ケイ素化合物(例えば、ジメチルジメトキシシラン;1,3,5,7−テトラメチルシクロテトラシロキサン;1,1,3,3−テトラメチルジシロキサン;1,3,5,7−テトラシラ−4−オキソ−へプタン、2,4,6,8−テトラシラ−3,7−ジオキソ−ノナン、2,2−ジメチル−2,4,6,8−テトラシラ−3,7−ジオキソ−ノナン、オクタメチルシクロテトラシロキサン、[1,3,5,7,9]−ペンタメチルシクロペンタシロキサン、1,3,5,7−テトラシラ−2,6−ジオキソ−シクロオクタン、ヘキサメチルシクロトリシロキサン、1,3−ジメチルジシロキサン、1,3,5,7,9−ペンタメチルシクロペンタシロキサン、ヘキサメトキシジシロキサン及びこれら化合物のフッ素化された誘導体)が挙げられる。
堆積方法に応じて、ある種の実施態様では、1種以上のケイ素含有前駆体を、所定のモル体積で又は約0.1〜約1000マイクロモルで、反応器に導入することができる。この実施態様又は他の実施態様では、ケイ素含有前駆体及び/又は有機アミノシラン前駆体を、所定の時間間隔で反応器に導入することができる。ある種の実施態様では、その時間間隔は、約0.001〜約500秒の範囲となる。
ある種の実施態様では、本明細書に記載した方法を用いて堆積させるケイ素含有膜を、酸素源、試薬又は酸素を含む前駆体を用いて、酸素の存在下で形成させる。酸素源は、少なくとも1種の酸素源の形態で反応器に導入させることができ、且つ/又は堆積プロセスで用いる他の前駆体に付随して存在させることができる。適切な酸素源ガスとしては、例えば水(HO)(例えば、脱イオン水、精製水、及び/又は蒸留水)、水プラズマ、酸素(O)、過酸化物(O)、酸素プラズマ、オゾン(O)、NO、NO、一酸化炭素(CO)、二酸化炭素(CO)及びこれらの組合せを挙げることができる。ある種の実施態様では、酸素源は、約1〜約2000sccm又は約1〜約1000sccmの範囲の流量で反応器に導入する酸素源を含む。酸素源を、約0.1秒〜約100秒の範囲の時間で導入することができる。1つの特定の実施態様では、酸素源は、10℃以上の温度を持つ水を含む。膜をALDプロセス又はサイクリックCVDプロセスによって堆積させる実施態様において、前駆体パルスは、0.01秒超であるパルス時間を有することができ、且つ酸素源が、0.01秒未満であるパルス時間を有することができ、さらに水のパルス時間が、0.01秒未満であるパルス時間を有することができる。さらなる他の1つの実施態様では、パルスとパルスの間のパージ時間は、0秒程度まで小さくすることができ、又はその間にパージをしないで連続的なパルスにすることができる。酸素源又は試薬を、分子の総量について、ケイ素前駆体に対する比を1:1より小さくして与えて、それにより少なくとも一定量の炭素を、堆積させるケイ素含有膜に保持させる。
ある種の実施態様では、ケイ素含有膜は、ケイ素及び窒素を含む。これらの実施態様では、本明細書に記載した方法を用いて堆積させるケイ素含有膜を、窒素含有源の存在下で形成させる。窒素含有源は、少なくとも1種の窒素含有源の形態で反応器に導入させることができ、且つ/又は堆積プロセスで用いる他の前駆体に付随して存在させることができる。適切な窒素含有源としては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマ及びこれらの混合物が挙げられる。ある種の実施態様において、窒素含有源は、約1〜約2000sccm又は約1〜約1000sccmの範囲の流量で反応器に導入するアンモニアプラズマ、又は水素/窒素プラズマ源ガスを含む。窒素含有源を、約0.1秒〜約100秒の範囲の時間で導入することができる。膜をALDプロセス又はサイクリックCVDプロセスによって堆積させる実施態様において、前駆体パルスは、0.01秒超であるパルス時間を有することができ、且つ窒素含有源が、0.01秒未満であるパルス時間を有することができ、さらに水のパルス時間が、0.01秒未満であるパルス時間を有することができる。さらなる他の1つの実施態様では、パルスとパルスとの間のパージ時間は、0秒程度まで小さくすることができ、又は間にパージをしないで連続的なパルスにすることができる。
本明細書で開示した堆積方法は、1種以上のパージガスを伴う場合がある。未反応の反応物及び/又は反応副生成物をパージするために用いるパージガスは、不活性ガスであり、これは前駆体と反応しない。典型的なパージガスとしては、限定されないが、アルゴン(Ar)、窒素(N)、ヘリウム(He)、ネオン、水素(H)及びこれらの混合物が挙げられる。ある種の実施態様では、パージガス、例えばArを、約0.1秒〜1000秒の間に、約10〜約2000sccmの範囲の流量で反応器に供給することができ、それにより反応器に残留している場合がある未反応の材料及びあらゆる副生成物を、パージすることができる。
前駆体、酸素源、窒素含有源並びに/又は他の前駆体、他の物質源ガス及び/若しくは試薬を供給する各工程を、それらを供給する時間を変えることによって実行し、生成ケイ素含有膜の化学両論的な組成を変えることができる。
エネルギーを、前駆体、窒素含有源、還元剤、他の前駆体又はこれらの組合せの少なくとも1つに適用して、反応を誘導し、そしてケイ素含有膜又はケイ素含有コーティングを基材に形成させる。そのようなエネルギーは、限定されないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子線、光子、リモートプラズマ法及びこれらの組合せによって与えることができる。ある種の実施態様では、二次高周波(secondary rf frequency)源を用いて、プラズマ特性を基材表面で変えることができる。堆積にプラズマを伴う実施態様では、プラズマ生成プロセスは、プラズマを反応器で直接的に生成させる直接プラズマ生成プロセス、あるいはプラズマを反応器の外部で生成させて反応器に供給するリモートプラズマ生成プロセスを、含むことができる。
有機アミノシラン前駆体及び/又は他のケイ素含有前駆体を、反応チャンバー、例えばCVD反応器又はALD反応器に、様々な方法で提供することができる。1つの実施態様では、液体提供システムを用いることができる。別の実施態様では、液体提供プロセスとフラッシュ気化プロセスが組み合わされたユニット、例えばターボ気化器(MSP Corporation製、ショアビュー、ミネソタ州、米国)を用いて、低揮発度物質を容量分析的に供給することを可能とする。これは、前駆体の熱的分解のない状態で再現性のある輸送及び堆積をもたらすことができる。液体提供配合物又は液体提供組成物中において、本明細書に記載された前駆体は、そのままの液体形態で提供することができ、あるいは、この前駆体を含む溶媒配合物中又は組成物中で使用することができる。それゆえ、ある種の実施態様において、その前駆体配合物は、基材上に膜を形成する特定の最終用途において所望であり且つ有利となるような、適切な特性を有する溶媒成分を含むことができる。
本明細書に記載した式A、B又はCを有する有機アミノシラン及び溶媒を含む組成物中で、式A、B又はCを有する前駆体を用いる実施態様に関して、選択する溶媒又は溶媒混合物は、その有機アミノシランと反応しない。その組成物中の重量%による溶媒の量は、0.5〜99.5wt%又は10〜75wt%の範囲である。この実施態様又は他の実施態様において、溶媒は、式A、B又はCの有機アミノシランの沸点(b.p.)に近いb.p.を有し、又は溶媒のb.p.と式A、B又はCの有機アミノシランのb.p.との差は、40℃以下、30℃以下、20℃以下、又は10℃である。あるいは、その沸点の差は、次を任意の端点とする範囲になる:0℃、10℃、20℃、30℃又は40℃。b.p.差の適切な範囲の例としては、限定されないが、0〜40℃、20〜30℃、又は10〜30℃である。組成物中の適切な溶媒の例としては、限定されないが、エーテル(例えば、1,4−ジオキサン、ジブチルエーテル)、第三級アミン(例えば、ピリジン、1−メチルピペリジン、1−エチルピペリジン、N,N’−ジメチルピペラジン、N,N,N’,N’−テトラメチルエチレンジアミン)、ニトリル(例えば、ベンゾニトリル)、アルキル炭化水素(例えば、オクタン、ノナン、ドデカン、エチルシクロヘキサン)、芳香族炭化水素(例えば、トルエン、メシチレン)、第三級アミノエーテル(例えば、ビス(2−ジメチルアミノエチル)エーテル)又はこれらの混合物が挙げられる。非限定的ないくつかの典型的な組成物の例としては、限定されないが、ジ−イソ−プロピルアミノシラン(b.p.約116℃)及びオクタン(b.p.125〜126℃)を含む組成物;ジ−イソ−プロピルアミノシラン(b.p.約116℃)及びピリジン(b.p.115℃)を含む組成物;ジ−イソ−プロピルアミノシラン(b.p.約 116℃)及びトルエン(b.p.110℃)を含む組成物;N−メチルシクロヘキシルアミノシラン(b.p.約171℃)及びデカン(b.p.174℃)を含む組成物;N−メチルシクロヘキシルアミノシラン(b.p.約171℃)及びジエチレングリコールジメチルエーテル(b.p.162℃)を含む組成物;N−イソ−プロピルシクロヘキシルアミノシラン(b.p.約199℃)及びビス(2−ジメチルアミノエチル)エーテル(b.p.189℃)を含む組成物;N−イソ−プロピルシクロヘキシルアミノシラン(b.p.約199℃)及びベンゾニトリル(b.p.191℃)を含む組成物が挙げられる。
他の1つの実施態様における、式A、B又はCを有する1種以上の有機アミノシラン前駆体を含むケイ素含有膜を堆積するための容器について、本明細書で記載する。1つの特定の実施態様では、その容器は、CVDプロセス又はALDプロセスのための反応器に1種以上の前駆体を提供することを可能とするための適切なバルブ及び治具を備えた、少なくとも1つの耐圧容器(好ましくはステンレス鋼製)を有する。この実施態様又は他の実施態様では、式A、B又はCの有機アミノシラン前駆体を、ステンレス鋼から構成された耐圧容器で与え、そしてその前駆体の純度は、大部分の半導体用途に適切となる98wt%以上、又は99.5wt%以上である。ある種の実施態様では、そのような容器が、前駆体と、望むのであれば1種以上の追加の前駆体とを混合するための手段を有することもできる。これらの実施態様又は他の実施態様では、容器の内容物を、追加の前駆体と事前に混合することができる。あるいは、有機アミノシラン前駆体及び/又は他の前駆体を、別個の容器に保持することができ、又は有機アミノシラン前駆体と他の前駆体との分離を保存中に維持するための分離手段を有する単一の容器に、保持することができる。
上述したように、有機アミノシランの純度は、信頼性のある半導体の製造を可能とするのに十分に高い。ある種の実施態様では、本明細書に記載した式A、B又はCを有する有機アミノシラン前駆体は、次の不純物の1種以上を2重量%以下、1重量%以下、又は0.5重量%以下で有する:遊離アミン、ハロゲン化物及び比較的高い分子量の物質。本明細書に記載した有機アミノシランの比較的高い純度は、次の1以上のプロセスを通じて得ることができる:精製、吸着及び/又は蒸留。
本明細書に記載した方法の1つの実施態様では、サイクリック堆積プロセス、例えばCCVD、ALD又はPEALDを用いることができ、ここでは、式A、B又はCを有する有機アミノシラン前駆体から選択される少なくとも1種のケイ素含有前駆体、及び随意に窒素含有源、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマを用いることができる。
本明細書に記載したある種の実施態様では、堆積プロセスで還元剤を用いる。還元剤の例としては限定されないが、水素、ヒドラジン又は水素プラズマが挙げられる。
ある種の実施態様では、前駆体容器から反応チャンバーに連結するガスラインを、プロセスの必要性に応じて、1以上の温度に加熱し、式A、B又はCを有する有機アミノシラン前駆体の容器を、バブリングのために1以上の温度で維持する。他の実施態様では、式A、B又はCを有する少なくとも1種のケイ素含有前駆体を含有する溶液を、直接液体注入(direct liquid injection)のために1以上の温度で維持した気化器に注入する。
アルゴン及び/又は他のガスの流れを、キャリアガスとして用いて、前駆体パルスの間の反応チャンバーへの少なくとも1種の有機アミノシラン前駆体の蒸気の提供を促進することができる。ある種の実施態様では、反応チャンバーのプロセス圧力は、約1Torrである。
典型的なALD又はCCVDプロセスでは、基材、例えば酸化ケイ素基材を、反応チャンバー内のヒーター台で加熱し、これを始めにケイ素含有前駆体にさらして、錯体を基材の表面に化学的に吸着させる。
パージガス、例えばアルゴンは、未吸着の余分な錯体をプロセスチャンバーからパージする。十分なパージの後で、窒素含有源を、反応チャンバーに導入して、吸着した表面と反応させた後で、他の1つのパージガスによって、チャンバーから反応副生成物を除去することができる。このプロセスサイクルを、所望の膜厚さを得るように繰り返すことができる。
この実施態様、又は他の実施態様において、本明細書に記載した方法の工程を、様々な順番で実行でき、順次的に又は同時に(例えば、他の1つの工程の少なくとも一部の間に)実行でき、そしてこれらのあらゆる組合せで実行することができると理解される。前駆体及び窒素含有前駆体源ガスを提供するそれぞれの工程を、それらを供給するための時間の持続時間を変えることによって実行して、生成するケイ素含膜の化学量論的組成を変えることができる。
本明細書に開示した方法の他の1つの実施態様では、ケイ素及び窒素の両方を含有する膜を、次の工程を含むALD堆積法を用いて形成する:
基材をALD反応器に与える工程;
上記ALD反応器に、次の式A、B及びCを有する少なくとも1種の有機アミノシラン前駆体又はこれらの混合物を導入する工程:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);
上記少なくとも1種の有機アミノシラン前駆体を、基材に化学吸着させる工程; 未吸着の上記少なくとも1種の有機アミノシラン前駆体を、パージガスを用いてパージする工程;
窒素含有源を、加熱した上記基材上の上記有機アミノシラン前駆体に与えて、上記吸着した少なくとも1種の有機アミノシラン前駆体と反応させる工程;及び
随意に、あらゆる未反応の窒素含有源をパージする工程。
本明細書に開示した方法の他の1つの実施態様では、次の工程を含むALD堆積法を用いて、シリコン含有膜を形成する:
基材を反応器に与える工程;
上記反応器に、次の式A、B及びCを有する少なくとも1種の有機アミノシラン前駆体又はこれらの混合物を導入する工程:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);
上記少なくとも1種の有機アミノシラン前駆体を、基材に化学吸着させる工程; 上記未吸着の少なくとも1種の有機アミノシラン前駆体を、パージガスを用いてパージする工程;
酸素含有源を、加熱した上記基材上の上記有機アミノシラン前駆体に与えて、上記吸着した少なくとも1種の有機アミノシラン前駆体と反応させる工程;及び
随意に、あらゆる未反応の酸素含有源をパージする工程。
本明細書に記載した方法のさらなる実施態様において、アモルファスシリコン膜、結晶性シリコン膜又はこれらの組合せであるケイ素含有膜を堆積するために、有機アミノシラン前駆体を用いる。これらの実施態様では、次の工程を含む、ALD及びサイクリックCVDから選択される堆積法を用いて、ケイ素含有膜を形成する:
周囲温度から約700℃の範囲の温度に加熱され、かつ1Torr以下の圧力で維持されている反応器に基材を置く工程;
上記反応器に、次の式A、B及びCを有する少なくとも1種の有機アミノシラン前駆体又はこれらの混合物を導入する工程:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);
水素、水素プラズマ及び塩化水素からなる群より少なくとも1種選択される還元剤を、上記反応器に導入して、上記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させて、そして1以上の上記基材にケイ素含有膜を堆積する工程。
本明細書に記載した方法に関して、上記の工程は1サイクルを構成し;このサイクルを、所望の厚みのケイ素含有膜を得るまで繰り返すことができる。この実施態様又は他の実施態様において、本明細書に記載した方法の工程を、様々な順番で実行することができ、順次的に又は同時に(例えば、他の1つの工程の少なくとも一部の間に)実行でき、そしてこれらのあらゆる組合せで実行することができると理解される。前駆体及び酸素源を提供するそれぞれの工程を、それらを供給するための時間の持続時間を変えることによって実行して、生成するケイ素含有膜の化学量論的組成を変えることができる。ただし、ここでは、利用可能なケイ素に対して、常に酸素を化学量論量よりも少なくして用いる。
多成分のケイ素含有膜に関して、他の前駆体、例えばケイ素含有前駆体、窒素含有前駆体、酸素源、還元剤及び/又は他の試薬を、反応チャンバーに交互に導入することができる。
本明細書に記載した方法のさらなる実施態様では、ケイ素含有膜を、熱CVDプロセスを用いて堆積させる。この実施態様では、この方法は、次のステップを含む:
周囲温度から約700℃までの範囲の温度に加熱し、且つ1Torr以下の圧力で維持した反応器に、1以上の基材を置くステップ;
次の式A、B及びC又はこれらの組合せを有する少なくとも1種の有機アミノシラン前駆体を導入するステップ:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10の直鎖若しくは分岐鎖のアルコキシ基;C〜C10の直鎖若しくは分岐鎖のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10の直鎖若しくは分岐鎖のアルコキシ基;C〜C10の直鎖若しくは分岐鎖のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);
酸素源を、上記反応器に与えて、上記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させ、そして上記1以上の基材にケイ素含有膜を堆積させるステップ。
このCVD法のある種の実施態様では、上記反応器を、上記導入ステップの間に100mTorr〜600mTorrの範囲の圧力で維持する。
本明細書に記載した方法に関して、上記のステップは1サイクルを構成し;このサイクルを、ケイ素含有膜の所望の厚みを得るまで繰り返すことができる。この実施態様又は他の実施態様において、本明細書に記載した方法のステップを、様々な順番で実行することができ、順次的に又は同時に(例えば、他の1つのステップの少なくとも一部の間に)実行でき、そしてこれらのあらゆる組合せで実行することができると理解される。前駆体及び酸素源を提供するそれぞれのステップを、それらを供給するための時間の持続時間を変えることによって実行して、生成するケイ素含有膜の化学量論的組成を変えることができる。ただし、ここでは、利用可能なケイ素に対して、常に酸素を化学量論量よりも少なくして用いる。
多成分のケイ素含有膜に関して、他の前駆体、例えばケイ素含有前駆体、窒素含有前駆体、酸素源、還元剤及び/又は他の試薬を、反応チャンバーに交互に導入することができる。
本明細書に記載した方法のさらなる実施態様では、ケイ素含有膜を、熱CVDプロセスを用いて堆積させる。この実施態様では、この方法は、次のステップを含む:
周囲温度から約700℃までの範囲の温度に加熱し、且つ1Torr以下の圧力で維持した反応器に、1以上の基材を配置するステップ;
少なくとも1種のケイ素含有前駆体として用いる、次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を導入するステップ:
Figure 2014074236
(式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);及び
窒素含有源を、上記反応器に与えて、上記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させ、そして上記1以上の基材にケイ素含有膜を堆積させるステップ。
このCVD法のある種の実施態様では、上記反応器を、上記導入ステップの間に100mTorr〜600mTorrの範囲の圧力で維持する。
ある種の実施態様では、本明細書に記載した式A、B又はCを有する有機アミノシラン前駆体を、金属含有膜、例えば限定されないが、金属酸化物膜又は金属窒化物膜のドーパントとして用いることもできる。これらの実施態様では、金属含有膜を、ALDプロセス又はCVDプロセス、例えば本明細書に記載したプロセスによって、金属アルコキシド前駆体、金属アミド前駆体又は有機金属前駆体を用いて堆積する。本明細書に開示した方法と共に用いることができる適切な金属アルコキシド前駆体の例としては、限定されないが、第3族〜第6族の金属アルコキシド、アルコキシ配位子とアルキル置換したシクロペンタジエニル配位子との両方を有する第3族〜第6族の金属錯体、アルコキシ配位子とアルキル置換したピロリル配位子との両方を有する第3族〜第6族の金属錯体、アルコキシ配位子とジケトナート配位子との両方を有する第3族〜第6族の金属錯体、アルコキシ配位子とケトエステル配位子との両方を有する第3族〜第6族の金属錯体が挙げられ;本明細書に開示した方法と共に用いることができる適切な金属アミド前駆体の例としては、限定されないが、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム、(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)及びテトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、tert−ブチルイミノトリ(ジエチルアミノ)タンタル (TBTDET)、tert−ブチルイミノトリ(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリ(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリ(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリ(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリ(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリ(ジメチルアミノ)タンタル (TAIMAT)、tert−アミルイミノトリ(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリ(エチルメチルアミノ)タンタル、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン及びこれらの組合せが挙げられる。本明細書に開示した方法と共に用いることができる適切な有機金属前駆体の例としては、限定されないが、第3族金属シクロペンタジエニル又は第3族金属アルキルシクロペンタジエニルが挙げられる。ここでの典型的な第3族〜第6族金属としては、限定されないが、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo及びWが挙げられる。
ある種の実施態様では、生成するケイ素含有膜又はコーティングを、堆積後処理、例えば限定されないが、プラズマ処理、化学的処理、紫外線照射、電子線照射、及び/又は膜の1つ以上の特性に影響を与える他の処理にさらすことができる。
ある種の実施態様では、本明細書に記載したケイ素含有膜は、6以下の誘電率を有する。これらの実施態様又は他の実施態様では、膜は、約5以下、約4以下又は約3.5以下の誘電率を有する場合がある。しかし、他の誘電率(例えば、より高い値又は低い値)を有する膜を、膜の所望の最終用途に応じて形成できることが想定される。本明細書に記載した有機アミノシラン前駆体及びプロセスを用いて形成されるケイ素含有膜の例は、式Siを有し、ここで、原子百分率重量%で、Siは約10〜約40%の範囲を有し;Oは約0%〜約65%の範囲を有し;Cは約0%〜約75%又は約0%〜約50%の範囲を有し;Nは約0%〜約75%又は約0%〜約50%の範囲を有し;Hは約0%〜約50%の範囲を有し、且つx+y+z+v+w=100原子重量%であり、これは例えばXPS又は他の手段で測定される。
上述したように、本明細書に記載した方法を用いて、ケイ素含有膜を、基材の少なくとも一部に堆積させることができる。適切な基材の例としては、限定されないが、ケイ素、SiO、Si、OSG、FSG、炭化ケイ素、水素化した炭化ケイ素、窒化ケイ素、水素化した窒化ケイ素、炭化窒化ケイ素、水素化した炭化窒化ケイ素、窒化ホウ素、反射防止コーティング、フォトレジスト、有機ポリマー、多孔性有機及び無機材料、金属(例えば銅及びアルミニウム)、及び拡散バリア層(例えば限定されないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W又はWN)が挙げられる。この膜は、様々な続く処理ステップ、例えば化学機械平坦化(CMP)処理及び異方性エッチング処理と適合する。
堆積させた膜は、限定されないが、コンピューターチップ、光学デバイス、磁気情報ストレージ、支持材料又は支持基材へのコーティング、微小電気機械素子(MEMS)、ナノ電気機械素子、薄膜トランジスター(TFT)及び液晶ディスプレイを含む用途を有する。
次の実施例は、有機アミノシラン前駆体の調製方法と共に、本明細書に記載した堆積させたケイ素含有膜の調製方法を例証し、決して限定することを意図していない。
例1:N−イソプロピルシクロヘキシルアミノシラン及び式Aを有する他の有機アミノシラン前駆体の合成
500mlのシュレンクフラスコで、247.3g(1.75mol)のN−イソプロピルシクロヘキシルアミン及び229.9g(1.75mol)のジ−イソプロピルアミノシランを、窒素下で8日間還流させた。副生成物のジ−イソプロピルアミンを、40mmHgの圧力及び50℃で減圧除去した。減圧分留により、50gの純粋なN−イソプロピルシクロヘキシルアミノシランを得た。示差走査熱量計(DSC)によって測定される通常の沸点(1気圧で測定される)は、約199℃である。最終生成物を、質量分析(MS)によって特徴付けた。これを図1に与えるが、これは特に171(M+)、156(M−CH)でピークを有する。
2つの10ccのステンレス鋼のアンプルを、入念に洗浄し、そして使用前に175℃で焼付けをした。5gのN−イソプロピルシクロヘキシルアミンのサンプルを、グローブボックス内で個々にアンプルに装填した。そして、これらのアンプルを、80℃±2℃で設定している実験室用オーブンを用いて、一週間及び二週間間隔で、一定温度の環境内に貯蔵した。これらのサンプルを、ガスクロマトグラフィー(GC)によって評価して、分解の範囲を測定した。GCの結果は、一週間で約0.20wt%及び二週間で約0.27wt%のみ分析値が低下したことを示し、これが優れた安定性を有すること、及び信頼性のある半導体プロセスに関して適切な前駆体として使用できることを示した。
式Aのさらなる有機アミノシラン前駆体を、ジ−イソ−プロピルアミノシラン及び表1に与えられた次の1種以上のアミンを用いて、本明細書に記載した式(1)の反応機構に従って作製した。式Aを有する所望の有機アミノシラン前駆体を、減圧蒸留によって得て、そして質量分析(MS)によって特徴付けた。その同一性を確認するために、それぞれの有機アミノシラン前駆体の分子量(MW)、構造及び対応するMSフラグメンテーションピークを表3に与える。
Figure 2014074236
Figure 2014074236
Figure 2014074236
例2:N−2−ピリジルジシラザン及び式Bを有する他の有機アミノシラン前駆体の合成 500mlのシュレンクフラスコで、57(0.5mol)の2−アミノピリジン及び196.5g(1.5mol)のジ−イソプロピルアミノシランを、周囲温度において窒素雰囲気下で12時間攪拌した。比較的低い沸点の副生成物ジ−イソプロピルアミンを、20mmHgの圧力及び室温(25℃)で減圧除去した。反応混合物を、さらに12時間攪拌した。生成物のN−2−ピリジルジシラザン(65g、収率84.5%)を、60℃の沸点で、6mmHgで減圧蒸留によって得た。最終生成物を、質量分析(MS)によって特徴付けた。これを、図1に与える。これは、特に153(M−CH)、123(M−SiH)、121、106、94及び80でピークを示している。N−2−ピリジルジシラザンの分子量は、154.32であった。
さらなる有機アミノシラン前駆体を、ジ−イソ−プロピルアミノシラン及び表2(式B)に与えられた次の1種以上のアミンを用いて、本明細書に記載した式(5)の反応機構に従って作製して、反応混合物を与えた。この反応混合物を、周囲温度において窒素雰囲気下で12時間攪拌する。選ばれるアミンの選択は、所望の生成する最終生成物の前駆体に影響した。例えば、N−アダマンチルジシラザンを、ジ−イソ−プロピルアミノシラン及び1−アダマンチルアミンを含む反応混合物から作製した。比較的低い沸点の副生成物ジ−イソプロピルアミンを、20mmHgの圧力及び室温(25℃)で減圧除去した。反応混合物を、さらに12時間攪拌した。式Bを有する所望の有機アミノシラン前駆体を、減圧蒸留によって得た。最終生成物を、質量分析(MS)によって特徴付けた。その同一性を確認するために、それぞれの最終生成物のピーク及び分子量(MW)を表4に与える。
Figure 2014074236
Figure 2014074236
Figure 2014074236
Figure 2014074236
例3:N,N’−ジシリル−トランス−2,5−ジメチルピペリジン及び式Cを有する他の有機アミノシラン前駆体の合成
500mlのシュレンクフラスコで、57(0.5mol)のトランス−2,5−ジメチルピペリジン及び196.5g(1.5mol)のジ−イソプロピルアミノシランを、周囲温度において窒素雰囲気下で12時間攪拌した。比較的低い沸点の副生成物ジ−イソプロピルアミンを、20mmHgの圧力及び室温(25℃)で減圧除去した。反応混合物を、さらに12時間攪拌した。生成物のN,N’−ジシリル−トランス−2,5−ジメチルピペリジン(78g、収率90%)を、54℃の沸点で、10mmHgで減圧蒸留によって得た。最終生成物を、質量分析(MS)によって特徴付けた。これを、図2に与える。これは、特に174(M+)、159(M−CH)、143(M−SiH)、131、117、100、83、72及び58でピークを示している。N,N’−ジシリル−トランス−2,5−ジメチルピペリジンの分子量は、174.39であった。
ジ−イソ−プロピルアミノシラン及び表2(式B又はC)に与えられた次の1種以上のアミンを用いて、さらなる有機アミノシラン前駆体を本明細書に記載した式(6)の反応機構に従って作製して、反応混合物を与えた。この反応混合物を、周囲温度において窒素雰囲気下で12時間攪拌する。選ばれるアミンの選択は、所望の生成する最終生成物の前駆体に影響した。例えば、N,N’−ジ(2−ピリミジノ)トリシラザンを、ジ−イソ−プロピルアミノシラン及び2−アミノピリジンを含む反応混合物から作製した。比較的低い沸点の副生成物ジ−イソプロピルアミンを、20mmHgの圧力及び室温(25℃)で減圧除去した。反応混合物を、さらに12時間攪拌した。式Cを有する所望の有機アミノシラン前駆体を、減圧蒸留によって得た。最終生成物を、質量分析(MS)によって特徴付けた。その同一性を確認するために、それぞれの最終生成物のピーク及び分子量(MW)を表5に与える。
Figure 2014074236
Figure 2014074236
例4:式Aの前駆体の相対的な化学的安定性のコンピューターシミュレーション
堆積プロセスに関する前駆体候補物質の熱安定性を理解するために、次の式Aの前駆体について量子力学計算を実行した:N−シリルデカヒドロキノリン、N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、N−イソプロピルシクロヘキシルアミノシラン及びジシクロヘキシルアミノシラン。密度汎関数理論(DFT)を用いて量子力学計算結果を得て、次のスクランブリング反応の速度論挙動及び熱力学挙動を評価した:
2SiHL→SiH+SiH
(式中、L=デカヒドロキノリン基、N−メチルシクロヘキシルアミノ基、N−エチルシクロヘキシルアミノ基、N−イソプロピルシクロヘキシルアミノ基及びジシクロヘキシルアミノ基である)。
シリンダーのヘッドスペースでの圧力上昇によって、安全性に潜在的な危険があるシラン(SiH)が形成するという実験的な証拠によって、この特定の反応がシミュレーションのために選ばれた。この反応は、最終生成物としてSiX及びSiHを生成する一連の類似の工程において、速度制限的であると第一にかつ一般的に受け入れられている。Accelrys 社のMaterials Studio(商標)のDmolモジュールで実行されるような、二重数値分極基底関数(double numerical polarized basis set)及び4.0 Aのグローバルカットオフ(global cutoff)と共に(B. Delley, J. Chem. Phys. 92, 508 1990; B. Delley, J. Chem. Phys. 113, 7756 2000)、B88交換汎関数(Becke, Phys. Rev. A 38, 3098 1988)及びLYP相関汎関数(Lee Yang Parr, Phys. Rev. B 37, 785 1988)からなるBLYP密度関数を用いて全ての電子近似について計算を行った。
計算結果を表6に与える。全ての反応が熱的に好ましく、又は熱的に中立に近いことが、表6から理解できる(これは、反応エネルギーErxnが負又はゼロに近いことから示唆される)。また、表6は窒素原子に結合する炭素原子の嵩高さが高くなることが、スクランブリング反応の活性化エネルギーEaを高めることを示しており、これは、反応速度を低下させることで、この熱分解機構に関して熱安定性を向上させることを示唆している。活性化エネルギーを高めることは、反応物と生成物との間のエネルギー障壁を越えるのに十分なエネルギーを有する分子をより少なくする(そうでない場合には、この形成が熱力学的に有利となるであろう)。この結果は、一定の温度での化学反応の減速となり、あるいはこの機構による分解が一定の速度に到達するのに必要な温度の上昇となる。
Figure 2014074236
例5:酸化ケイ素膜の原子層堆積
酸化ケイ素膜の原子層堆積を、次の式Aの前駆体を用いて行った:N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、及びN−イソプロピルシクロヘキシルアミノシラン。堆積を、実験室スケールのALDプロセスツールで行った。全てのガス(例えば、パージガス、反応ガス又は前駆体及び酸素源)を、堆積ゾーンに入る前に100℃に余熱した。ガス及び前駆体の流量を、高速作動するALDダイアフラムバルブで制御した。堆積に用いた基材は、基材の温度を確認するためにサンプルホルダーに取り付けた熱電対を有する12インチの長さのシリコンストリップであった。酸素源ガスとしてオゾンを用いて、400サイクルを基準として用いて、堆積を行った。また、堆積のプロセスパラメーターを表7に与える。
Figure 2014074236
生成したSiO膜を、堆積速度及び屈折率に関して特徴付けた。膜の厚み及び屈折率を、エリプソメーター(FilmTek 2000SE)を用いて、膜からの反射データを所定の物理的モデル(例えば、ローレンツ振動子モデル)にフィッティングすることによって、測定した。屈折率に関して、おおよそ1.44〜1.47の値が、通常のCVDの酸化ケイ素膜を反映するであろう。試験した全ての前駆体は、約1.4〜約1.5の範囲の屈折率を有する膜を堆積させた。
酸化ケイ素膜をALDによって、150℃の基材温度で、N−メチルシクロヘキシルアミノシラン及びオゾンを用いて堆積させた。オゾンの流れを4秒で5000sccmに固定しながら、N−メチルシクロヘキシルアミノシラン前駆体を、17Torrで1秒〜15秒の範囲のパルス時間を用いて供給した。図7は、N−メチルシクロヘキシルアミノシランの膜に関する堆積速度が、前駆体のパルス時間に依存しないことを示しており、2Å/サイクルでの自己制限的な挙動を示している。この膜の屈折率は、1.45〜1.47であり、これは通常の酸化ケイ素膜のものである。
酸化ケイ素膜をALDによって、75℃、100℃及び150℃の温度で、N−メチルシクロヘキシルアミノシラン及びオゾンを用いて堆積させた。オゾンの流れを4秒で5000sccmに固定しながら、N−メチルシクロヘキシルアミノシラン前駆体を、17Torrで2秒間供給した。表8は、基材温度の関数としての堆積速度を与える。
酸炭化ケイ素膜をALDによって、45℃〜150℃の範囲の温度で、N−エチルシクロヘキシルアミノシラン及びオゾンを用いて堆積させた。オゾンの流れを4秒で5000sccmに固定しながら、N−エチルシクロヘキシルアミノシラン前駆体を、9Torrで2秒間供給した。表8は、基材温度の関数としての堆積速度を与える。堆積した膜の屈折率も表8に与える。N−エチルシクロヘキシルアミノシランで堆積した膜の屈折率は、温度を上げると1.60から1.45に低下した。屈折率が高いほど、酸化ケイ素膜中により多い炭素ドーパントの存在が示唆される。
酸炭化ケイ素膜をALDによって、45℃〜300℃の範囲の様々な温度で、N−イソプロピルシクロヘキシルアミノシラン及びオゾンを用いて堆積させた。オゾンの流れを2秒で5000sccmに固定しながら、N−イソプロピルシクロヘキシルアミノシラン前駆体を、3Torrで4秒間供給した。表8は、基材温度の関数としての堆積速度を与える。堆積した膜の屈折率も表8に与える。N−エチルシクロヘキシルアミノシランで堆積した膜の屈折率は、温度を上げると1.77から1.50に低下した。N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、N−イソプロピルシクロヘキシルアミノシランを用いて堆積させた膜に関する堆積速度対温度の比較を、表8に与える。イソプロピルのような比較的大きなR置換基は、堆積プロセス中に立体障害を与え、これは、比較的低い堆積速度と共に、比較的多くの炭素の包含をもたらすということを表8は示している。このことは、メチルのような比較的小さなR置換基を用いる場合よりも高い屈折率によって証明されている。しかし、ALD条件を調整することによって、例えばオゾン以外の酸化剤を用いることによって、炭素含量を低くできる可能性が高く、これはN−エチルシクロヘキシルアミノシラン又はN−イソプロピルシクロヘキシルアミノシランを用いて、高純度の酸化ケイ素を堆積させることを可能とする。
Figure 2014074236

Claims (27)

  1. 次の式A、B又はCの1つによって表される有機アミノシラン:
    Figure 2014074236
    (式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;
    は、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;
    は、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって、炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;
    式A中のR及びRは、結合して環状基を形成することもでき;かつ
    式C中のR、R及びRのいずれか1つ以上は、結合して環状基を形成することもできる。)。
  2. 式Aを有し、かつR及びRが結合して、5員若しくは6員の、炭素環若しくは複素環の、置換又は非置換の芳香族基を形成している、請求項1に記載の有機アミノシラン。
  3. 有機アミノシランが、N−シリルピロール、N−シリル−2,5−ジメチルピロール及び1−シリル−7−アザインドールからなる群より選択される、請求項2に記載の有機アミノシラン。
  4. 式Aを有し、かつR及びRが結合して、5員若しくは6員の、炭素環若しくは複素環の、置換又は非置換の脂肪族環を形成している、請求項1に記載の有機アミノシラン。
  5. 有機アミノシランが、2,6−ジメチルモルホリノシラン、2−メチルピロリジノシラン、及びN−シリルデカヒドロキノリンからなる群より選択される、請求項4に記載の有機アミノシラン。
  6. 式Aを有し、かつR及びRが同じ置換基であるが、その両方が次の基とはならない、請求項1に記載の有機アミノシラン:エチル基、イソプロピル基、tert−ブチル基、イソブチル基、sec−ブチル基、n−ブチル基、t−ペンチル基及びsec−ペンチル基。
  7. 式Aを有し、かつR及びRが異なる置換基である、請求項1に記載の有機アミノシラン。
  8. N−プロピル−イソプロピルアミノシラン、N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、アリルフェニルアミノシラン、N−イソプロピルシクロヘキシルアミノシラン、アリルシクロペンチルアミノシラン、フェニルシクロヘキシルアミノシラン及び2−(N−シリルメチルアミノ)ピリジンからなる群より選択される、請求項7に記載の有機アミノシラン。
  9. 式Bを有し、Rが置換されたC〜C10の芳香族基であり、かつ前記芳香族基が、ヘテロ原子、アルキル基、アルケニル基又はアルコキシ基の1つ以上で置換されている、請求項1に記載の有機アミノシラン。
  10. 式Bを有し、Rが置換されたC〜C10のアルキル基であり、前記アルキル基がヘテロ原子、アルキル基、芳香族基、アルキル基、アルキルアミノ基又はアルコキシ基の1つ以上で置換されている、請求項1に記載の有機アミノシラン。
  11. 以下を含む、ケイ素含有膜の堆積用の組成物:
    式A、B及びCを有する有機アミノシラン又はこれらの混合物:
    Figure 2014074236
    (式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);及び
    エーテル、第三級アミン、ニトリル、アルキル炭化水素、芳香族炭化水素、第三級アミノエーテル及びこれらの混合物からなる群より選択される、溶媒。
  12. 前記有機アミノシラン及び前記溶媒のそれぞれが沸点を有し、前記有機アミノシランの沸点と前記溶媒の沸点との差が40℃以下である、請求項11に記載の組成物。
  13. 前記有機アミノシラン及び前記溶媒のそれぞれが沸点を有し、前記有機アミノシランの沸点と前記溶媒の沸点との差が20℃以下である、請求項11に記載の組成物。
  14. ALD又はサイクリックCVDから選択される堆積方法を用いて、結晶膜、アモルファスシリコン膜、又はこれらの組合せから選択されるケイ素含有膜を、形成する方法であって、以下の工程を含み、これらの工程を所望の厚さの前記ケイ素含有膜が得られるまで繰り返す方法:
    周囲温度から約700℃の範囲の温度に加熱され、かつ1Torr以下の圧力で維持される反応器に、基材を置く工程;
    次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、前記反応器に導入する工程:
    Figure 2014074236
    (式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は式Cにおいては置換基のある若しくは置換基のないシリル基から独立して選択され;Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;かつRは、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR;又はSiHを表し;かつ式A中のR及びRは、結合して環状基を形成することもできる。);及び
    水素、水素プラズマ及びヒドラジンからなる群より少なくとも1種選択される還元剤を、前記反応器に導入して、前記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させ、そして前記1以上の基材上にケイ素含有膜を堆積する工程。
  15. 次の式Aの有機アミノシラン:
    Figure 2014074236
    (式中、Rは、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;又はC〜C10のアルキルアミノ基から独立して選択され;
    は、C〜C10の直鎖若しくは分岐鎖のアルキル基;C〜C10の環状アルキル基;C〜C10の芳香族基;C〜C10の飽和若しくは不飽和の複素環基;水素原子;C〜C10の直鎖若しくは分岐鎖のアルケニル基;C〜C10のアルコキシ基;C〜C10のアルキルアミノ基から独立して選択されるが、
    式AのR及びRは、次の群より選択されるものである:
    (a)R及びRが結合して、5員若しくは6員の、複素環式の置換又は非置換の芳香族基を形成していること;
    (b)R及びRが結合して、5員若しくは6員の、複素環式の置換又は非置換の脂肪族環を形成していること;
    (c)R及びRが同じ置換基であるが、その両方が次の基とはならないこと:エチル基、イソプロピル基、tert−ブチル基、イソブチル基、sec−ブチル基、n−ブチル基、t−ペンチル基及びsec−ペンチル基;
    (d)R及びRが異なる置換基であること;及び
    (e)Rが、C〜Cの環状アルキル基から選択され、かつRが、直鎖又は分岐鎖のC〜Cのアルキル基及びC〜Cの環状アルキル基からなる群より選択されること。)。
  16. R及びRが結合して、5員若しくは6員の複素環式の置換若しくは非置換の芳香環を形成し、かつN−シリルピロール、N−シリル−2,5−ジメチルピロール及び1−シリル−7−アザインドールからなる群より選択される、請求項15に記載の有機アミノシラン。
  17. R及びRが結合して、5員若しくは6員の複素環式の置換若しくは非置換の脂肪族環を形成し、かつ2,6−ジメチルモルホリノシラン、2−メチルピロリジノシラン及びN−シリルデカヒドロキノリンからなる群より選択される、請求項15に記載の有機アミノシラン。
  18. R及びRが同じ置換基であり、かつジシクロヘキシルアミノシランを含む、請求項15に記載の有機アミノシラン。
  19. R及びRが異なる置換基であり、かつN−プロピル−イソプロピルアミノシラン、N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、アリルフェニルアミノシラン、N−イソプロピルシクロヘキシルアミノシラン、アリルシクロペンチルアミノシラン、フェニルシクロヘキシルアミノシラン及び2−(N−シリルメチルアミノ)ピリジンからなる群より選択される、請求項15に記載の有機アミノシラン。
  20. Rが、C〜Cの環状アルキル基から選択され、かつRが、直鎖又は分岐鎖のC〜Cのアルキル基又はC〜Cの環状アルキル基からなる群より選択される、請求項15に記載の有機アミノシラン。
  21. 以下の式Bの有機アミノシラン:
    Figure 2014074236
    (Rは、フェニル以外のC〜C10の置換若しくは非置換の芳香族基;C〜C10の置換若しくは非置換の環状アルキル基;直鎖若しくは分岐鎖の、置換若しくは非置換のC〜C10のアルケニル基;C〜C10のアルコキシアルキル基;C〜C10のアルキルアミノ基若しくはジアルキルアミノ基;又は非置換のtert−ブチル、t−ペンチル又はシクロヘキシル基以外の、C〜C10の直鎖若しくは分岐鎖の、置換若しくは非置換のアルキル基から選択される。)。
  22. Rが、置換されたC〜C10の芳香族基であり、前記芳香族基が、アルキル基、アルケニル基、アミノ基又はアルコキシ基の1つ以上で置換されている、請求項21に記載の有機アミノシラン。
  23. N−(4−メトキシフェニル)ジシラザン、N−(3−メトキシフェニル)ジシラザン、N−(2−メトキシフェニル)ジシラザン、 N−(4−クロロフェニル)ジシラザン、N−(2−クロロフェニル)ジシラザン、N−(2−エチルフェニル)ジシラザン、N−(2,6−ジエチルフェニル)ジシラザン、 N−(2−プロピルフェニル)ジシラザン、N−(4−t−ブチルフェニル)ジシラザン、N−(4−イソ−プロピルフェニル)ジシラザン、N−(2−イソ−プロピルフェニル)ジシラザン、N−(3−エチルフェニル)ジシラザン、N−(4−sec−ブチルフェニル)ジシラザン、N−(4−ビニルフェニル)ジシラザン、N−(3−メチルフェニル)ジシラザン、N−(4−メチルフェニル)ジシラザン、N−(2,4,6−トリメチルフェニル)ジシラザン、及びN−(2,6−ジ−イソプロピルフェニル)ジシラザンからなる群より選択される、請求項22に記載の有機アミノシラン。
  24. Rが、C〜C10の複素環基であり、前記複素環は、その環にN原子又はO原子を有し、かつ前記複素環は、アルキル基、アルケニル基、アミノ基又はアルコキシ基の1つ以上で置換されることができる、請求項21に記載の有機アミノシラン。
  25. 1−N−(2−ピリジル)ジシラザン、N,N−ジシリル−2−アミノピリミジン、N−(4−メチル−2−ピリジル)ジシラザン、N−(6−メチル−2−ピリジル)ジシラザン、N−(3−メチル−2−ピリジル)ジシラザン、N−(5−メチル−2−ピリジル)ジシラザン及びN−[2−(4−メチルピリミジノ)アミノ]ジシラザンからなる群より選択される、請求項24に記載の有機アミノシラン。
  26. Rは、置換されたC〜C10のアルキル基であり、前記アルキル基は、ヘテロ原子(例えば、N、Cl、O)、アルキル基、芳香族基、アルキル基、アルキルアミノ基又はアルコキシ基の1つ以上で置換されている、請求項21に記載の有機アミノシラン。
  27. N−t−ペンチルジシラザン、N−(2−ジメチルアミノ−1−メチルエチル)ジシラザン、N−(2−ジメチルアミノエチル)ジシラザン、N−(1−シクロヘキシルエチル)ジシラザン、N,N−ジシリルクミルアミン、N−[3,3−ジメチルブチル−2]ジシラザン、N,N−ジシリル−2−ピコリルアミン、N,N−ジシリル−2−(2−ピリジル)エチルアミン及びN,N−ジシリル−1−(4−メチルフェニル)エチルアミンからなる群より選択される、請求項26に記載の有機アミノシラン。
JP2013266781A 2011-05-24 2013-12-25 有機アミノシラン前駆体、並びにその製造方法及び使用方法 Active JP6498864B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161489486P 2011-05-24 2011-05-24
US61/489,486 2011-05-24
US13/474,076 US8771807B2 (en) 2011-05-24 2012-05-17 Organoaminosilane precursors and methods for making and using same
US13/474,076 2012-05-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012119083A Division JP6404540B2 (ja) 2011-05-24 2012-05-24 有機アミノシラン前駆体、並びにその製造方法及び使用方法

Publications (3)

Publication Number Publication Date
JP2014074236A true JP2014074236A (ja) 2014-04-24
JP2014074236A5 JP2014074236A5 (ja) 2015-07-09
JP6498864B2 JP6498864B2 (ja) 2019-04-10

Family

ID=46197051

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2012119083A Active JP6404540B2 (ja) 2011-05-24 2012-05-24 有機アミノシラン前駆体、並びにその製造方法及び使用方法
JP2013266781A Active JP6498864B2 (ja) 2011-05-24 2013-12-25 有機アミノシラン前駆体、並びにその製造方法及び使用方法
JP2017078434A Withdrawn JP2017171664A (ja) 2011-05-24 2017-04-11 有機アミノシラン前駆体、並びにその製造方法及び使用方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2012119083A Active JP6404540B2 (ja) 2011-05-24 2012-05-24 有機アミノシラン前駆体、並びにその製造方法及び使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017078434A Withdrawn JP2017171664A (ja) 2011-05-24 2017-04-11 有機アミノシラン前駆体、並びにその製造方法及び使用方法

Country Status (6)

Country Link
US (2) US8771807B2 (ja)
EP (1) EP2535343B1 (ja)
JP (3) JP6404540B2 (ja)
KR (3) KR101470876B1 (ja)
CN (1) CN102827198B (ja)
TW (1) TWI541248B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018528615A (ja) * 2015-09-11 2018-09-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー コンフォーマルな金属又はメタロイド窒化ケイ素膜を堆積するための方法及びその結果として得られる膜
JP2018534772A (ja) * 2015-10-06 2018-11-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー コンフォーマルな金属又はメタロイド窒化ケイ素膜の堆積方法

Families Citing this family (389)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US10325773B2 (en) * 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
KR101600337B1 (ko) * 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
JP6267374B2 (ja) * 2013-07-31 2018-01-24 東京エレクトロン株式会社 シリコン膜の成膜方法
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6272033B2 (ja) * 2014-01-06 2018-01-31 株式会社Adeka 原子層堆積法による酸化ケイ素又は酸窒化ケイ素薄膜の製造方法
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR20150108664A (ko) * 2014-03-18 2015-09-30 주식회사 유진테크 머티리얼즈 전구체 화합물 및 이를 이용한 박막 증착 방법, 어모퍼스 실리콘막의 증착방법
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
EP3149010A4 (en) * 2014-05-30 2017-11-08 Dow Corning Corporation Monoaminosilane compounds
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20180044357A1 (en) * 2015-03-12 2018-02-15 Basf Se Process for the generation of thin inorganic films
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN107923040B (zh) * 2015-07-31 2021-06-25 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
CN108431013B (zh) * 2015-12-18 2021-03-16 美国陶氏有机硅公司 二硅烷基胺和聚硅烷基胺的合成
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) * 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
SG11201807211XA (en) * 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102418092B1 (ko) * 2016-03-11 2022-07-06 다이요 닛산 가부시키가이샤 실리콘 질화막의 제조 방법 및 실리콘 질화막
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
TW201822259A (zh) * 2016-09-09 2018-06-16 美商諾發系統有限公司 氧摻雜矽碳化物膜之基於遠程電漿的沉積
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6787875B2 (ja) * 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) * 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN113166178A (zh) * 2018-11-15 2021-07-23 Up化学株式会社 硅前体化合物、制备方法及使用其来形成含硅膜的方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202108234QA (en) * 2019-02-01 2021-08-30 Versum Materials Us Llc Compositions and methods using same for silicon containing films
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
EP3902939A4 (en) 2019-02-05 2022-09-28 Versum Materials US, LLC CARBON DOPED SILICON OXIDE DEPOSIT
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
JP2022530419A (ja) * 2019-04-25 2022-06-29 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化ケイ素薄膜の高温原子層堆積のための有機アミノジシラザン
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US20220066198A1 (en) * 2019-05-25 2022-03-03 Tohoku University Scanning mirror and manufacturing method for scanning mirror
WO2020241153A1 (ja) * 2019-05-25 2020-12-03 国立大学法人東北大学 走査ミラーおよび走査ミラーの製造方法
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
JP7336365B2 (ja) * 2019-11-19 2023-08-31 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20220159438A (ko) * 2020-03-31 2022-12-02 엔테그리스, 아이엔씨. 규소-함유 필름을 제조하기 위한 전구체 및 방법
EP4110968A4 (en) 2020-04-02 2023-09-13 Versum Materials US, LLC ORGANOAMINO-FUNCTIONALIZED CYCLIC OLIGOSILOXANES FOR THE DEPOSITION OF SILICON-CONTAINING FILM
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112279665B (zh) * 2020-11-06 2022-06-07 浙江华茂航天科技股份有限公司 一种吸波陶瓷先驱体及其制备方法和应用
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
CN112420137B (zh) * 2020-11-18 2024-02-06 齐鲁工业大学 一种球体包覆模型的构建方法以及界面吸附评价方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023195691A1 (ko) * 2022-04-08 2023-10-12 (주)디엔에프 실라잔 화합물을 포함하는 실리콘 함유 봉지막용 조성물 및 이를 이용하는 실리콘 함유 봉지막의 제조방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0899979A (ja) * 1994-08-01 1996-04-16 Shin Etsu Chem Co Ltd ジシリルアミンの製造方法
JP2006517517A (ja) * 2002-11-14 2006-07-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ケイ素、窒化ケイ素、二酸化ケイ素および/またはオキシ窒化ケイ素を含むフィルムのようなケイ素含有フィルムの低温蒸着のための組成物および方法
JP2007051363A (ja) * 2005-05-16 2007-03-01 Air Products & Chemicals Inc Cvd炭窒化ケイ素膜用前駆体
JP2008300850A (ja) * 2001-03-30 2008-12-11 Advanced Technology Materials Inc 誘電体薄膜をcvd形成するための金属アミド前駆体およびアミノシラン前駆体

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1008403A (en) 1961-01-19 1965-10-27 Secr Aviation Polymeric compounds containing silicon-nitrogen bonds
SE396216B (sv) * 1973-03-15 1977-09-12 Thomae Gmbh Dr K Forfarande for framstellning av n-(2-amino-3,5-dibrombensyl)-n-metylcyklohexylamin
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
GB8825864D0 (en) * 1988-11-04 1988-12-07 Tioxide Group Plc Curable compositions
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH04151839A (ja) 1990-10-16 1992-05-25 Kawasaki Steel Corp シリコンオキシナイトライド膜の製造方法
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5382550A (en) 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
JP3336770B2 (ja) 1993-12-27 2002-10-21 ソニー株式会社 絶縁膜の形成方法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
JPH08227890A (ja) 1995-02-21 1996-09-03 Sony Corp 半導体基体の保護絶縁膜形成方法
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
AU1592899A (en) 1997-12-02 1999-06-16 Gelest, Inc. Silicon based films formed from iodosilane precursors and method of making th e same
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6153261A (en) 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156063A (ja) 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP3819660B2 (ja) 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6486015B1 (en) 2000-04-25 2002-11-26 Infineon Technologies Ag Low temperature carbon rich oxy-nitride for improved RIE selectivity
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
AU2001278749A1 (en) 2000-08-18 2002-03-04 Tokyo Electron Limited Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US6268299B1 (en) 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
TW473915B (en) 2000-12-29 2002-01-21 Applied Materials Inc Manufacture method of silicon nitride layer
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003166060A (ja) 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US6559074B1 (en) 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
JP2005534179A (ja) 2002-07-19 2005-11-10 アヴィザ テクノロジー インコーポレイテッド アミノシランとオゾンを用いる低温誘電体蒸着法
KR20050018641A (ko) 2002-07-19 2005-02-23 에비자 테크놀로지, 인크. 아미노실란 및 오존을 이용한 저온 유전체 증착
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
WO2004017383A2 (en) 2002-08-18 2004-02-26 Aviza Technology, Inc. Low termperature deposition of silicon oxides and oxynitrides
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US7470450B2 (en) 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP2006042214A (ja) 2004-07-29 2006-02-09 Nec Electronics Corp 半導体装置およびicタグ
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US20060051975A1 (en) 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
US20060062913A1 (en) 2004-09-17 2006-03-23 Yun-Ren Wang Process for depositing btbas-based silicon nitride films
US7332618B2 (en) * 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
WO2006087893A1 (ja) 2005-02-17 2006-08-24 Hitachi Kokusai Electric Inc. 基板処理方法および基板処理装置
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
WO2008149989A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
WO2009095898A1 (en) * 2008-02-01 2009-08-06 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New metal precursors containing beta-diketiminato ligands
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
CN102574876A (zh) 2009-07-06 2012-07-11 琳德股份公司 溶液基前体
KR20120060843A (ko) 2009-08-14 2012-06-12 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 박막 증착용 실란 블렌드
US8535760B2 (en) * 2009-09-11 2013-09-17 Air Products And Chemicals, Inc. Additives to silane for thin film silicon photovoltaic devices
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8461367B2 (en) * 2010-01-15 2013-06-11 Shin-Etsu Chemical Co., Ltd. Preparation process of trisilylamine
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0899979A (ja) * 1994-08-01 1996-04-16 Shin Etsu Chem Co Ltd ジシリルアミンの製造方法
JP2008300850A (ja) * 2001-03-30 2008-12-11 Advanced Technology Materials Inc 誘電体薄膜をcvd形成するための金属アミド前駆体およびアミノシラン前駆体
JP2006517517A (ja) * 2002-11-14 2006-07-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ケイ素、窒化ケイ素、二酸化ケイ素および/またはオキシ窒化ケイ素を含むフィルムのようなケイ素含有フィルムの低温蒸着のための組成物および方法
JP2007051363A (ja) * 2005-05-16 2007-03-01 Air Products & Chemicals Inc Cvd炭窒化ケイ素膜用前駆体

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018528615A (ja) * 2015-09-11 2018-09-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー コンフォーマルな金属又はメタロイド窒化ケイ素膜を堆積するための方法及びその結果として得られる膜
JP2018534772A (ja) * 2015-10-06 2018-11-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー コンフォーマルな金属又はメタロイド窒化ケイ素膜の堆積方法

Also Published As

Publication number Publication date
JP6498864B2 (ja) 2019-04-10
CN102827198A (zh) 2012-12-19
US20130129940A1 (en) 2013-05-23
US20140272194A1 (en) 2014-09-18
US9005719B2 (en) 2015-04-14
TW201247690A (en) 2012-12-01
JP6404540B2 (ja) 2018-10-10
EP2535343B1 (en) 2016-03-30
EP2535343A2 (en) 2012-12-19
EP2535343A3 (en) 2013-03-27
JP2017171664A (ja) 2017-09-28
KR20120132403A (ko) 2012-12-05
CN102827198B (zh) 2016-02-17
KR20140142209A (ko) 2014-12-11
JP2012248844A (ja) 2012-12-13
TWI541248B (zh) 2016-07-11
US8771807B2 (en) 2014-07-08
KR101470876B1 (ko) 2014-12-09
KR20200051543A (ko) 2020-05-13

Similar Documents

Publication Publication Date Title
JP6498864B2 (ja) 有機アミノシラン前駆体、並びにその製造方法及び使用方法
JP7177209B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP6100734B2 (ja) アザ−ポリシラン前駆体、及びそれを含む膜の堆積方法
JP6445375B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP5650589B2 (ja) 有機アミノシラン前駆体及びこれを含む膜の堆積方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150525

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150525

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160519

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160607

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170314

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170613

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20170712

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170914

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20171128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180328

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20180404

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20180608

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190314

R150 Certificate of patent or registration of utility model

Ref document number: 6498864

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250