JP5650589B2 - 有機アミノシラン前駆体及びこれを含む膜の堆積方法 - Google Patents

有機アミノシラン前駆体及びこれを含む膜の堆積方法 Download PDF

Info

Publication number
JP5650589B2
JP5650589B2 JP2011124074A JP2011124074A JP5650589B2 JP 5650589 B2 JP5650589 B2 JP 5650589B2 JP 2011124074 A JP2011124074 A JP 2011124074A JP 2011124074 A JP2011124074 A JP 2011124074A JP 5650589 B2 JP5650589 B2 JP 5650589B2
Authority
JP
Japan
Prior art keywords
group
branched
linear
alkyl
chain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011124074A
Other languages
English (en)
Other versions
JP2012025733A (ja
Inventor
マンチャオ シャオ
シャオ マンチャオ
マーク レオナルド オニール
レオナルド オニール マーク
レジーナ ボーウェン ヘザー
レジーナ ボーウェン ヘザー
チェン ハンソン
チェン ハンソン
レイ シンジャン
レイ シンジャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2012025733A publication Critical patent/JP2012025733A/ja
Application granted granted Critical
Publication of JP5650589B2 publication Critical patent/JP5650589B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/02Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances
    • H01B3/12Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances ceramics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

限定されないが、ケイ素含有膜、例えば窒化ケイ素膜、酸化ケイ素膜、炭窒化ケイ素膜及び酸窒化ケイ素膜を含む誘電体膜の堆積に用いることができる前駆体、特に有機アミノシラン前駆体を本明細書に記載する。さらに他の1つの態様では、本明細書に記載するものは、集積回路デバイスの製造時のケイ素含有誘電体膜を堆積するための有機アミノシラン前駆体の使用である。これらの態様又は他の態様では、有機アミノシラン前駆体を、様々な堆積プロセスに関して、例えば限定されないが原子層堆積(ALD)、化学気相成長(CVD)、プラズマ強化化学気相成長(PECVD)、低圧化学気相成長(LPCVD)及び常圧CVDに関して、用いることができる。
複数の種類の化合物を、ケイ素含有膜のための前駆体、例えば限定されないが、酸化ケイ素又は窒化ケイ素膜のための前駆体として用いることができる。前駆体としての使用に適切なこれらの化合物の例としては、シラン、クロロシラン、ポリシラザン、アミノシラン及びアジドシランが挙げられる。不活性キャリアガス又は希釈剤を用いて、例えば限定されないが、ヘリウム、水素、窒素等を用いて、前駆体を反応チャンバーに提供する。
低圧化学気相成長(LPCVD)プロセスは、ケイ素含有膜の堆積に関して半導体産業で用いられる、比較的幅広く受け入れられている方法の1つである。アンモニアを用いる低圧化学気相成長(LPCVD)では、適度な成長速度及び均質性を得るために、750℃超の堆積温度が必要となる場合がある。改良した膜特性を与えるために、比較的高い堆積温度が通常用いられる。窒化ケイ素又は他のケイ素含有膜を成長させるための比較的一般的な産業的方法の1つは、前駆体のシラン、ジクロロシラン及び/又はアンモニアを用いる、750℃超の温度でのホットウォール反応器(hot wall reactor)内での低圧化学気相成長による。しかし、この方法を用いることには複数の欠点が存在している。例えば、ある種の前駆体は、例えばシラン及びジクロロシランは、自然発火性である。これは、取り扱い時及び使用時に問題を提示する場合がある。また、シラン及びジクロロシランから堆積させた膜は、ある種の不純物を含有する場合がある。例えば、ジクロロシランを用いて堆積させた膜は、ある種の不純物、例えば塩素及び塩化アンモニウムを含有する場合があり、これは堆積プロセスの間に不純物として形成される。シランを用いて堆積させた膜は、水素を含有する場合がある。
窒化ケイ素膜を堆積するのに用いられる前駆体、例えばBTBAS及びクロロシランは、通常、550℃超の温度で膜を堆積させる。半導体デバイスの縮小化及び低いサーマルバジェット(thermal budget)の傾向は、比較的低い処理温度及び比較的高い堆積速度を必要とする。ケイ素膜を堆積させる温度は、格子中へのイオン拡散を防ぐために、特に金属化層(metallization layer)を有する基材に関して、また多くの3−5族デバイス及び2−6族デバイスにおいて、格子へのイオン拡散を防ぐために、低下させるべきである。したがって、550℃以下又は更には室温において、CVD、ALD又は他のプロセスにより堆積を可能とする、十分に化学的に反応性のある、ケイ素含有膜の堆積のための前駆体、例えば酸化ケイ素又は窒化ケイ素膜の堆積のための前駆体を与える必要性が、本分野において存在している。
本明細書に記載するものは、ケイ素を含む誘電体膜、例えば酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、炭窒化ケイ素及びこれらの組合せを含む誘電体膜を、基材の少なくとも一部に形成するための有機アミノシラン前駆体、並びにこれを用いる方法である。また、本明細書に開示するものは、誘電体膜又はコーティングを、処理する対象に、例えば半導体ウェハーに、形成するための方法である。本明細書に記載した方法の1つの実施態様では、酸化ケイ素層を基材に生成するための条件において、堆積チャンバーで、有機アミノシラン前駆体及び酸化剤を用いて、ケイ素及び酸素を含む層を基材に堆積させる。本明細書に記載した方法の他の1つの実施態様では、窒化ケイ素層を基材に生成するための条件において、堆積チャンバーで、有機アミノシラン前駆体及び窒素含有前駆体を用いて、ケイ素及び窒素を含む層を基材に堆積させる。さらなる実施態様では、本明細書に記載した有機アミノシラン前駆体を、金属含有膜のためのドーパントとして、例えば限定されないが、金属酸化物膜又は金属窒化物膜のためのドーパントとして、用いることもできる。本明細書に記載したプロセスでは、式Iを有する有機アミノシランを、ケイ素含有前駆体の少なくとも1種として用いる。
1つの態様において、本明細書に記載した有機アミノシラン前駆体は、次の式Iで表される有機アミノシラン前駆体を含む:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される。式I中のnが、1より大きい数字となる、ある種の実施態様では、Rは、同じである。式I中のnが、1より大きい数字となる、他の実施態様では、Rは、異なっている。上記の実施態様又は他の実施態様において、R及びRは、共に結合して環を形成していてもよい。さらなる実施態様では、R及びRは、環を形成するための結合をしていない)。
他の1つの態様では、次のステップを含む、基材の少なくとも1つの表面にケイ素含有膜を形成するための方法が与えられる:
反応チャンバーに上記基材の上記少なくとも1つの表面を与えるステップ;及び
次の式Iによって表される少なくとも1種の有機アミノシラン前駆体から、化学気相成長プロセス及び原子層堆積プロセスから選択される堆積プロセスによって、上記少なくとも1つの表面に上記ケイ素含有膜を形成するステップ:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される。式Iの1つの特定の実施態様において、R及びRは、共に結合して環を形成していてもよい。式Iの他の1つの実施態様では、R及びRは、環を形成するための結合をしていない)。
他の1つの態様では、次のステップを含む、原子層堆積プロセスによって酸化ケイ素を形成するための方法が与えられる:
a.基材を反応器に与えるステップ;
b.上記反応器に、次の式Iによって表される少なくとも1種の有機アミノシラン前駆体から選択される少なくとも1種のケイ素前駆体を導入するステップ:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される);
c.上記反応器を、パージガスでパージするステップ;
d.上記反応器に、酸素源を導入するステップ;
e.上記反応器を、パージガスでパージするステップ;及び
f.上記膜の所望の厚みが得られるまで、b〜eのステップを繰り返すステップ。
さらなる態様では、次のステップを含む、CVDプロセスを用いて基材の少なくとも1つの表面に酸化ケイ素膜を形成するための方法が与えられる:
a.基材を反応器に与えるステップ;
b.上記反応器に、次の式Iによって表される少なくとも1種の有機アミノシラン前駆体を導入するステップ:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される);及び
c.酸素源を与えて、上記少なくとも1つの表面に上記酸化ケイ素膜を堆積させるステップ。
他の1つの態様では、次のステップを含む、原子層堆積プロセスによって窒化ケイ素膜を形成する方法が与えられる:
a.基材を反応器に与えるステップ;
b.上記反応器に、次の式Iによって表される少なくとも1種のケイ素前駆体を導入するステップ:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される);
c.上記反応器を、パージガスでパージするステップ;
d.上記反応器に、窒素含有源を導入するステップ;
e.上記反応器を、パージガスでパージするステップ;及び
f.上記窒化ケイ素膜の所望の厚みが得られるまで、b〜eのステップを繰り返すステップ。
さらなる態様では、次のステップを含む、CVDプロセスを用いて基材の少なくとも1つの表面に窒化ケイ素膜を形成するための方法が与えられる:
a.基材を反応器に与えるステップ;
b.上記反応器に、次の式Iによって表される少なくとも1種の有機アミノシラン前駆体を導入するステップ:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される);及び
c.窒素含有源を与えるステップであって、上記少なくとも1種の有機アミノシラン前駆体と、上記窒素含有源とを反応させて、ケイ素及び窒素の両方を含有する上記膜を上記少なくとも1つの表面に堆積させるステップ。
他の1つの態様について、式Iを有する1種以上の有機アミノシラン前駆体を含む誘電体膜を堆積させるための容器が、本明細書に記載される。1つの特定の実施態様では、その容器は、CVD又はALDプロセスのために反応器への1種以上の前駆体の供給を可能とするのに適切なバルブ及び取付具を備えた、少なくとも1つの耐圧容器(好ましくはステンレス鋼製)を含む。
図1は、例1のフェニルメチルアミノシランの質量分光(MS)スペクトルを与える。 図2は、例3のフェニルエチルアミノシランの質量分光(MS)スペクトルを与える。 図3は、例4のフェニルアリルアミノシランの質量分光(MS)スペクトルを与える。 図4は、例5のN−シリル−2−メチルインドリンの質量分光(MS)スペクトルを与える。 図5は、例6のフェニルシクロヘキシルアミノシランの質量分光(MS)スペクトルを与える。 図6(A)及び(B)は、それぞれ、例7のコンピューターシミュレーションで用いられたヒドロキシル化したSiO(001)表面の側面図及び上面図である。図6(C)は、最適化されたヒドロキシル化したSiO(001)表面の概略図であり、O1及びO2は、2つのタイプの表面ヒドロキシル基を表している。 図7は、例7で示されるコンピューターシミュレーションにおける、ヒドロキシル化したSiO(001)表面へのジメチルアミノシラン(DMAS)の堆積に関する、最小エネルギー経路の計算されたエネルギープロファイルを与える。 図8は、例7で示されるコンピューターシミュレーションにおける、ヒドロキシル化したSiO(001)表面へのフェニルメチルアミノシラン(PMAS)の堆積に関する、最小エネルギー経路の計算されたエネルギープロファイルを与える。 図9は、例9で述べられる有機アミノシラン前駆体として、PMASか、ジイソプロピルアミノシラン(DIPAS)かのいずれかを用いて堆積させた酸化ケイ素膜に関する、堆積速度対温度の比較を与える。 図10は、例9で述べられる有機アミノシラン前駆体として、PMASか、ジイソプロピルアミノシラン(DIPAS)かのいずれかを用いて堆積させた酸化ケイ素膜に関する、屈折率対温度の比較を与える。 図11は、例12で述べられるガスクロマトグラフィ分析によって測定した場合の、様々な有機アミノシラン前駆体、例えばビス−tert−ブチルアミノシラン(BTBAS)、ジエチルアミノシラン(DEAS)、DIPAS及びPMASの安定性の比較を与える。
有機アミノシラン、シラン又はケイ素含有前駆体を、前駆体として用いて、化学量論的なケイ素含有膜及び非化学量論的なケイ素含有膜、例えば限定されないが、酸化ケイ素、酸炭化ケイ素、窒化ケイ素、酸窒化ケイ素及び酸窒化炭化ケイ素を形成する。また、これらの前駆体を、例えば、金属含有膜のためのドーパントとして用いることもできる。有機アミノシラン前駆体は、通常、高純度の揮発性液体前駆体化学物質であり、これらを気化させ、そして堆積チャンバー又は反応器にガスとして提供して、CVD又はALDプロセスにより、半導体デバイス用のケイ素含有膜を堆積させる。堆積のための前駆体材料の選択は、所望の生成誘電体材料又は膜に依存する。例えば、前駆体材料を、その化学元素の内容、化学元素の化学量論比及び/又はCVD下で形成する生成誘電体膜若しくは生成誘電体コーティングに関して、選択することができる。また、前駆体材料を、様々な他の特徴、例えばコスト、無毒性、取扱い性、室温で液相を維持する性能、揮発性、分子量及び/又は他の考慮事項に関して選択することができる。ある種の実施態様では、本明細書に記載した前駆体を、あらゆる手段で反応器システムに提供することができ、好ましくは堆積チャンバー又は反応器への液相の前駆体の提供を可能とする適切なバルブ及び取付具を備えた耐圧ステンレス鋼容器を用いて、反応器システムに提供することができる。
本明細書に記載した前駆体は、CVD又はALD前駆体としてそれらを理想的に適切とする、反応性及び安定性のバランスを示す。反応性に関して、ある種の前駆体では、気化させ、そして反応器に提供して基材に膜として堆積させるのに、高すぎる沸点を有する場合がある。比較的に高い沸点を有する前駆体では、提供容器及び提供ラインを前駆体の沸点以上に加熱して、容器、ライン又はこの両方への凝縮物又は粒子の形成を、防ぐことを必要とする。安定性に関して、他の前駆体は、分解するにしたがって、シラン(SiH)を形成する場合がある。シランは、室温で自然発火性であり、又はそれは自発的に燃焼することがあり、これは安全性の問題と取扱いの問題を提示する。さらに、シラン及び他の副生成物の形成は、前駆体の純度を低下させる。また、1〜2%程の小さな化学的純度の変化が、信頼性のある半導体製造のためには許容できないものとみなされる場合がある。ある種の実施態様では、式Iを有する有機アミノシラン前駆体は、6ヶ月以上又は1年以上の期間で保存した後に、2wt%未満、1wt%未満又は0.5wt%未満の副生成物(例えば、対応するビス−シランの副生成物)を含む。上記の利点に加えて、ある種の実施態様、例えばALD堆積法又はPEALD堆積法を用いて酸化ケイ素膜又は窒化ケイ素膜を堆積する実施態様では、本明細書に記載された有機アミノシラン前駆体は、比較的低い堆積温度で、例えば500℃以下、400℃以下、又は300℃以下で、高密度材料を堆積することができる場合がある。1つの特定の実施態様では、有機アミノシラン前駆体、例えばフェニルメチルアミノシランを用いて、ALD又はPEALDによって、50℃以下で、又は室温(例えば25℃)程の低い温度で、誘電体膜を堆積することができる。
1つの態様では、次の式Iによって表される、ある種の前駆体又は有機アミノシランを与える:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される。式Iの有機アミノシランのある種の実施態様では、R及びRは、共に結合して環を形成していてもよい。式Iの有機アミノシランの別の実施態様では、R及びRは、環を形成するための結合をしていない)。
式Iにおいて、また明細書を通じて、用語「アルキル」は、1〜20、1〜12又は1〜6の炭素原子を有する直鎖又は分岐鎖の官能基を意味する。典型的なアルキル基としては、限定されないが、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル、ペンチル、イソ−ペンチル、tert−ペンチル、ヘキシル、イソヘキシル及びネオヘキシルが挙げられる。ある種の実施態様では、アルキル基は、自身に結合している1つ以上の官能基、例えば限定されないが、アルコキシ基、ジアルキルアミノ基又はこれらの組み合わせを有する場合がある。他の実施態様では、アルキル基は、自身に結合する1つ以上の官能基を有さない。
式Iにおいて、また明細書を通じて、用語「環状アルキル」は、3〜12又は4〜10の炭素原子を有する環状官能基を意味する。典型的な環状アルキル基としては、限定されないが、シクロブチル、シクロペンチル、シクロヘキシル及びシクロオクチル基が挙げられる。
式Iにおいて、また明細書を通じて、用語「アリール」は、5〜12の炭素原子又は6〜10の炭素原子を有する芳香族の環状官能基を意味する。典型的なアリール基としては、限定されないが、フェニル、ベンジル、クロロベンジル、トリル、及びo−トリルが挙げられる。
式Iにおいて、また明細書を通じて、用語「アルケニル基」は、1つ以上の炭素−炭素二重結合を有し、且つ2〜20、2〜12又は2〜6の炭素原子を有する基を意味する。
式Iにおいて、また明細書を通じて、用語「アルキニル基」は、1つ以上の炭素−炭素三重結合を有し、且つ2〜20、2〜12又は2〜6の炭素原子を有する基を意味する。
式Iにおいて、また明細書を通じて、用語「アルコキシ」は、酸素原子に結合しており(例えばR−O)、且つ1〜20、1〜12又は1〜6の炭素原子を有することができるアルキル基を意味する。典型的なアルコキシ基としては、限定されないが、メトキシ(−OCH)、エトキシ(−OCHCH)、n−プロポキシ(−OCHCHCH)及びイソ−プロポキシ(−OCHMe)が挙げられる。
式Iにおいて、また明細書を通じて、用語「ジアルキルアミン基」は、窒素原子に結合している2つのアルキル基を有し、且つ1〜20、2〜12又は2〜6の炭素原子を有する基を意味する。
本明細書で用いた場合、用語「電子求引置換基」は、Si−N結合から電子を求引するように機能する原子又はその基について述べている。適切な電子求引置換基の例としては、限定されないが、ハロゲン(F、Cl、Br、I)及びニトリル(CN)が挙げられる。ある種の実施態様では、電子吸引置換基は、式I中のNに隣接又は近接させることができる。電子吸引基のさらなる非限定的な例としては、F、Cl、Br、I、CN、NO、RSO及び/又はRSOが挙げられ、ここでRは、C〜C10のアルキル基とすることができ、例えば限定されないが、メチル基又は他の1つの基とすることができる。
ある種の実施態様では、式I及び式II中のアルキル基、アルケニル基、アルキニル基、アルコキシ基、ジアルキルアミノ基、アリール基及び/又は電子吸引基の1つ以上が、置換されている場合があり、又は例えば水素原子の代わりに置換した1つ以上の原子若しくはその原子の基を有する場合がある。典型的な置換基の例としては、限定されないが、酸素、硫黄、ハロゲン原子(例えば、F、Cl、I又はBr)、窒素及びリンが挙げられる。他の実施態様では、式I及びII中のアルキル基、アルケニル基、アルキニル基、アルコキシ基、ジアルキルアミノアリール基及び/又は電子吸引基の1つ以上が、置換されていない場合がある。
ある種の実施態様では、式I中の置換基R及びRは結合して、環状構造を形成している。他の実施態様では、式I中の置換基R及びRは結合していない。
式I中のnが1より大きい数字となる、ある種の実施態様では、Rは同じである。これの例は、nが2で、且つ2つのR置換基が共にメチル基となる実施態様が可能である。式I中のnが1より大きい数字となる他の実施態様では、Rは異なっている。これの例は、nが2で、且つ2つのR置換基がメチル基及びCl原子となる実施態様が可能である。これらの又は他の実施態様では、R及びRは互いに独立している。式I中のnが1超の数字となる別の実施態様では、式I中の置換基R及びRの1つは結合して、環状構造を形成している。
ある種の実施態様では、式Iを有する少なくとも1種の有機アミノシラン前駆体は、酸素原子を含む1つ以上の置換基を有する。これらの実施態様では、堆積プロセス中の酸素源の必要性を、回避することができる。他の実施態様では、式Iを有する少なくとも1種の有機アミノシラン前駆体は、酸素原子を含む1つ以上の置換基を有し、且つ酸素源も用いる。この実施態様又は他の実施態様では、式I中の置換基R及びRは、酸素原子を通じて結合して、環状構造を形成している。次の表1は、式Iを有する有機アミノシランのある種の実施態様のいくつかの非限定的な例を与える。
理論に拘束されないが、有機アミノシラン前駆体、例えば本明細書に記載した式Iを有し、且つ−SiH基を有する有機アミノシランは、ヒドロキシル化した半導体表面に反応するためのその比較的低い活性化障壁(それゆえ比較的低い堆積温度)、比較的少ない不純物、及び堆積後の比較的高い膜密度に起因して、−SiH基又は−SiH基を有する他の有機アミノシラン前駆体よりも有利となる。しかし、−SiH基を有するある種の有機アミノシラン前駆体、例えばジメチルアミノシラン(DMAS)又はジエチルアミノシラン(DEAS)は、不均化反応を経て、自然発火性のシラン及びビス(ジメチルアミノ)シラン又はビス(ジエチルアミノ)シランをそれぞれ形成するので、熱的に安定ではない場合がある。PMASに関する活性化障壁は、DMASと比較して、相対的に低いことが分かっている。さらに、これらの特定の有機アミノシラン前駆体を用いて堆積させた膜は、窒化ケイ素又は炭窒化ケイ素のネットワーク中に適当な水準及びタイプの炭素を含有する場合があると考えられ、これは、特定の誘電率値を維持しながら、ウェットエッチング速度を大幅に低下させることを可能とする場合がある。加えて、本明細書に記載した式Iを有する本発明の有機アミノシラン前駆体は、本分野で公知の他の有機アミノシラン、例えばジイソプロピルアミノシランよりも低い温度の堆積、例えば室温の堆積を可能とする場合がある。
ある種の実施態様では、式Iを有する有機アミノシランを、モノクロロシラン(MCS)又は比較的低い分子量のジアルキルアミノシラン、例えばジ−イソ−プロピルアミノシランと、次の式IIを有するアミンとを、有機溶媒又は溶媒混合体中で反応させることによって、調製することができる。
式IIにおいて、式II中の置換基Rは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される。式IIを有するアミンの1つの実施態様において、R及びRは、共に結合して環を形成していてもよい。式IIを有するアミンの別の実施態様において、R及びRは、環を形成するための結合をしていない。Rが、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、ハロゲンとなり、且つRが、直鎖若しくは分岐鎖のC〜Cのアルキル基又はC〜C10の環状アルキル基となる、式IIを有する典型的なアミンとしては、限定されないが、N−メチルアニリン、N−エチルアニリン、N−イソ−プロピルアニリン、n−ブチルアニリン、N−アリルアニリン、N−エチル−m−トルイジン、N−メチル−o−トルイジン、N−メチル−p−トルイジン、4−フルオロ−N−メチルアニリン、4−クロロ−N−メチルアニリン、N−シクロヘキシルアニリン、3−アニリノプロピオニトリル又はN−フェニルグリシノニトリルが挙げられる。R及びRが結合して環を形成している、式IIを有する典型的なアミンとしては、限定されないが、3−メチルインドール、2−メチルインドール、インドール、テトラヒドロキノリン、8−メチル−1,2,3,4−テトラヒドロキノリン、3−インドールアセトニトリル、2−メチルインドリン、2,3−ジヒドロインドール、5−メチルインドリン、1,2,3,4−テトラヒドロキノリン、1,2,3,4−テトラヒドロ−2−メチルキノリン、1,2,3,4−テトラヒドロ−6−メチルキノリン、3,4−ジヒドロ−2H−1,4−ベンズオキサジン、カルバゾール及び3,6−ジブロモカルバゾールが挙げられる。
次の式(1)〜(3)は、本明細書に記載したような式Iを有する有機アミノシランを製造するために用いることができる反応スキーム又は合成ルートの例を与える。(1)〜(3)の反応スキームは、有機溶媒を用いて(例えば、有機溶媒の存在下で)、又は有機溶媒を用いずに(例えば、有機溶媒の不存在下で)実行することができる。有機溶媒を用いる実施態様において、適切な有機溶媒の例としては、限定されないが、炭化水素、例えば、ヘキサン、オクタン及びトルエン、並びにエーテル、例えばジエチルエーテル及びテトラヒドロフラン(THF)が挙げられる。これらの実施態様又は他の実施態様において、反応温度は、約−70℃から、溶媒を伴うならばその使用する溶媒の沸点までの範囲である。生成する有機アミノシランを、全ての副生成物、及び存在するならば溶媒を除去した後で、減圧蒸留によって精製することができる。式(1)は、シリル交換反応を伴う実施態様である。式(2)は、塩素化したシランを試薬として用いている実施態様である。この合成ルートの例は、例2として本明細書に与えられている。式3は、金属アミド、例えばリチウムアミド又はカリウムアミド、及び塩素化したシランを試薬として用いて、そしてこれらが所望の最終生成物及び金属ハロゲン化物の副生成物をもたらしている実施態様である。
ケイ素含有誘電体膜又はコーティングを形成するために用いる方法は、堆積プロセスである。本明細書で開示した方法に関して適切な堆積プロセスの例としては、限定されないが、サイクリックCVD(CCVD)、MOCVD(有機金属CVD)、熱化学気相成長、プラズマ強化化学気相成長(PECVD:plasma enhanced chemical vapor deposition)、高密度PECVD、光支援CVD(photon assisted CVD)、プラズマ−光支援(PPECVD)、低温化学気相成長、化学支援気相成長(chemical assisted vapor deposition)、ホットフィラメント化学気相成長、液体ポリマー前駆体のCVD、超臨界流体からの堆積、及び低エネルギーCVD(LECVD)が挙げられる。ある種の実施態様では、金属含有膜を、原子層堆積(ALD)プロセス、プラズマ強化ALD(PEALD)プロセス、又はプラズマ強化サイクリックCVD(PECCVD)プロセスによって堆積させる。本明細書で用いられる場合、用語「化学気相成長プロセス」は、基材を、1種以上の揮発性前駆体に露出させ、1以上の揮発性前駆体を、基材表面で反応させ且つ/又は分解させて、所望の堆積物を生成する、あらゆるプロセスについて言及している。本明細書で用いられる場合、用語「原子層堆積プロセス」は、様々な組成の基材に材料の膜を堆積させる、自己制限的な(例えば、各反応サイクルで堆積される膜材料の量が一定である)、順次的な表面化学反応について言及している。本明細書で用いられる、前駆体、試薬及び物質源は、「ガス状」として記載される場合があるが、前駆体は、不活性ガスを用いて又は不活性ガスを用いずに、直接気化、バブリング又は昇華によって、反応器に輸送される、液体又は固体のいずれかであってよいことが理解される。いくつかの場合では、揮発した前駆体は、プラズマ発生器を通過することができる。1つの実施態様では、誘電体膜を、ALDプロセスを用いて堆積させる。他の一つの実施態様では、誘電体膜を、CCVDプロセスを用いて堆積させる。さらなる実施態様では、誘電体膜を、熱CVDプロセスを用いて堆積させる。本明細書で用いる場合、用語「反応器」は、限定を含まずに、反応チャンバー又は堆積チャンバーを含む。
ある種の実施態様では、本明細書に開示した方法は、反応器に導入する前に且つ/又は導入中に前駆体を分離しておくALD法又はCCVD法を用いることによって、前駆体の前反応を回避する。これに関連して、堆積技術、例えばALDプロセス又はCCVDプロセスを用いて、誘電体膜を堆積させる。1つの実施態様では、基材表面を、ケイ素含有前駆体、酸素源、窒素含有源、又は他の前駆体若しくは試薬の1種以上に交互にさらすことによって、ALDプロセスを通じて、膜を堆積させる。膜の成長は、表面反応の自己制限的な制御、各前駆体又は試薬のパルス長さ、及び堆積温度によって進む。しかし、基材の表面が飽和すると、膜の成長は停止する。
ある種の実施態様において、本明細書に記載した方法は、上記の式Iを有する有機アミノシラン前駆体以外の、1種以上の追加のケイ素含有前駆体をさらに含む。追加のケイ素含有前駆体の例としては、限定されないが、有機ケイ素化合物、例えばシロキサン(例えば、ヘキサメチルジシロキサン(HMDSO)及びジメチルシロキサン(DMSO));有機シラン(例えばメチルシラン、ジメチルシラン、ビニルトリメチルシラン、トリメチルシラン、テトラメチルシラン、エチルシラン、ジシリルメタン、2,4−ジシラペンタン、1,4−ジシラブタン、2,5−ジシラへキサン、2,2−ジシリルプロパン、1,3,5−トリシラシクロヘキサン及びこれら化合物のフッ素化された誘導体);フェニル含有有機ケイ素化合物(例えば、ジメチルフェニルシラン及びジフェニルメチルシラン);酸素含有有機ケイ素化合物(例えば、ジメチルジメトキシシラン;1,3,5,7−テトラメチルシクロテトラシロキサン;1,1,3,3−テトラメチルジシロキサン;1,3,5,7−テトラシラ−4−オキソ−へプタン、2,4,6,8−テトラシラ−3,7−ジオキソ−ノナン、2,2−ジメチル−2,4,6,8−テトラシラ−3,7−ジオキソ−ノナン、オクタメチルシクロテトラシロキサン、[1,3,5,7,9]−ペンタメチルシクロペンタシロキサン、1,3,5,7−テトラシラ−2,6−ジオキソ−シクロオクタン、ヘキサメチルシクロトリシロキサン、1,3−ジメチルジシロキサン、1,3,5,7,9−ペンタメチルシクロペンタシロキサン、ヘキサメトキシジシロキサン及びこれら化合物のフッ素化された誘導体)が挙げられる。
堆積方法に応じて、ある種の実施態様では、1種以上のケイ素含有前駆体を、所定のモル体積で又は約0.1〜約1000マイクロモルで、反応器に導入することができる。この実施態様又は他の実施態様では、ケイ素含有前駆体及び/又は有機アミノシラン前駆体を、所定の時間間隔で反応器に導入することができる。ある種の実施態様では、その時間間隔は、約0.001〜約500秒の範囲となる。
ある種の実施態様では、本明細書に記載した方法を用いて堆積させる誘電体膜を、酸素源、試薬又は酸素を含む前駆体を用いて、酸素の存在下で形成させる。酸素源は、少なくとも1種の酸素源の形態で反応器に導入させることができ、且つ/又は堆積プロセスで用いる他の前駆体に付随して存在させることができる。適切な酸素源ガスとしては、例えば水(HO)(例えば、脱イオン水、精製水、及び/又は蒸留水)、酸素(O)、酸素プラズマ、オゾン(O)、NO、NO、一酸化炭素(CO)、二酸化炭素(CO)及びこれらの組合せを挙げることができる。ある種の実施態様では、酸素源は、約1〜約2000sccm又は約1〜約1000sccmの範囲の流量で反応器に導入する酸素源を含む。酸素源を、約0.1秒〜約100秒の範囲の時間で導入することができる。1つの特定の実施態様では、酸素源は、10℃以上の温度を持つ水を含む。膜をALDプロセス又はサイクリックCVDプロセスによって堆積させる実施態様において、前駆体パルスは、0.01秒超であるパルス時間を有することができ、且つ酸素源が、0.01秒未満であるパルス時間を有することができ、さらに水のパルス時間が、0.01秒未満であるパルス時間を有することができる。さらなる他の1つの実施態様では、パルスとパルスの間のパージ時間は、0秒程度まで低くすることができ、又はその間にパージをしないで連続的にパルスさせることができる。酸素源又は試薬を、分子の総量について、ケイ素前駆体に対する比を1:1より小さくして与えて、それにより少なくともある程度の炭素を、堆積させた誘電体膜に保持させる。
ある種の実施態様では、誘電体膜は、ケイ素及び窒素を含む。これらの実施態様では、本明細書に記載した方法を用いて堆積させる誘電体膜を、窒素含有源の存在下で形成させる。窒素含有源は、少なくとも1種の窒素含有源の形態で反応器に導入させることができ、且つ/又は堆積プロセスで用いる他の前駆体に付随して存在させることができる。適切な窒素含有源としては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマ及びこれらの混合物が挙げられる。ある種の実施態様において、窒素含有源は、約1〜約2000sccm又は約1〜約1000sccmの範囲の流量で反応器に導入するアンモニアプラズマ、又は水素/窒素プラズマ源ガスを含む。窒素含有源を、約0.1秒〜約100秒の範囲の時間で導入することができる。膜をALDプロセス又はサイクリックCVDプロセスによって堆積させる実施態様において、前駆体パルスは、0.01秒超であるパルス時間を有することができ、且つ窒素含有源が、0.01秒未満であるパルス時間を有することができ、さらに水のパルス時間が、0.01秒未満であるパルス時間を有することができる。さらなる他の1つの実施態様では、パルスとパルスとの間のパージ時間は、0秒程度まで低くすることができ、又は間にパージをしないで連続的にパルスさせることができる。
本明細書で開示した堆積方法は、1種以上のパージガスを伴う場合がある。未反応の反応物及び/又は反応副生成物をパージするために用いるパージガスは、不活性ガスであり、これは前駆体と反応しない。典型的なパージガスとしては、限定されないが、アルゴン(Ar)、窒素(N)、ヘリウム(He)、ネオン、水素(H)及びこれらの混合物が挙げられる。ある種の実施態様では、パージガス、例えばArを、約0.1秒〜1000秒の間に、約10〜約2000sccmの範囲の流量で反応器に供給することができ、それにより反応器に残留している場合がある未反応の材料及びあらゆる副生成物を、パージすることができる。
前駆体、酸素源、窒素含有源並びに/又は他の前駆体、他の物質源ガス及び/若しくは試薬を供給する各ステップを、それらを供給する時間を変えることによって実行し、生成誘電体膜の化学両論的な組成を変えることができる。
エネルギーを、前駆体、窒素含有源、還元剤、他の前駆体又はこれらの組合せの少なくとも1つに適用して、反応を誘導し、そして誘電体膜又はコーティングを基材に形成させる。そのようなエネルギーは、限定されないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子線、光子、リモートプラズマ法及びこれらの組合せによって与えることができる。ある種の実施態様では、二次高周波(secondary rf frequency)源を用いて、プラズマ特性を基材表面で変えることができる。堆積にプラズマを伴う実施態様では、プラズマ生成プロセスは、プラズマを反応器で直接的に生成させる直接プラズマ生成プロセス、あるいはプラズマを反応器の外部で生成させて反応器に供給するリモートプラズマ生成プロセスを、含むことができる。
有機アミノシラン前駆体及び/又は他のケイ素含有前駆体を、反応チャンバー、例えばCVD反応器又はALD反応器に、様々な方法で提供することができる。1つの実施態様では、液体提供システムを用いることができる。別の実施態様では、液体提供プロセスとフラッシュ気化プロセスが組み合わされたユニット、例えばターボ気化器(MSP Corporation製、ショアビュー、ミネソタ州、米国)を用いて、低揮発度物質を容量分析的に供給することを可能とする。これは、前駆体の熱的分解のない状態で再現性のある輸送及び堆積をもたらすことができる。液体提供配合物中において、本明細書に記載された前駆体は、そのままの液体形態で提供することができ、あるいは、この前駆体を含む溶媒配合物中又は組成物中で使用することができる。それゆえ、ある種の実施態様において、その前駆体配合物は、基材上に膜を形成する特定の最終用途において所望であり且つ有利となるような、適切な特性を有する溶媒成分を含むことができる。
他の1つの実施態様において、式Iを有する1種以上の有機アミノシラン前駆体を含む誘電体膜を堆積するための容器について、本明細書で記載される。1つの特定の実施態様では、その容器は、CVDプロセス又はALDプロセスのための反応器に1種以上の前駆体を提供することを可能とするための適切なバルブ及び取付具を備えた、少なくとも1つの耐圧容器(好ましくはステンレス鋼製)を有する。この実施態様又は他の実施態様では、式Iの有機アミノシラン前駆体を、ステンレス鋼から構成された耐圧容器で与え、そしてその前駆体の純度は、大部分の半導体用途に適切となる、98wt%以上、又は99.5wt%以上である。ある種の実施態様では、そのような容器が、前駆体と、望むのであれば1種以上の追加の前駆体とを混合するための手段を有することもできる。これらの実施態様又は他の実施態様では、容器の内容物を、追加の前駆体と事前に混合することができる。あるいは、有機アミノシラン前駆体及び/又は他の前駆体を、別個の容器に保持することができ、又は有機アミノシラン前駆体と他の前駆体との分離を保存中に維持するための分離手段を有する単一の容器に、保持することができる。
本明細書に記載した方法の1つの実施態様では、サイクリック堆積プロセス、例えばCCVD、ALD又はPEALDを用いることができ、ここでは、式Iを有する有機アミノシラン前駆体から選択される少なくとも1種のケイ素含有前駆体、及び随意に窒素含有源、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマを用いることができる。
ある種の実施態様では、前駆体容器から反応チャンバーに連結するガスラインを、プロセスの必要性に応じて、1以上の温度に加熱し、式Iを有する有機アミノシラン前駆体の容器を、バブリングのために1以上の温度で維持する。他の実施態様では、式Iを有する少なくとも1種のケイ素含有前駆体を含有する溶液を、直接液体注入(direct liquid injection)のために1以上の温度で維持した気化器に注入する。
アルゴン及び/又は他のガスの流れを、キャリアガスとして用いて、前駆体パルスの間の反応チャンバーへの少なくとも1種の有機アミノシラン前駆体の蒸気の提供を、促進することができる。ある種の実施態様では、反応チャンバーのプロセス圧力は、約1Torrである。
典型的なALD又はCCVDプロセスでは、基材、例えば酸化ケイ素基材を、反応チャンバー内のヒーター台で加熱し、これを初めにケイ素含有前駆体にさらして、錯体を基材の表面に化学的に吸着させる。
パージガス、例えばアルゴンは、未吸着の余分な錯体をプロセスチャンバーからパージする。十分なパージの後で、窒素含有源を、反応チャンバーに導入して、吸着した表面と反応させた後で、他の1つのパージガスによって、チャンバーから反応副生成物を除去することができる。このプロセスサイクルを、所望の膜厚さを得るように繰り返すことができる。
この実施態様、又は他の実施態様において、本明細書に記載した方法のステップを、様々な順番で実行でき、順次的に又は同時に(例えば、他の1つのステップの少なくとも一部の間に)実行でき、そしてこれらのあらゆる組合せで実行することができると理解される。前駆体及び窒素含有前駆体源ガスを提供するそれぞれのステップを、それらを供給するための時間の持続時間を変えることによって実行して、生成誘電体膜の化学量論的組成を変えることができる。
本明細書に開示した方法の他の1つの実施態様では、ケイ素及び窒素の両方を含有する膜を、次のステップを含むALD堆積法を用いて形成する:
基材をALD反応器に与えるステップ;
上記ALD反応器に、次の式Iによって表される前駆体を含む少なくとも1種の有機アミノシラン前駆体を導入するステップ:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される);
上記少なくとも1種の有機アミノシラン前駆体を、基材に化学吸着させるステップ;
未吸着の上記少なくとも1種の有機アミノシラン前駆体を、パージガスを用いてパージするステップ;
窒素含有源を、加熱した上記基材上の上記有機アミノシラン前駆体に与えて、上記吸着した少なくとも1種の有機アミノシラン前駆体と反応させるステップ;及び
随意に、あらゆる未反応の窒素含有源をパージするステップ。
本明細書に開示した方法の他の1つの実施態様では、誘電体膜を、次のステップを含む、ALD堆積法を用いて形成する:
基材を反応器に与えるステップ;
上記反応器に、次の式Iによって表される少なくとも1種の有機アミノシラン前駆体を導入するステップ:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される);
上記少なくとも1種の有機アミノシラン前駆体を、基材に化学吸着させるステップ;
上記未吸着の少なくとも1種の有機アミノシラン前駆体を、パージガスを用いてパージするステップ;
酸素含有源を、加熱した上記基材上の上記有機アミノシラン前駆体に与えて、上記吸着した少なくとも1種の有機アミノシラン前駆体と反応させるステップ;及び
随意に、あらゆる未反応の酸素含有源をパージするステップ。
本明細書に記載した方法に関して、上記のステップは1サイクルを構成し;このサイクルを、誘電体膜の所望の厚みを得るまで繰り返すことができる。この実施態様又は他の実施態様において、本明細書に記載した方法のステップを、様々な順番で実行することができ、順次的に又は同時に(例えば、他の1つのステップの少なくとも一部の間に)実行でき、そしてこれらのあらゆる組合せで実行することができると理解される。前駆体及び酸素源を提供するそれぞれのステップを、それらを供給するための時間の持続時間を変えることによって実行して、生成誘電体膜の化学量論的組成を変えることができる。ただし、ここでは利用可能なケイ素に対して、常に酸素を化学量論量よりも少なくして用いる。
多成分の誘電体膜に関して、他の前駆体を、例えばケイ素含有前駆体、窒素含有前駆体、還元剤又は他の試薬を、反応チャンバーに交互に導入することができる。
本明細書に記載した方法のさらなる実施態様において、熱CVDプロセスを用いて、誘電体膜を堆積させる。この実施態様では、その方法は次のステップを含む:
周囲温度から約700℃までの範囲の温度に加熱し、且つ1Torr以下の圧力で維持した反応器に、1以上の基材を配置するステップ;
次の式Iを有する少なくとも1種の有機アミノシラン前駆体を導入するステップ:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される);及び
酸素源を、上記反応器に与えて、上記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させ、そして上記1以上の基材に誘電体膜を堆積させるステップ。
このCVD法のある種の実施態様では、上記反応器を、上記導入ステップの間に100mTorr〜600mTorrの範囲の圧力で維持する。
本明細書に記載した方法に関して、上記のステップは1サイクルを構成し;このサイクルを、誘電体膜の所望の厚みを得るまで繰り返すことができる。この実施態様又は他の実施態様において、本明細書に記載した方法のステップを、様々な順番で実行することができ、順次的に又は同時に(例えば、他の1つのステップの少なくとも一部の間に)実行でき、そしてこれらのあらゆる組合せで実行することができると理解される。前駆体及び酸素源を提供するそれぞれのステップを、それらを供給するための時間の持続時間を変えることによって実行して、生成誘電体膜の化学量論的組成を変えることができる。ただし、ここでは、利用可能なケイ素に対して、常に酸素を化学量論量よりも少なくして用いる。
多成分の誘電体膜に関して、他の前駆体、例えばケイ素含有前駆体、窒素含有前駆体、酸素源、還元剤及び/又は他の試薬を、反応チャンバーに交互に導入することができる。
本明細書に記載した方法のさらなる実施態様では、誘電体膜を、熱CVDプロセスを用いて堆積させる。この実施態様では、この方法は、次のステップを含む:
周囲温度から約700℃までの範囲の温度に加熱し、且つ1Torr以下の圧力で維持した反応器に、1以上の基材を配置するステップ;
次の式Iを有する少なくとも1種の有機アミノシラン前駆体を導入するステップ:
(ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基及び電子求引基から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり、且つRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択される);及び
窒素含有源を、上記反応器に与えて、上記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させ、そして上記1以上の基材に誘電体膜を堆積させるステップ。
このCVD法のある種の実施態様では、上記反応器を、上記導入ステップの間に100mTorr〜600mTorrの範囲の圧力で維持する。
ある種の実施態様では、本明細書に記載した式Iを有する有機アミノシラン前駆体を金属含有膜、例えば限定されないが、金属酸化物膜又は金属窒化物膜のドーパントとして用いることもできる。これらの実施態様では、金属含有膜を、ALDプロセス又はCVDプロセス、例えば本明細書に記載したプロセスによって、金属アルコキシド前駆体、金属アミド前駆体又は有機金属前駆体を用いて堆積する。本明細書に開示した方法と共に用いることができる適切な金属アルコキシド前駆体の例としては、限定されないが、第3族〜第6族の金属アルコキシド、アルコキシ配位子とアルキル置換したシクロペンタジエニル配位子との両方を有する第3族〜第6族の金属錯体、アルコキシ配位子とアルキル置換したピロリル配位子との両方を有する第3族〜第6族の金属錯体、アルコキシ配位子とジケトナート配位子との両方を有する第3族〜第6族の金属錯体、アルコキシ配位子とケトエステル配位子との両方を有する第3族〜第6族の金属錯体が挙げられ;本明細書に開示した方法と共に用いることができる適切な金属アミド前駆体の例としては、限定されないが、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム、(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム、(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)及びテトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、tert−ブチルイミノトリ(ジエチルアミノ)タンタル (TBTDET)、tert−ブチルイミノトリ(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリ(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリ(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリ(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリ(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリ(ジメチルアミノ)タンタル (TAIMAT)、tert−アミルイミノトリ(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリ(エチルメチルアミノ)タンタル、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン及びこれらの組合せが挙げられる。本明細書に開示した方法と共に用いることができる適切な有機金属前駆体の例としては、限定されないが、第3族金属シクロペンタジエニル又は第3族金属アルキルシクロペンタジエニルが挙げられる。ここでの典型的な第3族〜第6族金属としては、限定されないが、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo及びWが挙げられる。
ある種の実施態様では、生成誘電体膜又はコーティングを、堆積後処理、例えば限定されないが、プラズマ処理、化学的処理、紫外線照射、電子線照射、及び/又は膜の1つ以上の特性に影響を与える他の処理にさらすことができる。
ある種の実施態様では、本明細書に記載した誘電体膜は、6以下の誘電率を有する。これらの実施態様又は他の実施態様では、膜は、約5以下、約4以下又は約3.5以下の誘電率を有する場合がある。しかし、他の誘電率(例えば、より高い値又は低い値)を有する膜を、膜の所望の最終用途に応じて形成できることが想定される。本明細書に記載した有機アミノシラン前駆体及びプロセスを用いて形成されるケイ素含有膜又は誘電体膜の例は、式Siを有し、ここで、原子百分率重量%で、Siは約10〜約40%の範囲を有し;Oは約0%〜約65%の範囲を有し;Cは約0%〜約75%又は約0%〜約50%の範囲を有し;Nは約0%〜約75%又は約0%〜約50%の範囲を有し;Hは約0%〜約50%の範囲を有し、且つx+y+z+v+w=100原子重量%であり、これは例えばXPS又は他の手段で測定される。
上述したように、本明細書に記載した方法を用いて、ケイ素含有膜を、基材の少なくとも一部に堆積させることができる。適切な基材の例としては、限定されないが、ケイ素、SiO、Si、OSG、FSG、炭化ケイ素、水素化した炭化ケイ素、窒化ケイ素、水素化した窒化ケイ素、炭化窒化ケイ素、水素化した炭化窒化ケイ素、窒化ホウ素、反射防止コーティング、フォトレジスト、有機ポリマー、多孔性有機及び無機材料、金属(例えば銅及びアルミニウム)、及び拡散バリア層(例えば限定されないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W又はWN)が挙げられる。この膜は、様々な続く処理ステップ、例えば化学機械平坦化(CMP)処理及び異方性エッチング処理と適合する。
堆積させた膜は、限定されないが、コンピューターチップ、光学デバイス、磁気情報ストレージ、支持材料又は支持基材へのコーティング、微小電気機械素子(MEMS)、ナノ電気機械素子、薄膜トランジスター(TFT)及び液晶ディスプレイを含む用途を有する。
次の実施例は、有機アミノシラン前駆体の調製方法と共に、本明細書に記載した堆積させたケイ素含有膜の調製方法を例証し、決して限定することを意図していない。
次の例では、他に述べない限り、中位の抵抗(8〜12Ωcm)を有する単結晶シリコンウェハー基材に堆積させたサンプル膜から特性を得た。
例1:シリル交換反応を用いるフェニルメチルアミノシランの合成
500mlのシュレンクフラスコで、64.2グラム(g)(0.6mol)のN−メチルアニリン及び131g(1.0mol)のジ−イソプロピルアミノシランを、周囲温度において窒素雰囲気下で24時間攪拌した。比較的低い沸点の副生成物ジ−イソプロピルアミンを、20mmHgの圧力及び室温(25℃)で減圧除去した。反応混合物を、さらに24時間攪拌した。最終生成物のフェニルメチルアミノシラン(73.6g、収率89.5%)を、沸点の60℃で、5mmHgで減圧蒸留によって得た。最終生成物を、質量分光(MS)によって特徴付けた。これを、図1に与える。これは、特に137、122、106、91及び77でピークを示している。フェニルメチルアミノシランの分子量は、137.27であった。
例2:モノクロロアミノシランを試薬として用いるフェニルメチルアミノシランの別の合成方法
機械的攪拌器、コンデンサー、ガスバブリング注入口を具備する2000mlの三口フラスコで、1000mlのヘキサン、53.5g(0.5mol)のN−メチルアニリン、及び50.5g(0.5mol)のトリエチルアミンを、窒素雰囲気下で攪拌しながら、−20℃まで冷却した。モノクロロシラン(MCS)を反応混合物に通してバブリングした。白い固体の沈殿物を形成した。反応が完了した後、反応混合物の温度を温めて、室温とし、さらに2時間室温で攪拌した。固体のトリエチルアミン塩酸塩を、ろ過によって除去し、溶媒のヘキサンを蒸留によって除去した。生成物のフェニルメチルアミノシラン(51.3g、収率75%)を、沸点の60℃で、5mmHgでの減圧蒸留によって得た。この化合物を、質量分光によって特徴付け、この生成物がフェニルアミノシランであることを確認した。例1と例2の比較は、比較的高い生成収率を与える例1の合成方法が、実験室スケールの合成では、より有利であることを示している。
例3:シリル交換反応を用いるフェニルエチルアミノシランの合成
500mlのシュレンクフラスコで、60.5g(0.5mol)のN−エチルアニリン及び131g(1.0mol)のジ−イソプロピルアミノシランを、周囲温度において窒素雰囲気下で24時間攪拌した。比較的低い沸点の副生成物ジ−イソプロピルアミンを、20mmHgの圧力及び室温(25℃)で減圧除去した。反応混合物を、さらに24時間攪拌した。最終生成物のフェニルエチルアミノシランを減圧蒸留によって得た。最終生成物を、質量分光(MS)によって特徴付けた。これを、図2に与える。これは、特に151、150、136、120、106、93及び77でピークを示している。フェニルエチルアミノシランの分子量は、151.28であった。
例4:シリル交換反応を用いるフェニルアリルアミノシランの合成
500mlのシュレンクフラスコで、62.5g(0.5mol)のN−アリルアニリン及び131g(1.0mol)のジ−イソプロピルアミノシランを、周囲温度において窒素雰囲気下で24時間攪拌した。比較的低い沸点の副生成物ジ−イソプロピルアミンを、20mmHgの圧力及び室温(25℃)で減圧除去した。反応混合物を、さらに24時間攪拌した。最終生成物のフェニルアリルアミノシランを減圧蒸留によって得た。最終生成物を、質量分光(MS)によって特徴付けた。これを、図3に与える。これは、特に163、162、148、136、120、107、93及び77でピークを示している。フェニルアリルアミノシランの分子量は、163.29であった。
例5:シリル交換反応を用いるN−シリル−2−メチルインドリンの合成
500mlのシュレンクフラスコで、62.5g(0.5mol)の2−メチルインドリン及び131g(1.0mol)のジ−イソプロピルアミノシランを、周囲温度において窒素雰囲気下で24時間攪拌した。比較的低い沸点の副生成物ジ−イソプロピルアミンを、20mmHgの圧力及び室温(25℃)で減圧除去した。反応混合物を、さらに24時間攪拌した。最終生成物のN−シリル−2−メチルインドリンを減圧蒸留によって得た。この化合物を、質量分光(MS)によって特徴付けた。最終生成物を、質量分光(MS)によって特徴付けた。これを、図4に与える。これは、特に163、162、148、132、117、105、91及び77でピークを示している。N−シリル−2−メチルインドリンの分子量は、163.29であった。
例6:シリル交換反応を用いるフェニルシクロヘキシルアミノシランの合成
500mlのシュレンクフラスコで、87.5g(0.6mol)のN−シクロヘキシルアニリン及び131g(1.0mol)のジ−イソプロピルアミノシランを、周囲温度において窒素雰囲気下で24時間攪拌した。比較的低い沸点の副生成物ジ−イソプロピルアミンを、20mmHgの圧力及び室温(25℃)で減圧除去した。反応混合物を、さらに24時間攪拌した。最終生成物のフェニルシクロヘキシルアミノシランを減圧蒸留によって得た。最終生成物を、質量分光(MS)によって特徴付けた。これを、図5に与える。これは、特に205、178、162、132、115、93及び77でピークを示している。フェニルシクロヘキシルアミノシランの分子量は、205.37であった。
例7:原子層堆積のコンピューターシミュレーション
SPARTAN06シミュレーションパッケージソフトウェア(Wavefunction社、アーバイン、カリフォルニア州、米国)を用いてPM3に基づく量子力学的な方法を使って、α−H移動(α−H migration)及び不均化反応に対する、複数の前駆体の相対的な化学的安定性について調査した。量子力学的な密度汎関数理論(Density Functional Theory)を用いて、コンピュータモデリングソフトウエアを使用した大規模計算を実行して、様々なSiN前駆体の反応エネルギーを系統的に評価した。この計算を、分極関数によって拡張された二重数値原子基底関数(double numerical atomic basis set)を加味したPerdew−Wangにより提案された交換相関汎関数(PW91)を用いて、一般化勾配近似(GGA:Generalized gradient approximation)の下で行った。全ての分子構造を、エネルギー的に最も好ましい配置を得るように、完全に最適化した。コンピューター解析で用いた前駆体は次の通りである:ジメチルアミノシラン(DMAS)及び本明細書に記載した式Iを有する前駆体又はフェニルメチルアミノシラン(PMAS)。
このコンピューターシミュレーションに関して、再構成され、且つ完全にヒドロキシル化したSiO(001)表面を、O原子の2層と、Si原子の1層を交互にした6層を含む平板(slab)を用いてモデル化した。これは、シリカの好ましい表面配向である(図1(A)参照)。O原子の上部2層は、H原子が全て末端となり、これは完全にヒドロキシル化した表面を示している。20Åの間隔を有する隣接した平板間には、真空がある。選択したスーパーセル(super cell)は、前駆体分子に加えて、8個のSi原子、20個のO原子、8個のH原子を含む。前駆体の堆積の前は、表面は、完全に平衡状態であった。表2に示した最適化した主な構造パラメーターは、実験値及び上記のDFT計算と良好に一致する。
Si前駆体を用いてSiO膜を成長させるためのシミュレーションした原子層堆積プロセスは、2つの連続するステップをとる。第1のステップにおいて、ケイ素前駆体を気化し、そしてヒドロキシル化したSi表面に導入する。続いて、Siがヒドロキシル基の表面のOを攻撃し、且つヒドロキシル基のHが前駆体のアミノ基を攻撃することで、その表面で反応を起こす。この反応は、表面Si−O結合の形成及びアミン分子の気相への解放をもたらす。第2のステップにおいて、酸素源、例えばO又O分子を導入して、Si−H結合を酸化する。これらのステップは、SiO膜の1層の成長をもたらすであろう。酸化のステップは、動力学的に非常に速く、且つ大きく発熱する。それゆえ、ALDプロセスにおいて考える1つの重要なステップは、Si前駆体の堆積である。異なる前駆体は、非常に異なる質のSiO膜を生じさせる。
第一原理DFT計算を実行して、完全にヒドロキシル化したSiO(001)表面へのDMAS堆積の最小エネルギー経路を調査した。計算したエネルギープロファイルを図7に示す。シミュレーションは、−15.1kcal/molの熱化学エネルギー及び11.5kcal/molの活性化障壁を算出する。この結果は、DMASが反応性の前駆体であり、好ましい熱力学及び低い活性化障壁で表面に堆積できることを示唆する。しかし、DMASは、熱的に安定ではなく、周囲条件で容易に次の不均化反応を経る場合がある:
2(CHNSiH→(CHNSiHN(CH+SiH
この反応に関して計算した熱化学的なエネルギー及び活性化障壁は、それぞれ−0.6kcal/mol及び5.4kcal/molである。この反応は、本質的に熱化学的に中立であるが、非常に低い活性化障壁が、室温で不均化反応を促進し、DMASが安定ではないことを示す。
第一原理DFT計算を実行して、完全にヒドロキシル化したSiO(001)表面へのPMAS堆積の最小エネルギー経路を調査した。計算したエネルギープロファイルを図8に示す。シミュレーションは、−16.9kcal/molの熱化学エネルギー及び14.1kcal/molの活性化障壁を算出する。この結果は、PMASがおおよそ2.6kcal/molだけDMASのものより高い活性化障壁を有することを示唆している。しかし、それは、熱力学的にずっとより発熱的である。コンピューターシミュレーションの結果は、PMASが、好ましい熱力学及び低い活性化障壁で、表面に堆積できることを示している。
例8:酸化ケイ素膜の原子層堆積
酸化ケイ素膜の原子層堆積を、有機アミノシラン前駆体のフェニルメチルアミノシラン(PMAS)を用いて実行して、例7のコンピューターシミュレーションの結果を検証した。堆積を、実験室スケールのALDプロセスツールで、150℃から開始して実行し、温度を、室温まで又は前駆体が酸化物膜の生成をしなくなるまで低下させた。SiO膜を堆積するために用いたこのプロセスステップを、表3に示す。酸素源ガスとしてオゾンを用いた500サイクルを基準として用いて、堆積を行った。また、堆積のプロセスパラメーターを表3に与える。
生成SiO膜を、堆積速度、屈折率及び%むらに関して特徴付けた。表4において、膜の屈折率を、エリプソメーター(FilmTek 2000SE)を用いて、膜からの反射データを所定の物理的モデル(例えば、ローレンツ振動子モデル)にフィッティングすることによって、測定した。屈折率に関して、おおよそ1.44〜1.47の値が、通常のCVDの酸化ケイ素膜を反映するであろう。試験した全ての前駆体は、約1.4〜約1.5の範囲の屈折率を有する膜を堆積させた。引用した%むらは、次の標準式を用いて9点マップから得た:%むら=(最大値−最小値)/(2×中間値)
例9:酸化ケイ素膜の原子層堆積の比較
酸化ケイ素膜の原子層堆積を、表3に記載したALDプロセスを用いて、有機アミノシラン前駆体のフェニルメチルアミノシラン(PMAS)及びジイソプロピルアミノシラン(DIPAS)を使用して行った。堆積を、実験室スケールのALDプロセスツールで、図9のy軸に示す温度で行った(例えば、23℃又は室温、50℃、75℃及び100℃)。生成酸化ケイ素膜を、サイクル当たりの堆積率及び屈折率に関して特徴づけ、そしてまたこの結果を、図9及び図10に与えた。図9では、サイクル当たりの堆積率を、オングストローム(Å)/サイクルとして測定する。図10では、膜の屈折率を、エリプソメーター(FilmTek 2000SE)を用いて、膜からの反射データを所定の物理的モデル(例えば、ローレンツ振動子モデル)にフィッティングすることによって、測定した。上述したように、屈折率に関して、おおよそ1.44〜1.47の値が、通常のCVDの酸化ケイ素膜を反映するであろう。
図9及び図10は、上記の2つの前駆体を用いてALDで堆積させた酸化ケイ素膜に対する、相対的な堆積結果及び屈折率を与える。図9は、同じプロセス条件及びオゾン曝露時間に関して、PMASは、ALDのプロセスウィンドウ(process window)が50℃を通じてあると考えられる。また、図9は、この例で用いたプロセス条件の下では100℃未満で膜を堆積しなかったことを示している。図10は、DIPASとPMASの両方の前駆体が、約100℃でのALD酸化物に関して、良好な屈折率を有する酸化ケイ素を生じることを意味している。しかし、75℃でDIPASを用いて堆積させた酸化ケイ素膜に関して、膜の屈折率は、おそらく膜中の不純物(炭素含有配位子)に起因して増加している。
例10:有機アミノシラン前駆体を用いる窒化ケイ素膜の堆積
有機アミノシラン前駆体のフェニルメチルアミノシランを、窒化ケイ素膜を形成するための堆積プロセスについてのケイ素源としての効果、及び堆積した薄膜の特性に関して調査した。薄膜堆積を、LPCVD水平炉又はATVPEO612炉を用いて実行した。堆積のための実施圧力は、約1Torrであり、堆積温度は、570℃及び650℃であった(表6参照)。NHを窒素源として用いた。PMAS前駆体を、ベーパードロー(vapor draw)及び異なる前駆体材料に関して蒸気圧を変える事に基づいて調節したラインの温度を用いて、その炉に提供した。堆積のためのプロセスパラメーターを、表6に与える。
各実行からのウェハーを、基本的な膜特性に関して解析した。各前駆体に関する結果を、表7に与える。表7において、誘電体膜の屈折率を、エリプソメーター(FilmTek 2000SE)を用いて、膜からの反射データを所定の物理的モデル(例えば、ローレンツ振動子モデル)にフィッティングすることによって、測定した。屈折率に関して、おおよそ1.9〜2.0の値が、通常のCVDの窒化ケイ素膜を反映するであろう。試験した全ての前駆体は、約1.8以上の屈折率を有する膜を堆積させた。引用した%むらは、次の標準式を用いて9点マップから得た:%むら=((最大値−最小値)/(2×中間値)
フェニルメチルアミノシラン前駆体を用いて堆積させた窒化ケイ素膜の化学組成の特徴付けを、マルチチャンネルプレート検出器(MCD)及びAl単色X線源を備えたXPS分光器(Physical Electronics社、5000VersaProbe )を用いて行った。また、結果を図7に示す。
例11:フェニルメチルアミノシランをケイ素源とし、且つアンモニアプラズマを窒素含有源として用いるPEALD窒化ケイ素
窒化ケイ素膜を、リモートプラズマシステムを備えた(AE systems)層流反応器(CNT Savannah 100)を用いて、堆積させた。通常のALDサイクルは次のステップからなる。フェニルメチルアミノシランを70℃で保持し、10sccmの窒素をキャリアガスとしてバブリングにより、反応器に提供した。そのN−メチルアニリニルシランの投与時間は、0.05秒〜5秒の範囲であり;不活性ガスでパージをし;基準の真空度までポンプで排出し;0.9KWの出力に設定したプラズマ発生器を通じて、5sccmの流量でアンモニアプラズマを導入し、そしてウェハーの真上にあるスリットバルブを開いて、2〜9秒の範囲でウェハー表面に曝露し;そして、不活性ガスでパージし、基準の真空度に排出した。このサイクルを、所望の厚みが得られるまで繰り返した。
1つの実験では、窒化ケイ素膜を、次のALD条件で堆積させた:ウェハー温度270℃;フェニルメチルアミノシラン0.5秒;8秒の窒素パージ及び6秒の動的な排出;アンモニアプラズマ曝露9秒;8秒の窒素パージ及び6秒の動的な排出。このサイクルを1000回繰り返した。生成膜の屈折率は2.04であり、窒化ケイ素膜の通常の値であった。
例12:熱安定性の比較
フェニルメチルアミノシラン(PMAS)のサンプルを、55〜60℃での石英バブラー(quartz bubbler)に装填し、約5週間保持した。このサンプルのガスクロマトグラフィ(GC)解析は、この期間の後でも殆ど劣化がないことを示す。フェニルメチルアミノシランの他の1つのサンプルを、ガラスバイアル瓶に装填し、グローブボックス内に室温で115日間保持した。GC解析は、0.5%未満の劣化を示す。同様のグローブボックスの試験を、次の有機アミノシラン前駆体に対して行った:PMAS、ビスtert−ブチルアミノシラン(BTBAS)、ジエチルアミノシラン(DEAS)及びジイソプロピルアミノシラン(DIPAS)。この試験の結果を図11に与える。BTBASは、2000年から半導体産業で窒化ケイ素及び酸化ケイ素を堆積するのに用いられている。図11は、PMASが、BTBAS及びDIPASと同様の安定性を有することを示す。対照的に、DEASは、顕著な劣化を示す。安定性の結果は、フェニルメチルアミノシランが、熱的に安定であり、半導体プロセス用の有機アミノシラン前駆体として適切であることを示唆している。

Claims (34)

  1. 次の式Iで表される有機アミノシラン前駆体:
    (ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基、F、Cl、Br、I、CN、NO、RSO、及びRSO(ここでRは、C〜C10のアルキル基)から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり;Rは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択され;R及びRは結合して、環状構造を形成していてもよく;かつn=0の場合、Rは水素又はフェニル基ではない)。
  2. 前記R及びRが、共に結合して環を形成している、請求項1に記載の有機アミノシラン前駆体。
  3. 前記R及びRが、同種である、請求項1に記載の有機アミノシラン前駆体。
  4. 前記R及びRが、異種である、請求項1に記載の有機アミノシラン前駆体。
  5. フェニルメチルアミノシラン、フェニルエチルアミノシラン、フェニル−イソ−プロピルアミノシラン、フェニルアリルアミノシラン、m−トリルメチルアミノシラン、N−シリル−テトラヒドロキノリン、N−シリル−3−アニリノプロピオニトリル、N−シリル−N−フェニルグリシノニトリル、N−シリルカルバゾール、フェニルシクロヘキシルアミノシラン、N−シリル−2−メチルインドリン、N−シリルベンゾモルホリン、N−シリルインドール、N−シリル−2−メチルインドール、N−シリル−3−メチルインドール、o−トリルエチルアミノシラン、p−トリルエチルアミノシラン、m−トリルエチルアミノシラン、及びN−シリル−1,2,3,4−テトラヒドロ−2−メチルキノリンからなる群より選択される、請求項1に記載の有機アミノシラン前駆体。
  6. フェニルメチルアミノシランである、請求項5に記載の有機アミノシラン前駆体。
  7. フェニルエチルアミノシランである、請求項5に記載の有機アミノシラン前駆体。
  8. m−トリルエチルアミノシランである、請求項5に記載の有機アミノシラン前駆体。
  9. 次のステップを含む、化学気相成長プロセス及び原子層堆積プロセスから選択される堆積プロセスによって、基材の少なくとも1つの表面に誘電体フィルムを形成する方法。
    反応チャンバー中に前記基材の前記少なくとも1つの表面を与えるステップ
    前記反応チャンバーに、次の式Iを有する少なくとも1種の有機アミノシラン前駆体を導入するステップ:
    (ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基、F、Cl、Br、I、CN、NO、RSO、及びRSO(ここでRは、C〜C10のアルキル基)から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり;Rは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択され;R及びRは結合して、環状構造を形成していてもよく;かつn=0の場合、Rは水素又はフェニル基ではない);及び
    前記反応チャンバーに窒素含有源を導入するステップであって、前記少なくとも1種の有機アミノシラン前駆体と、前記窒素含有源とを反応させて、前記少なくとも1つの表面上の前記誘電体フィルムとするステップ。
  10. 次のステップを含む、原子層堆積(ALD)プロセスにより誘電体フィルムを形成する方法:
    a.基材をALD反応器に与えるステップ;
    b.前記ALD反応器に、次の式Iを有する少なくとも1種の有機アミノシラン前駆体を与えるステップ:
    (ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基、F、Cl、Br、I、CN、NO、RSO、及びRSO(ここでRは、C〜C10のアルキル基)から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり;Rは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択され;R及びRは結合して、環状構造を形成していてもよく;かつn=0の場合、Rは水素又はフェニル基ではない);
    c.前記ALD反応器を、不活性ガスでパージするステップ;
    d.前記ALD反応器に、窒素含有源を導入するステップ;
    e.前記ALD反応器を、不活性ガスでパージするステップ;及び
    f.前記誘電体フィルムの所望の厚みが得られるまで、b〜eのステップを繰り返すステップ。
  11. 次のステップを含む、プラズマ強化原子層堆積(PEALD)プロセスを用いて基材の少なくとも1つの表面に誘電体フィルムを形成する方法:
    a.基材をALD反応器に与えるステップ;
    b.前記ALD反応器に、次の式Iを有する少なくとも1種の有機アミノシラン前駆体を与えるステップ:
    (ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基、F、Cl、Br、I、CN、NO、RSO、及びRSO(ここでRは、C〜C10のアルキル基)から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり;Rは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択され;R及びRは結合して、環状構造を形成していてもよく;かつn=0の場合、Rは水素又はフェニル基ではない);
    c.前記ALD反応器を、不活性ガスでパージするステップ;
    d.前記ALD反応器に、プラズマ窒素含有源を導入するステップ;
    e.前記ALD反応器を、不活性ガスでパージするステップ;及び
    f.前記誘電体フィルムの所望の厚みが得られるまで、b〜eのステップを繰り返すステップ。
  12. 前記少なくとも1種の有機アミノシラン前駆体が、フェニルメチルアミノシラン、フェニルエチルアミノシラン、フェニル−イソ−プロピルアミノシラン、フェニルアリルアミノシラン、m−トリルメチルアミノシラン、N−シリル−テトラヒドロキノリン、N−シリル−3−アニリノプロピオニトリル、N−シリル−N−フェニルグリシノニトリル、N−シリルカルバゾール、フェニルシクロヘキシルアミノシラン、N−シリル−2−メチルインドリン、N−シリルベンゾモルホリン、N−シリルインドール、N−シリル−2−メチルインドール、N−シリル−3−メチルインドール、o−トリルエチルアミノシラン、p−トリルエチルアミノシラン、m−トリルエチルアミノシラン、及びN−シリル−1,2,3,4−テトラヒドロ−2−メチルキノリンからなる群より選択される、請求項9〜11のいずれか一項に記載の方法。
  13. 前記少なくとも1種の有機アミノシラン前駆体が、フェニルメチルアミノシランである、請求項12に記載の方法。
  14. 前記少なくとも1種の有機アミノシラン前駆体が、フェニルエチルアミノシランである、請求項12に記載の方法。
  15. 前記少なくとも1種の有機アミノシラン前駆体が、m−トリルエチルアミノシランである、請求項12に記載の方法。
  16. 前記窒素含有源が、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマ及びこれらの混合物からなる群より選択される、請求項9〜15のいずれか一項に記載の方法。
  17. 前記誘電体フィルムが、窒化ケイ素及び炭化窒化ケイ素からなる群より選択される、請求項9〜16のいずれか一項に記載の方法。
  18. 次のステップを含む、基材に酸化ケイ素フィルムを形成する方法:
    酸化剤と、次の式Iで表される有機アミノシラン前駆体を含む前駆体とを、気相成長で反応させて、前記基材に前記酸化ケイ素フィルムを形成するステップ:
    (ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基、F、Cl、Br、I、CN、NO、RSO、及びRSO(ここでRは、C〜C10のアルキル基)から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり;Rは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択され;R及びRは結合して、環状構造を形成していてもよく;かつn=0の場合、Rは水素又はフェニル基ではない)。
  19. 前記気相成長が、化学気相成長、低圧気相成長、プラズマ強化化学気相成長、サイクリック化学気相成長、プラズマ強化サイクリック化学気相成長、原子層堆積及びプラズマ強化原子層堆積からなる群より選択される少なくとも1つである、請求項18に記載の方法。
  20. 次のステップを含む、基材に酸化ケイ素フィルムを形成する方法:
    次の式Iで表される少なくとも一種の有機アミノシラン前駆体を含む組成物、及び少なくとも1種の酸化剤から、前記基材に前記酸化ケイ素フィルムを気相成長により形成するステップ:
    (ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基、F、Cl、Br、I、CN、NO、RSO、及びRSO(ここでRは、C〜C10のアルキル基)から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり;Rは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択され;R及びRは結合して、環状構造を形成していてもよく;かつn=0の場合、Rは水素又はフェニル基ではない)、
    ここで、前記気相成長は、化学気相成長、低圧気相成長、プラズマ強化化学気相成長、サイクリック化学気相成長、プラズマ強化サイクリック化学気相成長、原子層堆積及びプラズマ強化原子層堆積からなる群より選択される少なくとも1つである。
  21. 次のステップを含む、基材に酸化ケイ素フィルムを形成する方法:
    次の式Iで表される有機アミノシラン前駆体を反応器に導入するステップ:
    (ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基、F、Cl、Br、I、CN、NO、RSO、及びRSO(ここでRは、C〜C10のアルキル基)から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり;Rは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択され;R及びRは結合して、環状構造を形成していてもよく;かつn=0の場合、Rは水素又はフェニル基ではない);
    少なくとも1種の酸化剤を、前記反応器に導入するステップであって、前記少なくとも1種の酸化剤と、前記有機アミノシランを反応させて、前記基材に前記酸化ケイ素を与えるステップ。
  22. 次のステップを含む、厚みを有する酸化ケイ素フィルムを基材に形成する方法:
    a.次の式Iで表される少なくとも1種の有機アミノシランを、堆積チャンバーに導入するステップ:
    (ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基、F、Cl、Br、I、CN、NO、RSO、及びRSO(ここでRは、C〜C10のアルキル基)から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり;Rは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択され;R及びRは結合して、環状構造を形成していてもよく;かつn=0の場合、Rは水素又はフェニル基ではない);
    b.前記少なくとも1種の有機アミノシラン前駆体を、前記基材に化学吸着させるステップ;
    c.前記未反応の少なくとも1種の有機アミノシラン前駆体を、パージガスを用いてパージするステップ;
    d.酸素源を、加熱した前記基材上の前記有機アミノシラン前駆体に与えて、前記吸着した少なくとも1種の有機アミノシラン前駆体と反応させるステップ;及び
    e.随意に、あらゆる未反応の酸素源をパージするステップ。
  23. 前記フィルムの厚みが定まるまで、ステップa〜d及び随意のステップeを繰り返す、請求項22に記載の方法。
  24. 前記少なくとも1種の有機アミノシラン前駆体が、フェニルメチルアミノシラン、フェニルエチルアミノシラン、フェニル−イソ−プロピルアミノシラン、フェニルアリルアミノシラン、m−トリルメチルアミノシラン、N−シリル−テトラヒドロキノリン、N−シリル−3−アニリノプロピオニトリル、N−シリル−N−フェニルグリシノニトリル、N−シリルカルバゾール、フェニルシクロヘキシルアミノシラン、N−シリル−2−メチルインドリン、N−シリルベンゾモルホリン、N−シリルインドール、N−シリル−2−メチルインドール、N−シリル−3−メチルインドール、o−トリルエチルアミノシラン、p−トリルエチルアミノシラン、m−トリルエチルアミノシラン、及びN−シリル−1,2,3,4−テトラヒドロ−2−メチルキノリンからなる群より選択される、請求項18〜23のいずれか一項に記載の方法。
  25. 前記少なくとも1種の有機アミノシラン前駆体が、フェニルメチルアミノシランである、請求項24に記載の方法。
  26. 前記少なくとも1種の有機アミノシラン前駆体が、フェニルエチルアミノシランである、請求項24に記載の方法。
  27. 前記少なくとも1種の有機アミノシラン前駆体が、m−トリルエチルアミノシランである、請求項24に記載の方法。
  28. 前記反応ステップを、200℃以下の温度で実行する、請求項18〜27のいずれか一項に記載の方法。
  29. 前記反応ステップを、100℃以下の温度で実行する、請求項28に記載の方法。
  30. 前記反応ステップを、50℃以下で実行する、請求項29に記載の方法。
  31. 原子層堆積プロセスである、請求項21に記載の方法。
  32. プラズマ強化サイクリック化学気相成長プロセスである、請求項21に記載の方法。
  33. 次の式Iにより表される前駆体、及びケイ素含有フィルムの堆積のための前記前駆体を提供するために用いる容器を含む製品
    (ここで、式I中のRは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのジアルキルアミノ基、F、Cl、Br、I、CN、NO、RSO、及びRSO(ここでRは、C〜C10のアルキル基)から独立して選択され、且つnは、0、1、2、3、4及び5から選択される数字であり;Rは、水素原子、直鎖又は分岐鎖のC〜Cのアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのアルコキシ基、C〜Cのアルコキシ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基が結合しているC〜Cのアルキル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、C〜Cの直鎖又は分岐鎖のフッ素化アルキル基及びC〜C10の環状アルキル基から独立して選択され;R及びRは結合して、環状構造を形成していてもよく;かつn=0の場合、Rは水素又はフェニル基ではない)、
    ここで、前記前駆体の純度は、98%以上である。
  34. 前記容器が、ステンレス鋼からなる、請求項33に記載の製品
JP2011124074A 2010-06-02 2011-06-02 有機アミノシラン前駆体及びこれを含む膜の堆積方法 Active JP5650589B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US35075010P 2010-06-02 2010-06-02
US61/350,750 2010-06-02
US13/114,287 2011-05-24
US13/114,287 US8912353B2 (en) 2010-06-02 2011-05-24 Organoaminosilane precursors and methods for depositing films comprising same

Publications (2)

Publication Number Publication Date
JP2012025733A JP2012025733A (ja) 2012-02-09
JP5650589B2 true JP5650589B2 (ja) 2015-01-07

Family

ID=44653111

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011124074A Active JP5650589B2 (ja) 2010-06-02 2011-06-02 有機アミノシラン前駆体及びこれを含む膜の堆積方法

Country Status (6)

Country Link
US (1) US8912353B2 (ja)
EP (1) EP2392691B1 (ja)
JP (1) JP5650589B2 (ja)
KR (2) KR101275143B1 (ja)
CN (1) CN102295657B (ja)
TW (1) TWI491760B (ja)

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4959733B2 (ja) * 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103243310B (zh) * 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
TWI496932B (zh) * 2012-03-09 2015-08-21 Air Prod & Chem 用於顯示裝置的阻絕物材料
CN104284997B (zh) * 2012-03-09 2016-08-17 气体产品与化学公司 在薄膜晶体管器件上制备含硅膜的方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
WO2014015237A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
TW201522696A (zh) * 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
KR20150108664A (ko) * 2014-03-18 2015-09-30 주식회사 유진테크 머티리얼즈 전구체 화합물 및 이를 이용한 박막 증착 방법, 어모퍼스 실리콘막의 증착방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150275355A1 (en) * 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR101875183B1 (ko) 2014-06-11 2018-07-06 (주)디엔에프 신규한 아미노실릴아민 화합물 및 원자층 증착법을 이용한 Si-N 결합을 포함하는 절연막의 제조방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102461078B1 (ko) 2014-10-02 2022-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN104447839B (zh) * 2014-11-06 2017-10-31 江南大学 一种氨基吡啶基硅化合物及其应用
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR20170130366A (ko) 2015-03-24 2017-11-28 제이엔씨 주식회사 디알킬아미노실란의 제조 방법
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
CN108026637A (zh) * 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102153564B1 (ko) * 2015-10-06 2020-09-08 버슘머트리얼즈 유에스, 엘엘씨 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017106615A1 (en) * 2015-12-18 2017-06-22 Dow Corning Corporation Synthesis of disilanylamines and polysilanylamines
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
TWI746624B (zh) * 2016-09-01 2021-11-21 美商Asm Ip控股公司 形成碳氫基底極薄膜之保護層的方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102347201B1 (ko) 2017-10-25 2022-01-04 한국화학연구원 실리콘 아미노아미드 이미드 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11713328B2 (en) * 2018-08-23 2023-08-01 Versum Materials Us, Llc Stable alkenyl or alkynyl-containing organosilicon precursor compositions
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
EP3844318A4 (en) * 2018-10-03 2022-06-01 Versum Materials US, LLC METHODS FOR MAKING FILMS CONTAINING SILICON AND NITROGEN
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020163359A1 (en) 2019-02-05 2020-08-13 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
EP4110968A4 (en) 2020-04-02 2023-09-13 Versum Materials US, LLC ORGANOAMINO-FUNCTIONALIZED CYCLIC OLIGOSILOXANES FOR THE DEPOSITION OF SILICON-CONTAINING FILM
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230157424A (ko) * 2021-03-18 2023-11-16 버슘머트리얼즈 유에스, 엘엘씨 고품질 실리콘 옥사이드 박막의 원자층 증착을 위한 조성물
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113818010A (zh) * 2021-10-26 2021-12-21 华中科技大学 有机聚合物材料的改性方法和改性有机聚合物材料

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1008403A (en) 1961-01-19 1965-10-27 Secr Aviation Polymeric compounds containing silicon-nitrogen bonds
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4612383A (en) * 1985-04-26 1986-09-16 S R I International Method of producing polysilazanes
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
JPH0269389A (ja) 1988-08-31 1990-03-08 Toyo Stauffer Chem Co 有機金属気相成長法における固体有機金属化合物の飽和蒸気生成方法
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH04151839A (ja) 1990-10-16 1992-05-25 Kawasaki Steel Corp シリコンオキシナイトライド膜の製造方法
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5382550A (en) 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
JP3336770B2 (ja) 1993-12-27 2002-10-21 ソニー株式会社 絶縁膜の形成方法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
JPH08227890A (ja) 1995-02-21 1996-09-03 Sony Corp 半導体基体の保護絶縁膜形成方法
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
KR20010032764A (ko) 1997-12-02 2001-04-25 베리 아이클스 아이오도사일렌 전구체로부터 형성된 실리콘계 필름과 그제조방법
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6153261A (en) 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156063A (ja) 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP3819660B2 (ja) 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6486015B1 (en) 2000-04-25 2002-11-26 Infineon Technologies Ag Low temperature carbon rich oxy-nitride for improved RIE selectivity
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6837251B1 (en) 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
EP1316108B9 (en) 2000-08-18 2007-10-03 Tokyo Electron Limited Fabrication process of a semiconductor device comprising an intermediate low-dielectric silicon nitride film
US6268299B1 (en) 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
TW473915B (en) 2000-12-29 2002-01-21 Applied Materials Inc Manufacture method of silicon nitride layer
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003166060A (ja) 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US6559074B1 (en) 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
KR20050018641A (ko) 2002-07-19 2005-02-23 에비자 테크놀로지, 인크. 아미노실란 및 오존을 이용한 저온 유전체 증착
JP2005534179A (ja) 2002-07-19 2005-11-10 アヴィザ テクノロジー インコーポレイテッド アミノシランとオゾンを用いる低温誘電体蒸着法
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
EP1535321A4 (en) 2002-08-18 2009-05-27 Asml Us Inc LOW TEMPERATURE DEPOSITION OF OXIDES AND SILICON OXYNITRIDES
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US7470450B2 (en) 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP2006042214A (ja) 2004-07-29 2006-02-09 Nec Electronics Corp 半導体装置およびicタグ
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US20060051975A1 (en) 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
US20060062913A1 (en) 2004-09-17 2006-03-23 Yun-Ren Wang Process for depositing btbas-based silicon nitride films
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP4734317B2 (ja) 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
JP2010021302A (ja) * 2008-07-10 2010-01-28 Kaneka Corp プリント配線板
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films

Also Published As

Publication number Publication date
EP2392691B1 (en) 2014-09-03
KR20110132534A (ko) 2011-12-08
CN102295657A (zh) 2011-12-28
KR20130034033A (ko) 2013-04-04
JP2012025733A (ja) 2012-02-09
TW201202466A (en) 2012-01-16
KR101275143B1 (ko) 2013-06-17
EP2392691A1 (en) 2011-12-07
US20120128897A1 (en) 2012-05-24
US8912353B2 (en) 2014-12-16
CN102295657B (zh) 2015-04-29
TWI491760B (zh) 2015-07-11

Similar Documents

Publication Publication Date Title
JP5650589B2 (ja) 有機アミノシラン前駆体及びこれを含む膜の堆積方法
JP7177209B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP6310018B2 (ja) ハロゲン化オルガノアミノシラン前駆体及びそれを含む膜の堆積方法
JP6100734B2 (ja) アザ−ポリシラン前駆体、及びそれを含む膜の堆積方法
JP6445375B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP6498864B2 (ja) 有機アミノシラン前駆体、並びにその製造方法及び使用方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130725

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131029

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140624

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140919

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141014

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141113

R150 Certificate of patent or registration of utility model

Ref document number: 5650589

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250