JP6498864B2 - 有機アミノシラン前駆体、並びにその製造方法及び使用方法 - Google Patents
有機アミノシラン前駆体、並びにその製造方法及び使用方法 Download PDFInfo
- Publication number
- JP6498864B2 JP6498864B2 JP2013266781A JP2013266781A JP6498864B2 JP 6498864 B2 JP6498864 B2 JP 6498864B2 JP 2013266781 A JP2013266781 A JP 2013266781A JP 2013266781 A JP2013266781 A JP 2013266781A JP 6498864 B2 JP6498864 B2 JP 6498864B2
- Authority
- JP
- Japan
- Prior art keywords
- group
- silicon
- formula
- linear
- precursor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000002243 precursor Substances 0.000 title claims description 187
- 238000004519 manufacturing process Methods 0.000 title description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 100
- 229910052710 silicon Inorganic materials 0.000 claims description 100
- 239000010703 silicon Substances 0.000 claims description 100
- 238000000034 method Methods 0.000 claims description 97
- 238000000151 deposition Methods 0.000 claims description 58
- 239000000758 substrate Substances 0.000 claims description 56
- 239000000203 mixture Substances 0.000 claims description 45
- 125000004122 cyclic group Chemical group 0.000 claims description 39
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 claims description 25
- -1 5-methyl-2-pyridyl Chemical group 0.000 claims description 24
- 239000002904 solvent Substances 0.000 claims description 18
- 229910052739 hydrogen Inorganic materials 0.000 claims description 16
- 239000001257 hydrogen Substances 0.000 claims description 16
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 14
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 8
- 239000003638 chemical reducing agent Substances 0.000 claims description 8
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 5
- 229910021419 crystalline silicon Inorganic materials 0.000 claims description 5
- 229930195733 hydrocarbon Natural products 0.000 claims description 5
- 150000004945 aromatic hydrocarbons Chemical class 0.000 claims description 4
- 150000002825 nitriles Chemical class 0.000 claims description 4
- 150000003512 tertiary amines Chemical class 0.000 claims description 4
- 125000001302 tertiary amino group Chemical group 0.000 claims description 4
- KNBADRVDWUHWFU-UHFFFAOYSA-N 3-methyl-N,N-disilylpyridin-2-amine Chemical compound CC1=CC=CN=C1N([SiH3])[SiH3] KNBADRVDWUHWFU-UHFFFAOYSA-N 0.000 claims description 3
- KSTJFRCEOUYXPC-UHFFFAOYSA-N 6-methyl-N,N-disilylpyridin-2-amine Chemical compound CC1=CC=CC(N([SiH3])[SiH3])=N1 KSTJFRCEOUYXPC-UHFFFAOYSA-N 0.000 claims description 3
- RPVDVHZRHWAFPO-UHFFFAOYSA-N CC1=CC=NC(N([SiH3])[SiH3])=C1 Chemical compound CC1=CC=NC(N([SiH3])[SiH3])=C1 RPVDVHZRHWAFPO-UHFFFAOYSA-N 0.000 claims description 3
- 150000002170 ethers Chemical class 0.000 claims description 3
- 150000002431 hydrogen Chemical class 0.000 claims 1
- 239000010408 film Substances 0.000 description 134
- 125000000217 alkyl group Chemical group 0.000 description 83
- 229920006395 saturated elastomer Polymers 0.000 description 82
- 125000000623 heterocyclic group Chemical group 0.000 description 70
- 125000003545 alkoxy group Chemical group 0.000 description 58
- 125000006165 cyclic alkyl group Chemical group 0.000 description 54
- 230000008569 process Effects 0.000 description 53
- 125000003342 alkenyl group Chemical group 0.000 description 50
- 125000003118 aryl group Chemical group 0.000 description 47
- 238000000231 atomic layer deposition Methods 0.000 description 43
- 125000003282 alkyl amino group Chemical group 0.000 description 42
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 41
- 229910052757 nitrogen Inorganic materials 0.000 description 39
- 229910052760 oxygen Inorganic materials 0.000 description 39
- 125000001424 substituent group Chemical group 0.000 description 39
- 125000003808 silyl group Chemical group [H][Si]([H])([H])[*] 0.000 description 38
- 239000001301 oxygen Substances 0.000 description 37
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 36
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 35
- 230000008021 deposition Effects 0.000 description 33
- 238000006243 chemical reaction Methods 0.000 description 32
- 238000005229 chemical vapour deposition Methods 0.000 description 31
- 238000010926 purge Methods 0.000 description 28
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 24
- 125000004432 carbon atom Chemical group C* 0.000 description 24
- 239000007789 gas Substances 0.000 description 24
- 229910052814 silicon oxide Inorganic materials 0.000 description 24
- 125000002837 carbocyclic group Chemical group 0.000 description 21
- 229910052751 metal Inorganic materials 0.000 description 21
- 239000002184 metal Substances 0.000 description 21
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 21
- 238000009835 boiling Methods 0.000 description 20
- 150000002430 hydrocarbons Chemical group 0.000 description 20
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 17
- 229910052581 Si3N4 Inorganic materials 0.000 description 16
- 229910000077 silane Inorganic materials 0.000 description 16
- OQLNRLSREPYVEN-UHFFFAOYSA-N N-methyl-N-silylcyclohexanamine Chemical compound CN([SiH3])C1CCCCC1 OQLNRLSREPYVEN-UHFFFAOYSA-N 0.000 description 15
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 14
- KTRLOZVAGCGQMN-UHFFFAOYSA-N CC(C)N([SiH3])C1CCCCC1 Chemical compound CC(C)N([SiH3])C1CCCCC1 KTRLOZVAGCGQMN-UHFFFAOYSA-N 0.000 description 14
- 239000003205 fragrance Substances 0.000 description 14
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 13
- 239000006227 byproduct Substances 0.000 description 13
- 238000005137 deposition process Methods 0.000 description 13
- 238000004949 mass spectrometry Methods 0.000 description 13
- XLQBVGUBXYNRRJ-UHFFFAOYSA-N N-ethyl-N-silylcyclohexanamine Chemical compound CCN([SiH3])C1CCCCC1 XLQBVGUBXYNRRJ-UHFFFAOYSA-N 0.000 description 12
- BIVNKSDKIFWKFA-UHFFFAOYSA-N N-propan-2-yl-N-silylpropan-2-amine Chemical compound CC(C)N([SiH3])C(C)C BIVNKSDKIFWKFA-UHFFFAOYSA-N 0.000 description 12
- 239000000463 material Substances 0.000 description 12
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 11
- 125000004433 nitrogen atom Chemical group N* 0.000 description 10
- 239000011541 reaction mixture Substances 0.000 description 10
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 9
- 239000012467 final product Substances 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 9
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 8
- 229910052799 carbon Inorganic materials 0.000 description 8
- 239000003153 chemical reaction reagent Substances 0.000 description 8
- 150000001875 compounds Chemical class 0.000 description 8
- 239000003446 ligand Substances 0.000 description 8
- 239000000126 substance Substances 0.000 description 8
- 150000001412 amines Chemical class 0.000 description 7
- 229910021529 ammonia Inorganic materials 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 7
- 238000005292 vacuum distillation Methods 0.000 description 7
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- JFDZBHWFFUWGJE-UHFFFAOYSA-N benzonitrile Chemical compound N#CC1=CC=CC=C1 JFDZBHWFFUWGJE-UHFFFAOYSA-N 0.000 description 6
- 239000000460 chlorine Substances 0.000 description 6
- 229910052801 chlorine Inorganic materials 0.000 description 6
- 238000000576 coating method Methods 0.000 description 6
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 6
- 239000007788 liquid Substances 0.000 description 6
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 6
- 238000001228 spectrum Methods 0.000 description 6
- PNWBYWDQMXCLGF-UHFFFAOYSA-N 1-[amino(2-methylpropyl)silyl]-2-methylpropane Chemical compound C(C(C)C)[SiH](N)CC(C)C PNWBYWDQMXCLGF-UHFFFAOYSA-N 0.000 description 5
- 125000004070 6 membered heterocyclic group Chemical group 0.000 description 5
- 125000001931 aliphatic group Chemical group 0.000 description 5
- 125000003277 amino group Chemical group 0.000 description 5
- 239000011248 coating agent Substances 0.000 description 5
- UAOMVDZJSHZZME-UHFFFAOYSA-N diisopropylamine Chemical compound CC(C)NC(C)C UAOMVDZJSHZZME-UHFFFAOYSA-N 0.000 description 5
- 125000000524 functional group Chemical group 0.000 description 5
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Chemical group C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 description 5
- 230000007246 mechanism Effects 0.000 description 5
- 239000003960 organic solvent Substances 0.000 description 5
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 5
- 229910001220 stainless steel Inorganic materials 0.000 description 5
- 239000010935 stainless steel Substances 0.000 description 5
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 5
- 125000001973 tert-pentyl group Chemical group [H]C([H])([H])C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 5
- ICSNLGPSRYBMBD-UHFFFAOYSA-N 2-aminopyridine Chemical compound NC1=CC=CC=N1 ICSNLGPSRYBMBD-UHFFFAOYSA-N 0.000 description 4
- 125000002373 5 membered heterocyclic group Chemical group 0.000 description 4
- BUYUWFMABIMZNT-UHFFFAOYSA-N N-cyclohexyl-N-silylcyclohexanamine Chemical compound C1CCCCC1N([SiH3])C1CCCCC1 BUYUWFMABIMZNT-UHFFFAOYSA-N 0.000 description 4
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 4
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 4
- MXCIGCPAZPFOQH-UHFFFAOYSA-N [SiH3]N([SiH3])C1=CC=CC=N1 Chemical compound [SiH3]N([SiH3])C1=CC=CC=N1 MXCIGCPAZPFOQH-UHFFFAOYSA-N 0.000 description 4
- 125000004183 alkoxy alkyl group Chemical group 0.000 description 4
- 238000004364 calculation method Methods 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- WZUCGJVWOLJJAN-UHFFFAOYSA-N diethylaminosilicon Chemical compound CCN([Si])CC WZUCGJVWOLJJAN-UHFFFAOYSA-N 0.000 description 4
- AWFPGKLDLMAPMK-UHFFFAOYSA-N dimethylaminosilicon Chemical compound CN(C)[Si] AWFPGKLDLMAPMK-UHFFFAOYSA-N 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 4
- 125000005842 heteroatom Chemical group 0.000 description 4
- 239000012535 impurity Substances 0.000 description 4
- 239000012299 nitrogen atmosphere Substances 0.000 description 4
- 125000004430 oxygen atom Chemical group O* 0.000 description 4
- 239000000047 product Substances 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- GCYKGPCROZAFRE-UHFFFAOYSA-N (2,5-dimethylpyrrol-1-yl)silane Chemical compound CC1=CC=C(C)N1[SiH3] GCYKGPCROZAFRE-UHFFFAOYSA-N 0.000 description 3
- ZMZLYAVDMDKCMW-UHFFFAOYSA-N (2,6-dimethylmorpholin-4-yl)silane Chemical compound CC1CN([SiH3])CC(C)O1 ZMZLYAVDMDKCMW-UHFFFAOYSA-N 0.000 description 3
- FPNIXYNDTVFQNX-UHFFFAOYSA-N (2-methylpyrrolidin-1-yl)silane Chemical compound CC1CCCN1[SiH3] FPNIXYNDTVFQNX-UHFFFAOYSA-N 0.000 description 3
- GLXPTEJSFSOVKZ-UHFFFAOYSA-N 3,4,4a,5,6,7,8,8a-octahydro-2H-quinolin-1-ylsilane Chemical compound C1CCCC2N([SiH3])CCCC21 GLXPTEJSFSOVKZ-UHFFFAOYSA-N 0.000 description 3
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 3
- UCDBDBSGNPSTBN-UHFFFAOYSA-N N-phenylsilylcyclohexanamine Chemical compound C1(=CC=CC=C1)[SiH2]NC1CCCCC1 UCDBDBSGNPSTBN-UHFFFAOYSA-N 0.000 description 3
- CNHKSGTXWLJEAO-UHFFFAOYSA-N N-propan-2-yl-N-silylpropan-1-amine Chemical compound CCCN([SiH3])C(C)C CNHKSGTXWLJEAO-UHFFFAOYSA-N 0.000 description 3
- KAESVJOAVNADME-UHFFFAOYSA-N Pyrrole Chemical group C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 description 3
- RWRDLPDLKQPQOW-UHFFFAOYSA-N Pyrrolidine Chemical compound C1CCNC1 RWRDLPDLKQPQOW-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- KCXKEXLTAPGLOG-UHFFFAOYSA-N [SiH3]CNC1=CC=CC=N1 Chemical compound [SiH3]CNC1=CC=CC=N1 KCXKEXLTAPGLOG-UHFFFAOYSA-N 0.000 description 3
- 230000004913 activation Effects 0.000 description 3
- 150000004703 alkoxides Chemical class 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 229910052794 bromium Inorganic materials 0.000 description 3
- 229910002091 carbon monoxide Inorganic materials 0.000 description 3
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 3
- 230000003247 decreasing effect Effects 0.000 description 3
- 125000004663 dialkyl amino group Chemical group 0.000 description 3
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 238000009472 formulation Methods 0.000 description 3
- 238000004817 gas chromatography Methods 0.000 description 3
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 3
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 3
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 3
- TVMXDCGIABBOFY-UHFFFAOYSA-N octane Chemical compound CCCCCCCC TVMXDCGIABBOFY-UHFFFAOYSA-N 0.000 description 3
- 150000003961 organosilicon compounds Chemical class 0.000 description 3
- VYAAUDDYFVTLQS-UHFFFAOYSA-N pyrrol-1-ylsilicon Chemical compound [Si]N1C=CC=C1 VYAAUDDYFVTLQS-UHFFFAOYSA-N 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 238000003786 synthesis reaction Methods 0.000 description 3
- 238000011282 treatment Methods 0.000 description 3
- 125000006273 (C1-C3) alkyl group Chemical group 0.000 description 2
- LISDBLOKKWTHNH-UHFFFAOYSA-N 1,3,5-Trisilacyclohexan Natural products C1[SiH2]C[SiH2]C[SiH2]1 LISDBLOKKWTHNH-UHFFFAOYSA-N 0.000 description 2
- RXYPXQSKLGGKOL-UHFFFAOYSA-N 1,4-dimethylpiperazine Chemical compound CN1CCN(C)CC1 RXYPXQSKLGGKOL-UHFFFAOYSA-N 0.000 description 2
- ZIRUCIAJZHTMAF-UHFFFAOYSA-N 1-N,1-N-dimethyl-2-N,2-N-disilylpropane-1,2-diamine Chemical compound [SiH3]N([SiH3])C(C)CN(C)C ZIRUCIAJZHTMAF-UHFFFAOYSA-N 0.000 description 2
- LXRQLOZDZBMZIV-UHFFFAOYSA-N 1-cyclohexyl-N,N-disilylethanamine Chemical compound [SiH3]N([SiH3])C(C)C1CCCCC1 LXRQLOZDZBMZIV-UHFFFAOYSA-N 0.000 description 2
- PAMIQIKDUOTOBW-UHFFFAOYSA-N 1-methylpiperidine Chemical compound CN1CCCCC1 PAMIQIKDUOTOBW-UHFFFAOYSA-N 0.000 description 2
- DMRMLQZWHQAHRO-UHFFFAOYSA-N 2,6-diethyl-N,N-disilylaniline Chemical compound CCC1=CC=CC(CC)=C1N([SiH3])[SiH3] DMRMLQZWHQAHRO-UHFFFAOYSA-N 0.000 description 2
- GTEXIOINCJRBIO-UHFFFAOYSA-N 2-[2-(dimethylamino)ethoxy]-n,n-dimethylethanamine Chemical compound CN(C)CCOCCN(C)C GTEXIOINCJRBIO-UHFFFAOYSA-N 0.000 description 2
- UKKXOTJKKNPNJJ-UHFFFAOYSA-N 2-phenyl-N,N-disilylpropan-2-amine Chemical compound [SiH3]N([SiH3])C(C)(C)C1=CC=CC=C1 UKKXOTJKKNPNJJ-UHFFFAOYSA-N 0.000 description 2
- SMUZKPOGDGRGCO-UHFFFAOYSA-N 3-ethyl-N,N-disilylaniline Chemical compound CCC1=CC=CC(N([SiH3])[SiH3])=C1 SMUZKPOGDGRGCO-UHFFFAOYSA-N 0.000 description 2
- FMPPSQQVSMZVPO-UHFFFAOYSA-N 3-methoxy-N,N-disilylaniline Chemical compound COC1=CC=CC(N([SiH3])[SiH3])=C1 FMPPSQQVSMZVPO-UHFFFAOYSA-N 0.000 description 2
- SXDWUCGYLLSMNO-UHFFFAOYSA-N 4-butan-2-yl-N,N-disilylaniline Chemical compound CCC(C)C1=CC=C(N([SiH3])[SiH3])C=C1 SXDWUCGYLLSMNO-UHFFFAOYSA-N 0.000 description 2
- BLULTEUTHGUQTF-UHFFFAOYSA-N 4-methyl-N,N-disilylaniline Chemical compound CC1=CC=C(N([SiH3])[SiH3])C=C1 BLULTEUTHGUQTF-UHFFFAOYSA-N 0.000 description 2
- KPDXDKWPNZEGSW-UHFFFAOYSA-N 4-propan-2-yl-N,N-disilylaniline Chemical compound CC(C)C1=CC=C(N([SiH3])[SiH3])C=C1 KPDXDKWPNZEGSW-UHFFFAOYSA-N 0.000 description 2
- NLXLAEXVIDQMFP-UHFFFAOYSA-N Ammonia chloride Chemical compound [NH4+].[Cl-] NLXLAEXVIDQMFP-UHFFFAOYSA-N 0.000 description 2
- DNVVFFJAGAVFFC-UHFFFAOYSA-N CC(C)(C)C1=CC=C(N([SiH3])[SiH3])C=C1 Chemical compound CC(C)(C)C1=CC=C(N([SiH3])[SiH3])C=C1 DNVVFFJAGAVFFC-UHFFFAOYSA-N 0.000 description 2
- CDNMUIAAQLUQIN-UHFFFAOYSA-N CC(C)C1=CC=CC(C(C)C)=C1N([SiH3])[SiH3] Chemical compound CC(C)C1=CC=CC(C(C)C)=C1N([SiH3])[SiH3] CDNMUIAAQLUQIN-UHFFFAOYSA-N 0.000 description 2
- NCIWBIJJXJAQRS-UHFFFAOYSA-N CC1=CC=C(N([SiH3])[SiH3])N=C1 Chemical compound CC1=CC=C(N([SiH3])[SiH3])N=C1 NCIWBIJJXJAQRS-UHFFFAOYSA-N 0.000 description 2
- YMVMOJGUQHVZMP-UHFFFAOYSA-N CCCC1=CC=CC=C1N([SiH3])[SiH3] Chemical compound CCCC1=CC=CC=C1N([SiH3])[SiH3] YMVMOJGUQHVZMP-UHFFFAOYSA-N 0.000 description 2
- ARSAPVGSPZVIAL-UHFFFAOYSA-N CN(C)CCN([SiH3])[SiH3] Chemical compound CN(C)CCN([SiH3])[SiH3] ARSAPVGSPZVIAL-UHFFFAOYSA-N 0.000 description 2
- ULRNLMDRRXVWDU-UHFFFAOYSA-N COC1=CC=C(N([SiH3])[SiH3])C=C1 Chemical compound COC1=CC=C(N([SiH3])[SiH3])C=C1 ULRNLMDRRXVWDU-UHFFFAOYSA-N 0.000 description 2
- WYNPFQIPCUQJIS-UHFFFAOYSA-N COC1=CC=CC=C1N([SiH3])[SiH3] Chemical compound COC1=CC=CC=C1N([SiH3])[SiH3] WYNPFQIPCUQJIS-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- 239000005046 Chlorosilane Substances 0.000 description 2
- 238000003775 Density Functional Theory Methods 0.000 description 2
- SIKJAQJRHWYJAI-UHFFFAOYSA-N Indole Chemical compound C1=CC=C2NC=CC2=C1 SIKJAQJRHWYJAI-UHFFFAOYSA-N 0.000 description 2
- YNAVUWVOSKDBBP-UHFFFAOYSA-N Morpholine Chemical compound C1COCCN1 YNAVUWVOSKDBBP-UHFFFAOYSA-N 0.000 description 2
- UOERHRIFSQUTET-UHFFFAOYSA-N N-propyl-N-silylpropan-1-amine Chemical compound CCCN([SiH3])CCC UOERHRIFSQUTET-UHFFFAOYSA-N 0.000 description 2
- GLUUGHFHXGJENI-UHFFFAOYSA-N Piperazine Chemical compound C1CNCCN1 GLUUGHFHXGJENI-UHFFFAOYSA-N 0.000 description 2
- NQRYJNQNLNOLGT-UHFFFAOYSA-N Piperidine Chemical compound C1CCNCC1 NQRYJNQNLNOLGT-UHFFFAOYSA-N 0.000 description 2
- SMWDFEZZVXVKRB-UHFFFAOYSA-N Quinoline Chemical compound N1=CC=CC2=CC=CC=C21 SMWDFEZZVXVKRB-UHFFFAOYSA-N 0.000 description 2
- 239000007983 Tris buffer Substances 0.000 description 2
- GDUDLHZDCQCPQA-UHFFFAOYSA-N [SiH3]N([SiH3])C1=CC=C(Cl)C=C1 Chemical compound [SiH3]N([SiH3])C1=CC=C(Cl)C=C1 GDUDLHZDCQCPQA-UHFFFAOYSA-N 0.000 description 2
- JGEWNWYQZBQLOR-UHFFFAOYSA-N [SiH3]N([SiH3])CC1=CC=CC=N1 Chemical compound [SiH3]N([SiH3])CC1=CC=CC=N1 JGEWNWYQZBQLOR-UHFFFAOYSA-N 0.000 description 2
- GVAAXHCBFQGCED-UHFFFAOYSA-N [SiH3]N([SiH3])CCC1=CC=CC=N1 Chemical compound [SiH3]N([SiH3])CCC1=CC=CC=N1 GVAAXHCBFQGCED-UHFFFAOYSA-N 0.000 description 2
- 125000000304 alkynyl group Chemical group 0.000 description 2
- 150000001408 amides Chemical class 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 230000005587 bubbling Effects 0.000 description 2
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 229910052729 chemical element Inorganic materials 0.000 description 2
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 2
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- VBCSQFQVDXIOJL-UHFFFAOYSA-N diethylazanide;hafnium(4+) Chemical compound [Hf+4].CC[N-]CC.CC[N-]CC.CC[N-]CC.CC[N-]CC VBCSQFQVDXIOJL-UHFFFAOYSA-N 0.000 description 2
- VJDVOZLYDLHLSM-UHFFFAOYSA-N diethylazanide;titanium(4+) Chemical compound [Ti+4].CC[N-]CC.CC[N-]CC.CC[N-]CC.CC[N-]CC VJDVOZLYDLHLSM-UHFFFAOYSA-N 0.000 description 2
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 2
- ZYLGGWPMIDHSEZ-UHFFFAOYSA-N dimethylazanide;hafnium(4+) Chemical compound [Hf+4].C[N-]C.C[N-]C.C[N-]C.C[N-]C ZYLGGWPMIDHSEZ-UHFFFAOYSA-N 0.000 description 2
- DWCMDRNGBIZOQL-UHFFFAOYSA-N dimethylazanide;zirconium(4+) Chemical compound [Zr+4].C[N-]C.C[N-]C.C[N-]C.C[N-]C DWCMDRNGBIZOQL-UHFFFAOYSA-N 0.000 description 2
- SNRUBQQJIBEYMU-UHFFFAOYSA-N dodecane Chemical compound CCCCCCCCCCCC SNRUBQQJIBEYMU-UHFFFAOYSA-N 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 2
- NPEOKFBCHNGLJD-UHFFFAOYSA-N ethyl(methyl)azanide;hafnium(4+) Chemical compound [Hf+4].CC[N-]C.CC[N-]C.CC[N-]C.CC[N-]C NPEOKFBCHNGLJD-UHFFFAOYSA-N 0.000 description 2
- LNKYFCABELSPAN-UHFFFAOYSA-N ethyl(methyl)azanide;titanium(4+) Chemical compound [Ti+4].CC[N-]C.CC[N-]C.CC[N-]C.CC[N-]C LNKYFCABELSPAN-UHFFFAOYSA-N 0.000 description 2
- SRLSISLWUNZOOB-UHFFFAOYSA-N ethyl(methyl)azanide;zirconium(4+) Chemical compound [Zr+4].CC[N-]C.CC[N-]C.CC[N-]C.CC[N-]C SRLSISLWUNZOOB-UHFFFAOYSA-N 0.000 description 2
- IIEWJVIFRVWJOD-UHFFFAOYSA-N ethylcyclohexane Chemical compound CCC1CCCCC1 IIEWJVIFRVWJOD-UHFFFAOYSA-N 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 229910052740 iodine Inorganic materials 0.000 description 2
- 239000007791 liquid phase Substances 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- UYYCVBASZNFFRX-UHFFFAOYSA-N n-propan-2-ylcyclohexanamine Chemical compound CC(C)NC1CCCCC1 UYYCVBASZNFFRX-UHFFFAOYSA-N 0.000 description 2
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000003791 organic solvent mixture Substances 0.000 description 2
- 125000002524 organometallic group Chemical group 0.000 description 2
- 239000007800 oxidant agent Substances 0.000 description 2
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 2
- 230000002265 prevention Effects 0.000 description 2
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 description 2
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 2
- GYXMELZJMNAVFH-UHFFFAOYSA-N pyrrolo[2,3-b]pyridin-1-ylsilane Chemical compound C1=CN=C2N([SiH3])C=CC2=C1 GYXMELZJMNAVFH-UHFFFAOYSA-N 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 125000003548 sec-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 150000004756 silanes Chemical class 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000012686 silicon precursor Substances 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 2
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 238000007740 vapor deposition Methods 0.000 description 2
- 239000006200 vaporizer Substances 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- ICBFNPPCXPMCBP-BQBZGAKWSA-N (2s,5s)-2,5-dimethylpiperidine Chemical compound C[C@H]1CC[C@H](C)NC1 ICBFNPPCXPMCBP-BQBZGAKWSA-N 0.000 description 1
- 125000000027 (C1-C10) alkoxy group Chemical group 0.000 description 1
- KWEKXPWNFQBJAY-UHFFFAOYSA-N (dimethyl-$l^{3}-silanyl)oxy-dimethylsilicon Chemical compound C[Si](C)O[Si](C)C KWEKXPWNFQBJAY-UHFFFAOYSA-N 0.000 description 1
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 description 1
- VGOGVYXFTQVWRB-UHFFFAOYSA-N 1-(4-methylphenyl)-N,N-disilylethanamine Chemical compound [SiH3]N([SiH3])C(C)C1=CC=C(C)C=C1 VGOGVYXFTQVWRB-UHFFFAOYSA-N 0.000 description 1
- DURPTKYDGMDSBL-UHFFFAOYSA-N 1-butoxybutane Chemical compound CCCCOCCCC DURPTKYDGMDSBL-UHFFFAOYSA-N 0.000 description 1
- PUNGSQUVTIDKNU-UHFFFAOYSA-N 2,4,6,8,10-pentamethyl-1,3,5,7,9,2$l^{3},4$l^{3},6$l^{3},8$l^{3},10$l^{3}-pentaoxapentasilecane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O[Si](C)O1 PUNGSQUVTIDKNU-UHFFFAOYSA-N 0.000 description 1
- ZLSMZJCWQRARGC-UHFFFAOYSA-N 2,4,6-trimethyl-N,N-disilylaniline Chemical compound CC1=CC(C)=C(N([SiH3])[SiH3])C(C)=C1 ZLSMZJCWQRARGC-UHFFFAOYSA-N 0.000 description 1
- IVSPVXKJEGPQJP-UHFFFAOYSA-N 2-silylethylsilane Chemical compound [SiH3]CC[SiH3] IVSPVXKJEGPQJP-UHFFFAOYSA-N 0.000 description 1
- WUKCBMHAXBYSOO-UHFFFAOYSA-N 3-methyl-N,N-disilylaniline Chemical compound CC1=CC=CC(N([SiH3])[SiH3])=C1 WUKCBMHAXBYSOO-UHFFFAOYSA-N 0.000 description 1
- JMEAIINVLVTNTL-UHFFFAOYSA-N 4-ethenyl-N,N-disilylaniline Chemical compound [SiH3]N([SiH3])C1=CC=C(C=C)C=C1 JMEAIINVLVTNTL-UHFFFAOYSA-N 0.000 description 1
- WKYWHPWEQYJUAT-UHFFFAOYSA-N 7-[3-(aminomethyl)-4-propoxyphenyl]-4-methylquinolin-2-amine Chemical compound CCCOC1=C(C=C(C=C1)C2=CC3=C(C=C2)C(=CC(=N3)N)C)CN WKYWHPWEQYJUAT-UHFFFAOYSA-N 0.000 description 1
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 1
- 229910052582 BN Inorganic materials 0.000 description 1
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 1
- 125000006374 C2-C10 alkenyl group Chemical group 0.000 description 1
- JVCWKXBYGCJHDF-UHFFFAOYSA-N CC(C)(C)N=[W](N(C)C)(=NC(C)(C)C)N(C)C Chemical compound CC(C)(C)N=[W](N(C)C)(=NC(C)(C)C)N(C)C JVCWKXBYGCJHDF-UHFFFAOYSA-N 0.000 description 1
- NITFNFQZABIDTI-UHFFFAOYSA-N CC(C)C1=CC=CC=C1N([SiH3])[SiH3] Chemical compound CC(C)C1=CC=CC=C1N([SiH3])[SiH3] NITFNFQZABIDTI-UHFFFAOYSA-N 0.000 description 1
- QQBINNXWRDRCHB-UHFFFAOYSA-N CCC(C)(C)N=[Ta](N(C)C)(N(C)C)N(C)C Chemical compound CCC(C)(C)N=[Ta](N(C)C)(N(C)C)N(C)C QQBINNXWRDRCHB-UHFFFAOYSA-N 0.000 description 1
- HJKMWNMPLNGTGD-UHFFFAOYSA-N CCC1=CC=CC=C1N([SiH3])[SiH3] Chemical compound CCC1=CC=CC=C1N([SiH3])[SiH3] HJKMWNMPLNGTGD-UHFFFAOYSA-N 0.000 description 1
- PDGHBHKZHSFTHO-UHFFFAOYSA-N CCN(C)[Ta](=NC(C)(C)C)(N(C)CC)N(C)CC Chemical compound CCN(C)[Ta](=NC(C)(C)C)(N(C)CC)N(C)CC PDGHBHKZHSFTHO-UHFFFAOYSA-N 0.000 description 1
- GKBKXJWUIIYCBD-UHFFFAOYSA-N CCN(C)[Ta](N(C)CC)(N(C)CC)=NC(C)(C)CC Chemical compound CCN(C)[Ta](N(C)CC)(N(C)CC)=NC(C)(C)CC GKBKXJWUIIYCBD-UHFFFAOYSA-N 0.000 description 1
- KKSXSQXELVXONV-UHFFFAOYSA-N CCN(C)[W](=NC(C)(C)C)(=NC(C)(C)C)N(C)CC Chemical compound CCN(C)[W](=NC(C)(C)C)(=NC(C)(C)C)N(C)CC KKSXSQXELVXONV-UHFFFAOYSA-N 0.000 description 1
- YYKBKTFUORICGA-UHFFFAOYSA-N CCN(CC)[Ta](=NC(C)(C)C)(N(CC)CC)N(CC)CC Chemical compound CCN(CC)[Ta](=NC(C)(C)C)(N(CC)CC)N(CC)CC YYKBKTFUORICGA-UHFFFAOYSA-N 0.000 description 1
- GODRSDDUYGEYDK-UHFFFAOYSA-N CCN(CC)[Ta](N(CC)CC)(N(CC)CC)=NC(C)(C)CC Chemical compound CCN(CC)[Ta](N(CC)CC)(N(CC)CC)=NC(C)(C)CC GODRSDDUYGEYDK-UHFFFAOYSA-N 0.000 description 1
- IVBDGJZEAHBGFJ-UHFFFAOYSA-N CCN(CC)[W](=NC(C)(C)C)(=NC(C)(C)C)N(CC)CC Chemical compound CCN(CC)[W](=NC(C)(C)C)(=NC(C)(C)C)N(CC)CC IVBDGJZEAHBGFJ-UHFFFAOYSA-N 0.000 description 1
- LYWGPKCZWZCWAG-UHFFFAOYSA-N CCN=[Ta](N(C)C)(N(C)C)N(C)C Chemical compound CCN=[Ta](N(C)C)(N(C)C)N(C)C LYWGPKCZWZCWAG-UHFFFAOYSA-N 0.000 description 1
- AEKOOYWLWGERES-UHFFFAOYSA-N CCN=[Ta](N(C)CC)(N(C)CC)N(C)CC Chemical compound CCN=[Ta](N(C)CC)(N(C)CC)N(C)CC AEKOOYWLWGERES-UHFFFAOYSA-N 0.000 description 1
- KMAZZRDIVIPRPO-UHFFFAOYSA-N C[Si](C)(C([SiH2]C[SiH2]C([SiH2]C)=O)=O)C.O=C([SiH2]C)[SiH2]C[SiH2]C([SiH2]C)=O Chemical compound C[Si](C)(C([SiH2]C[SiH2]C([SiH2]C)=O)=O)C.O=C([SiH2]C)[SiH2]C[SiH2]C([SiH2]C)=O KMAZZRDIVIPRPO-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 229910052692 Dysprosium Inorganic materials 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- 229910052693 Europium Inorganic materials 0.000 description 1
- 229910052688 Gadolinium Inorganic materials 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- 241001024304 Mino Species 0.000 description 1
- KWYHDKDOAIKMQN-UHFFFAOYSA-N N,N,N',N'-tetramethylethylenediamine Chemical compound CN(C)CCN(C)C KWYHDKDOAIKMQN-UHFFFAOYSA-N 0.000 description 1
- DTDSFVLKAFPCES-UHFFFAOYSA-N N,N-disilyladamantan-1-amine Chemical compound C1C(C2)CC3CC2CC1(N([SiH3])[SiH3])C3 DTDSFVLKAFPCES-UHFFFAOYSA-N 0.000 description 1
- HTLZVHNRZJPSMI-UHFFFAOYSA-N N-ethylpiperidine Chemical compound CCN1CCCCC1 HTLZVHNRZJPSMI-UHFFFAOYSA-N 0.000 description 1
- 229910052779 Neodymium Inorganic materials 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910052777 Praseodymium Inorganic materials 0.000 description 1
- WTKZEGDFNFYCGP-UHFFFAOYSA-N Pyrazole Chemical class C=1C=NNC=1 WTKZEGDFNFYCGP-UHFFFAOYSA-N 0.000 description 1
- 229910052772 Samarium Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 229910052771 Terbium Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 229910052769 Ytterbium Inorganic materials 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- PDPXHRBRYUQCQA-SFOWXEAESA-N [(1s)-1-fluoro-2-(hydroxyamino)-2-oxoethyl]phosphonic acid Chemical compound ONC(=O)[C@@H](F)P(O)(O)=O PDPXHRBRYUQCQA-SFOWXEAESA-N 0.000 description 1
- YUESBAAIBKRCFU-UHFFFAOYSA-N [SiH3]N([SiH3])C1=CC=CC=C1Cl Chemical compound [SiH3]N([SiH3])C1=CC=CC=C1Cl YUESBAAIBKRCFU-UHFFFAOYSA-N 0.000 description 1
- 125000000278 alkyl amino alkyl group Chemical group 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- DKNWSYNQZKUICI-UHFFFAOYSA-N amantadine Chemical compound C1C(C2)CC3CC2CC1(N)C3 DKNWSYNQZKUICI-UHFFFAOYSA-N 0.000 description 1
- 235000019270 ammonium chloride Nutrition 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 239000012298 atmosphere Substances 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- LNENVNGQOUBOIX-UHFFFAOYSA-N azidosilane Chemical compound [SiH3]N=[N+]=[N-] LNENVNGQOUBOIX-UHFFFAOYSA-N 0.000 description 1
- 238000005284 basis set Methods 0.000 description 1
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 1
- IQJLBKXIQUCFDL-UHFFFAOYSA-N bis(silylmethylsilyl)methanone Chemical compound [SiH3]C[SiH2]C(=O)[SiH2]C[SiH3] IQJLBKXIQUCFDL-UHFFFAOYSA-N 0.000 description 1
- PPJPTAQKIFHZQU-UHFFFAOYSA-N bis(tert-butylimino)tungsten;dimethylazanide Chemical compound C[N-]C.C[N-]C.CC(C)(C)N=[W]=NC(C)(C)C PPJPTAQKIFHZQU-UHFFFAOYSA-N 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- CREMABGTGYGIQB-UHFFFAOYSA-N carbon carbon Chemical compound C.C CREMABGTGYGIQB-UHFFFAOYSA-N 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 125000004803 chlorobenzyl group Chemical group 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 238000005094 computer simulation Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 125000001995 cyclobutyl group Chemical group [H]C1([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 1
- 239000004914 cyclooctane Substances 0.000 description 1
- 125000000640 cyclooctyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C([H])([H])C1([H])[H] 0.000 description 1
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 1
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 1
- 125000004856 decahydroquinolinyl group Chemical group N1(CCCC2CCCCC12)* 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- SBZXBUIDTXKZTM-UHFFFAOYSA-N diglyme Chemical compound COCCOCCOC SBZXBUIDTXKZTM-UHFFFAOYSA-N 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 description 1
- OIKHZBFJHONJJB-UHFFFAOYSA-N dimethyl(phenyl)silicon Chemical compound C[Si](C)C1=CC=CC=C1 OIKHZBFJHONJJB-UHFFFAOYSA-N 0.000 description 1
- VSLPMIMVDUOYFW-UHFFFAOYSA-N dimethylazanide;tantalum(5+) Chemical compound [Ta+5].C[N-]C.C[N-]C.C[N-]C.C[N-]C.C[N-]C VSLPMIMVDUOYFW-UHFFFAOYSA-N 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- 238000007323 disproportionation reaction Methods 0.000 description 1
- 238000004821 distillation Methods 0.000 description 1
- 239000012153 distilled water Substances 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 125000001301 ethoxy group Chemical group [H]C([H])([H])C([H])([H])O* 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 238000013467 fragmentation Methods 0.000 description 1
- 238000006062 fragmentation reaction Methods 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- HTDJPCNNEPUOOQ-UHFFFAOYSA-N hexamethylcyclotrisiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O1 HTDJPCNNEPUOOQ-UHFFFAOYSA-N 0.000 description 1
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 238000004050 hot filament vapor deposition Methods 0.000 description 1
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 1
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 1
- 150000002460 imidazoles Chemical class 0.000 description 1
- PZOUSPYUWWUPPK-UHFFFAOYSA-N indole Natural products CC1=CC=CC2=C1C=CN2 PZOUSPYUWWUPPK-UHFFFAOYSA-N 0.000 description 1
- RKJUIXBNRJVNHR-UHFFFAOYSA-N indolenine Natural products C1=CC=C2CC=NC2=C1 RKJUIXBNRJVNHR-UHFFFAOYSA-N 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 125000003253 isopropoxy group Chemical group [H]C([H])([H])C([H])(O*)C([H])([H])[H] 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 231100000053 low toxicity Toxicity 0.000 description 1
- AUHZEENZYGFFBQ-UHFFFAOYSA-N mesitylene Substances CC1=CC(C)=CC(C)=C1 AUHZEENZYGFFBQ-UHFFFAOYSA-N 0.000 description 1
- 125000001827 mesitylenyl group Chemical group [H]C1=C(C(*)=C(C([H])=C1C([H])([H])[H])C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 125000000956 methoxy group Chemical group [H]C([H])([H])O* 0.000 description 1
- CBXZGERYGLVXSG-UHFFFAOYSA-N methyl(2-methylsilylethyl)silane Chemical compound C[SiH2]CC[SiH2]C CBXZGERYGLVXSG-UHFFFAOYSA-N 0.000 description 1
- OKHRRIGNGQFVEE-UHFFFAOYSA-N methyl(diphenyl)silicon Chemical compound C=1C=CC=CC=1[Si](C)C1=CC=CC=C1 OKHRRIGNGQFVEE-UHFFFAOYSA-N 0.000 description 1
- DSKSAXYFIBWFLQ-UHFFFAOYSA-N methyl(methylsilylmethyl)silane Chemical compound C[SiH2]C[SiH2]C DSKSAXYFIBWFLQ-UHFFFAOYSA-N 0.000 description 1
- OFLMWACNYIOTNX-UHFFFAOYSA-N methyl(methylsilyloxy)silane Chemical compound C[SiH2]O[SiH2]C OFLMWACNYIOTNX-UHFFFAOYSA-N 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- OWKFQWAGPHVFRF-UHFFFAOYSA-N n-(diethylaminosilyl)-n-ethylethanamine Chemical compound CCN(CC)[SiH2]N(CC)CC OWKFQWAGPHVFRF-UHFFFAOYSA-N 0.000 description 1
- 125000003506 n-propoxy group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])O* 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- ZCYXXKJEDCHMGH-UHFFFAOYSA-N nonane Chemical compound CCCC[CH]CCCC ZCYXXKJEDCHMGH-UHFFFAOYSA-N 0.000 description 1
- BKIMMITUMNQMOS-UHFFFAOYSA-N normal nonane Natural products CCCCCCCCC BKIMMITUMNQMOS-UHFFFAOYSA-N 0.000 description 1
- 125000003261 o-tolyl group Chemical group [H]C1=C([H])C(*)=C(C([H])=C1[H])C([H])([H])[H] 0.000 description 1
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 150000001282 organosilanes Chemical class 0.000 description 1
- NFHFRUOZVGFOOS-UHFFFAOYSA-N palladium;triphenylphosphane Chemical compound [Pd].C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1 NFHFRUOZVGFOOS-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 150000002978 peroxides Chemical class 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 125000003386 piperidinyl group Chemical group 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920001709 polysilazane Polymers 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 239000008213 purified water Substances 0.000 description 1
- 150000003233 pyrroles Chemical group 0.000 description 1
- 125000000168 pyrrolyl group Chemical class 0.000 description 1
- 230000005610 quantum mechanics Effects 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- HVXTXDKAKJVHLF-UHFFFAOYSA-N silylmethylsilane Chemical compound [SiH3]C[SiH3] HVXTXDKAKJVHLF-UHFFFAOYSA-N 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 239000011877 solvent mixture Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000001308 synthesis method Methods 0.000 description 1
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 125000003944 tolyl group Chemical group 0.000 description 1
- XOAJIYVOSJHEQB-UHFFFAOYSA-N trimethyl trimethoxysilyl silicate Chemical compound CO[Si](OC)(OC)O[Si](OC)(OC)OC XOAJIYVOSJHEQB-UHFFFAOYSA-N 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/24—Deposition of silicon only
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07F—ACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
- C07F7/00—Compounds containing elements of Groups 4 or 14 of the Periodic Table
- C07F7/02—Silicon compounds
- C07F7/025—Silicon compounds without C-silicon linkages
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07F—ACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
- C07F7/00—Compounds containing elements of Groups 4 or 14 of the Periodic Table
- C07F7/02—Silicon compounds
- C07F7/08—Compounds having one or more C—Si linkages
- C07F7/10—Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/308—Oxynitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/347—Carbon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Inorganic Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Description
式Aのある種の実施態様においては、R及びR1は、結合して環状基又はアルキル置換環状基を形成することができる。式Cのある種の実施態様においては、R、R2及びR1のいずれか1つ以上は、結合して環状基を形成することができる。式A又はCの他の実施態様において、R及びR1、又はR、R2及びR1のいずれか1つは、それぞれ環状基を形成するための結合をしない。1つの特定の実施態様では、有機アミノシラン前駆体は、式Aを有し、Rはn−プロピルであり、かつR1はイソプロピルである。式Aのこれらの実施態様又は他の実施態様では、R及びR1は、異なる置換基であり、そして有機アミノシランは、非対称性の分子である。式Aの他の1つの実施態様では、R及びR1は同じ置換基であり、そして有機アミノシランは、対称性の分子である。式Aの好ましい実施態様では、Rは、C5〜C6の環状アルキル基であり、かつR1は、直鎖又は分岐鎖のC1〜C3のアルキル基及びC5〜C6の環状アルキル基からなる群より選択される。
式Aのある種の実施態様においては、R及びR1は、結合して環状基又はアルキル置換環状基を形成することができる。式Cのある種の実施態様においては、R、R2及びR1のいずれか1つ以上は、結合して環状基を形成することができる。式A又はCの他の実施態様において、R及びR1、又はR、R2及びR1のいずれか1つは、それぞれ環状基を形成するための結合をしない。1つの特定の実施態様では、有機アミノシラン前駆体は、式Aを有し、Rはn−プロピルであり、かつR1はイソプロピルである。式Aのこれらの実施態様又は他の実施態様では、R及びR1は、異なる置換基であり、そして有機アミノシランは、非対称性の分子である。式Aの他の1つの実施態様では、R及びR1は同じ置換基であり、そして有機アミノシランは、対称性の分子である。
反応チャンバーに、上記基材の少なくとも1つの表面を与える工程;及び
次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、上記ケイ素含有前駆体の少なくとも1つとして用いて、化学気相成長プロセス及び原子層堆積プロセスから選択される堆積プロセスによって、上記少なくとも1つの表面に上記ケイ素含有膜を形成する工程:
式Aのある種の実施態様においては、R及びR1は、結合して環状基又はアルキル置換環状基を形成することができる。1つの特定の実施態様では、有機アミノシランは、式Aの前駆体を含み、ここでRは、C5〜C6の環状アルキル基から選択され、かつR1は、直鎖又は分岐鎖のC1〜C3のアルキル基及びC5〜C6の環状アルキル基からなる群より選択される。
a.反応器に基材を与える工程;
b.上記ケイ素含有前駆体の少なくとも1つとして用いる、次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物から選択される少なくとも1種のケイ素前駆体を、上記反応器に導入する工程:
c.上記反応器をパージガスでパージする工程;
d.酸素源を上記反応器に導入する工程;
e.上記反応器をパージガスでパージする工程;及び
f.上記膜の所望の厚みが得られるまで、b〜eの工程を繰り返す工程。
本明細書に記載された1つの特定の実施態様では、有機アミノシランは、式Aの前駆体を含み、ここでRは、C5〜C6の環状アルキル基から選択され、かつR1は、直鎖又は分岐鎖のC1〜C3のアルキル基及びC5〜C6の環状アルキル基からなる群より選択される。
a.反応器に基材を与える工程;
b.次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、上記反応器に導入する工程:
c.酸素源を提供して、上記少なくとも1つの表面に上記酸化ケイ素膜を堆積する工程。
本明細書に記載された1つの特定の実施態様では、有機アミノシランは、式Aの前駆体を含み、ここでRは、C5〜C6の環状アルキル基から選択され、かつR1は、直鎖又は分岐鎖のC1〜C3のアルキル基及びC5〜C6の環状アルキル基からなる群より選択される。
a.反応器に基材を与える工程;
b.上記ケイ素含有前駆体の少なくとも1つとして、次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、上記反応器に導入する工程:
c.上記反応器をパージガスでパージする工程;
d.窒素含有源を上記反応器に導入する工程;
e.上記反応器をパージガスでパージする工程;及び
f.上記窒化ケイ素膜の所望の厚みが得られるまで、b〜eの工程を繰り返す工程。
本明細書に記載された1つの特定の実施態様では、有機アミノシラン前駆体は、式Aの前駆体を含み、ここでRは、C5〜C6の環状アルキル基から選択され、かつR1は、直鎖又は分岐鎖のC1〜C3のアルキル基及びC5〜C6の環状アルキル基からなる群より選択される。
a.反応器に基材を与える工程;
b.上記ケイ素含有前駆体の少なくとも1つとして、次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、上記反応器に導入する工程:
c.窒素含有源を提供する工程であって、上記少なくとも1種の有機アミノシラン及び上記窒素含有源を反応させて、ケイ素と窒素との両方を含む上記膜を上記少なく少なくとも1つの表面に堆積させる工程。
本明細書に記載された1つの特定の実施態様では、有機アミノシランは、式Aの前駆体を含み、ここでRは、C5〜C6の環状アルキル基から選択され、かつR1は、直鎖又は分岐鎖のC1〜C3のアルキル基及びC5〜C6の環状アルキル基からなる群より選択される。
次の式A、B及びCを有する有機アミノシラン又はこれらの混合物
エーテル、第三級アミン、ニトリル、アルキル炭化水素、芳香族炭化水素、第三級アミノエーテル及びこれらの混合物からなる群より選択される、溶媒。
本明細書に記載した前駆体組成物の1つの特定の実施態様では、有機アミノシランは、式Aの前駆体を含み、ここでRは、C5〜C6の環状アルキル基から選択され、かつR1は、直鎖又は分岐鎖のC1〜C3のアルキル基及びC5〜C6の環状アルキル基からなる群より選択される。
式Aのある種の実施態様においては、R及びR1は、結合して環状基又はアルキル置換環状基を形成することができる。1つの特定の実施態様では、有機アミノシラン前駆体は、式Aを有する化合物であり、ここでRは、C5〜C6の環状アルキル基であり、かつR1は、直鎖又は分岐鎖のC1〜C3のアルキル基及びC5〜C6の環状アルキル基からなる群より選択される。
式Aを有する有機アミノシラン前駆体の他の1つの実施態様において、Rは、置換基を有する又は有さない芳香族基であり、かつR1は、直鎖アルキル基又は分岐鎖アルキル基である。式Aを有する化合物の1つの特定の実施態様では、ここでRは、C5〜C6の環状アルキル基であり、かつR1は、直鎖又は分岐鎖のC1〜C3のアルキル基及びC5〜C6の環状アルキル基からなる群より選択される。
基材をALD反応器に与える工程;
上記ALD反応器に、次の式A、B及びCを有する少なくとも1種の有機アミノシラン前駆体又はこれらの混合物を導入する工程:
上記少なくとも1種の有機アミノシラン前駆体を、基材に化学吸着させる工程; 未吸着の上記少なくとも1種の有機アミノシラン前駆体を、パージガスを用いてパージする工程;
窒素含有源を、加熱した上記基材上の上記有機アミノシラン前駆体に与えて、上記吸着した少なくとも1種の有機アミノシラン前駆体と反応させる工程;及び
随意に、あらゆる未反応の窒素含有源をパージする工程。
基材を反応器に与える工程;
上記反応器に、次の式A、B及びCを有する少なくとも1種の有機アミノシラン前駆体又はこれらの混合物を導入する工程:
上記少なくとも1種の有機アミノシラン前駆体を、基材に化学吸着させる工程; 上記未吸着の少なくとも1種の有機アミノシラン前駆体を、パージガスを用いてパージする工程;
酸素含有源を、加熱した上記基材上の上記有機アミノシラン前駆体に与えて、上記吸着した少なくとも1種の有機アミノシラン前駆体と反応させる工程;及び
随意に、あらゆる未反応の酸素含有源をパージする工程。
周囲温度から約700℃の範囲の温度に加熱され、かつ1Torr以下の圧力で維持されている反応器に基材を置く工程;
上記反応器に、次の式A、B及びCを有する少なくとも1種の有機アミノシラン前駆体又はこれらの混合物を導入する工程:
水素、水素プラズマ及び塩化水素からなる群より少なくとも1種選択される還元剤を、上記反応器に導入して、上記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させて、そして1以上の上記基材にケイ素含有膜を堆積する工程。
周囲温度から約700℃までの範囲の温度に加熱し、且つ1Torr以下の圧力で維持した反応器に、1以上の基材を置くステップ;
次の式A、B及びC又はこれらの組合せを有する少なくとも1種の有機アミノシラン前駆体を導入するステップ:
酸素源を、上記反応器に与えて、上記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させ、そして上記1以上の基材にケイ素含有膜を堆積させるステップ。
このCVD法のある種の実施態様では、上記反応器を、上記導入ステップの間に100mTorr〜600mTorrの範囲の圧力で維持する。
周囲温度から約700℃までの範囲の温度に加熱し、且つ1Torr以下の圧力で維持した反応器に、1以上の基材を配置するステップ;
少なくとも1種のケイ素含有前駆体として用いる、次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を導入するステップ:
窒素含有源を、上記反応器に与えて、上記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させ、そして上記1以上の基材にケイ素含有膜を堆積させるステップ。
このCVD法のある種の実施態様では、上記反応器を、上記導入ステップの間に100mTorr〜600mTorrの範囲の圧力で維持する。
500mlのシュレンクフラスコで、247.3g(1.75mol)のN−イソプロピルシクロヘキシルアミン及び229.9g(1.75mol)のジ−イソプロピルアミノシランを、窒素下で8日間還流させた。副生成物のジ−イソプロピルアミンを、40mmHgの圧力及び50℃で減圧除去した。減圧分留により、50gの純粋なN−イソプロピルシクロヘキシルアミノシランを得た。示差走査熱量計(DSC)によって測定される通常の沸点(1気圧で測定される)は、約199℃である。最終生成物を、質量分析(MS)によって特徴付けた。これを図1に与えるが、これは特に171(M+)、156(M−CH3)でピークを有する。
500mlのシュレンクフラスコで、57(0.5mol)のトランス−2,5−ジメチルピペリジン及び196.5g(1.5mol)のジ−イソプロピルアミノシランを、周囲温度において窒素雰囲気下で12時間攪拌した。比較的低い沸点の副生成物ジ−イソプロピルアミンを、20mmHgの圧力及び室温(25℃)で減圧除去した。反応混合物を、さらに12時間攪拌した。生成物のN,N’−ジシリル−トランス−2,5−ジメチルピペリジン(78g、収率90%)を、54℃の沸点で、10mmHgで減圧蒸留によって得た。最終生成物を、質量分析(MS)によって特徴付けた。これを、図2に与える。これは、特に174(M+)、159(M−CH3)、143(M−SiH3)、131、117、100、83、72及び58でピークを示している。N,N’−ジシリル−トランス−2,5−ジメチルピペリジンの分子量は、174.39であった。
堆積プロセスに関する前駆体候補物質の熱安定性を理解するために、次の式Aの前駆体について量子力学計算を実行した:N−シリルデカヒドロキノリン、N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、N−イソプロピルシクロヘキシルアミノシラン及びジシクロヘキシルアミノシラン。密度汎関数理論(DFT)を用いて量子力学計算結果を得て、次のスクランブリング反応の速度論挙動及び熱力学挙動を評価した:
2SiH3L→SiH4+SiH2L2
(式中、L=デカヒドロキノリン基、N−メチルシクロヘキシルアミノ基、N−エチルシクロヘキシルアミノ基、N−イソプロピルシクロヘキシルアミノ基及びジシクロヘキシルアミノ基である)。
シリンダーのヘッドスペースでの圧力上昇によって、安全性に潜在的な危険があるシラン(SiH4)が形成するという実験的な証拠によって、この特定の反応がシミュレーションのために選ばれた。この反応は、最終生成物としてSiX4及びSiH4を生成する一連の類似の工程において、速度制限的であると第一にかつ一般的に受け入れられている。Accelrys 社のMaterials Studio(商標)のDmol3モジュールで実行されるような、二重数値分極基底関数(double numerical polarized basis set)及び4.0 oAのグローバルカットオフ(global cutoff)と共に(B. Delley, J. Chem. Phys. 92, 508 1990; B. Delley, J. Chem. Phys. 113, 7756 2000)、B88交換汎関数(Becke, Phys. Rev. A 38, 3098 1988)及びLYP相関汎関数(Lee Yang Parr, Phys. Rev. B 37, 785 1988)からなるBLYP密度関数を用いて全ての電子近似について計算を行った。
酸化ケイ素膜の原子層堆積を、次の式Aの前駆体を用いて行った:N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、及びN−イソプロピルシクロヘキシルアミノシラン。堆積を、実験室スケールのALDプロセスツールで行った。全てのガス(例えば、パージガス、反応ガス又は前駆体及び酸素源)を、堆積ゾーンに入る前に100℃に余熱した。ガス及び前駆体の流量を、高速作動するALDダイアフラムバルブで制御した。堆積に用いた基材は、基材の温度を確認するためにサンプルホルダーに取り付けた熱電対を有する12インチの長さのシリコンストリップであった。酸素源ガスとしてオゾンを用いて、400サイクルを基準として用いて、堆積を行った。また、堆積のプロセスパラメーターを表7に与える。
〈1〉 次の式A、B又はCの1つによって表される有機アミノシラン:
R 1 は、C 3 〜C 10 の直鎖若しくは分岐鎖のアルキル基;C 3 〜C 10 の環状アルキル基;C 5 〜C 10 の芳香族基;C 3 〜C 10 の飽和若しくは不飽和の複素環基;水素原子;C 2 〜C 10 の直鎖若しくは分岐鎖のアルケニル基;C 1 〜C 10 のアルコキシ基;C 1 〜C 10 のアルキルアミノ基;又は置換基のあるシリル基から独立して選択され;
R 2 は、単結合;飽和若しくは不飽和の、直鎖若しくは分岐鎖の、置換若しくは非置換の炭化水素鎖であって、炭素数が1〜10の範囲の炭化水素鎖;飽和若しくは不飽和の炭素環又は複素環;SiR 2 ;又はSiH 2 を表し;
式A中のR及びR 1 は、結合して環状基を形成することもでき;かつ
式C中のR、R 1 及びR 2 のいずれか1つ以上は、結合して環状基を形成することもできる。)。
〈2〉 式Aを有し、かつR及びR 1 が結合して、5員若しくは6員の、炭素環若しくは複素環の、置換又は非置換の芳香族基を形成している、項目1に記載の有機アミノシラン。
〈3〉 有機アミノシランが、N−シリルピロール、N−シリル−2,5−ジメチルピロール及び1−シリル−7−アザインドールからなる群より選択される、項目2に記載の有機アミノシラン。
〈4〉 式Aを有し、かつR及びR 1 が結合して、5員若しくは6員の、炭素環若しくは複素環の、置換又は非置換の脂肪族環を形成している、項目1に記載の有機アミノシラン。
〈5〉 有機アミノシランが、2,6−ジメチルモルホリノシラン、2−メチルピロリジノシラン、及びN−シリルデカヒドロキノリンからなる群より選択される、項目4に記載の有機アミノシラン。
〈6〉 式Aを有し、かつR及びR 1 が同じ置換基であるが、その両方が次の基とはならない、項目1に記載の有機アミノシラン:エチル基、イソプロピル基、tert−ブチル基、イソブチル基、sec−ブチル基、n−ブチル基、t−ペンチル基及びsec−ペンチル基。
〈7〉 式Aを有し、かつR及びR 1 が異なる置換基である、項目1に記載の有機アミノシラン。
〈8〉 N−プロピル−イソプロピルアミノシラン、N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、アリルフェニルアミノシラン、N−イソプロピルシクロヘキシルアミノシラン、アリルシクロペンチルアミノシラン、フェニルシクロヘキシルアミノシラン及び2−(N−シリルメチルアミノ)ピリジンからなる群より選択される、項目7に記載の有機アミノシラン。
〈9〉 式Bを有し、Rが置換されたC 5 〜C 10 の芳香族基であり、かつ前記芳香族基が、ヘテロ原子、アルキル基、アルケニル基又はアルコキシ基の1つ以上で置換されている、項目1に記載の有機アミノシラン。
〈10〉 式Bを有し、Rが置換されたC 2 〜C 10 のアルキル基であり、前記アルキル基がヘテロ原子、アルキル基、芳香族基、アルキル基、アルキルアミノ基又はアルコキシ基の1つ以上で置換されている、項目1に記載の有機アミノシラン。
〈11〉 以下を含む、ケイ素含有膜の堆積用の組成物:
式A、B及びCを有する有機アミノシラン又はこれらの混合物:
エーテル、第三級アミン、ニトリル、アルキル炭化水素、芳香族炭化水素、第三級アミノエーテル及びこれらの混合物からなる群より選択される、溶媒。
〈12〉 前記有機アミノシラン及び前記溶媒のそれぞれが沸点を有し、前記有機アミノシランの沸点と前記溶媒の沸点との差が40℃以下である、項目11に記載の組成物。
〈13〉 前記有機アミノシラン及び前記溶媒のそれぞれが沸点を有し、前記有機アミノシランの沸点と前記溶媒の沸点との差が20℃以下である、項目11に記載の組成物。
〈14〉 ALD又はサイクリックCVDから選択される堆積方法を用いて、結晶膜、アモルファスシリコン膜、又はこれらの組合せから選択されるケイ素含有膜を、形成する方法であって、以下の工程を含み、これらの工程を所望の厚さの前記ケイ素含有膜が得られるまで繰り返す方法:
周囲温度から約700℃の範囲の温度に加熱され、かつ1Torr以下の圧力で維持される反応器に、基材を置く工程;
次の式A、B及びCを有する少なくとも1種の有機アミノシラン又はこれらの混合物を、前記反応器に導入する工程:
水素、水素プラズマ及びヒドラジンからなる群より少なくとも1種選択される還元剤を、前記反応器に導入して、前記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させ、そして前記1以上の基材上にケイ素含有膜を堆積する工程。
〈15〉 次の式Aの有機アミノシラン:
R 1 は、C 3 〜C 10 の直鎖若しくは分岐鎖のアルキル基;C 3 〜C 10 の環状アルキル基;C 5 〜C 10 の芳香族基;C 3 〜C 10 の飽和若しくは不飽和の複素環基;水素原子;C 2 〜C 10 の直鎖若しくは分岐鎖のアルケニル基;C 1 〜C 10 のアルコキシ基;C 1 〜C 10 のアルキルアミノ基から独立して選択されるが、
式AのR及びR 1 は、次の群より選択されるものである:
(a)R及びR 1 が結合して、5員若しくは6員の、複素環式の置換又は非置換の芳香族基を形成していること;
(b)R及びR 1 が結合して、5員若しくは6員の、複素環式の置換又は非置換の脂肪族環を形成していること;
(c)R及びR 1 が同じ置換基であるが、その両方が次の基とはならないこと:エチル基、イソプロピル基、tert−ブチル基、イソブチル基、sec−ブチル基、n−ブチル基、t−ペンチル基及びsec−ペンチル基;
(d)R及びR 1 が異なる置換基であること;及び
(e)Rが、C 5 〜C 6 の環状アルキル基から選択され、かつR 1 が、直鎖又は分岐鎖のC 1 〜C 3 のアルキル基及びC 5 〜C 6 の環状アルキル基からなる群より選択されること。)。
〈16〉 R及びR 1 が結合して、5員若しくは6員の複素環式の置換若しくは非置換の芳香環を形成し、かつN−シリルピロール、N−シリル−2,5−ジメチルピロール及び1−シリル−7−アザインドールからなる群より選択される、項目15に記載の有機アミノシラン。
〈17〉 R及びR 1 が結合して、5員若しくは6員の複素環式の置換若しくは非置換の脂肪族環を形成し、かつ2,6−ジメチルモルホリノシラン、2−メチルピロリジノシラン及びN−シリルデカヒドロキノリンからなる群より選択される、項目15に記載の有機アミノシラン。
〈18〉 R及びR 1 が同じ置換基であり、かつジシクロヘキシルアミノシランを含む、項目15に記載の有機アミノシラン。
〈19〉 R及びR 1 が異なる置換基であり、かつN−プロピル−イソプロピルアミノシラン、N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、アリルフェニルアミノシラン、N−イソプロピルシクロヘキシルアミノシラン、アリルシクロペンチルアミノシラン、フェニルシクロヘキシルアミノシラン及び2−(N−シリルメチルアミノ)ピリジンからなる群より選択される、項目15に記載の有機アミノシラン。
〈20〉 Rが、C 5 〜C 6 の環状アルキル基から選択され、かつR 1 が、直鎖又は分岐鎖のC 1 〜C 3 のアルキル基又はC 5 〜C 6 の環状アルキル基からなる群より選択される、項目15に記載の有機アミノシラン。
〈21〉 以下の式Bの有機アミノシラン:
〈22〉 Rが、置換されたC 5 〜C 10 の芳香族基であり、前記芳香族基が、アルキル基、アルケニル基、アミノ基又はアルコキシ基の1つ以上で置換されている、項目21に記載の有機アミノシラン。
〈23〉 N−(4−メトキシフェニル)ジシラザン、N−(3−メトキシフェニル)ジシラザン、N−(2−メトキシフェニル)ジシラザン、 N−(4−クロロフェニル)ジシラザン、N−(2−クロロフェニル)ジシラザン、N−(2−エチルフェニル)ジシラザン、N−(2,6−ジエチルフェニル)ジシラザン、 N−(2−プロピルフェニル)ジシラザン、N−(4−t−ブチルフェニル)ジシラザン、N−(4−イソ−プロピルフェニル)ジシラザン、N−(2−イソ−プロピルフェニル)ジシラザン、N−(3−エチルフェニル)ジシラザン、N−(4−sec−ブチルフェニル)ジシラザン、N−(4−ビニルフェニル)ジシラザン、N−(3−メチルフェニル)ジシラザン、N−(4−メチルフェニル)ジシラザン、N−(2,4,6−トリメチルフェニル)ジシラザン、及びN−(2,6−ジ−イソプロピルフェニル)ジシラザンからなる群より選択される、項目22に記載の有機アミノシラン。
〈24〉 Rが、C 5 〜C 10 の複素環基であり、前記複素環は、その環にN原子又はO原子を有し、かつ前記複素環は、アルキル基、アルケニル基、アミノ基又はアルコキシ基の1つ以上で置換されることができる、項目21に記載の有機アミノシラン。
〈25〉 1−N−(2−ピリジル)ジシラザン、N,N−ジシリル−2−アミノピリミジン、N−(4−メチル−2−ピリジル)ジシラザン、N−(6−メチル−2−ピリジル)ジシラザン、N−(3−メチル−2−ピリジル)ジシラザン、N−(5−メチル−2−ピリジル)ジシラザン及びN−[2−(4−メチルピリミジノ)アミノ]ジシラザンからなる群より選択される、項目24に記載の有機アミノシラン。
〈26〉 Rは、置換されたC 2 〜C 10 のアルキル基であり、前記アルキル基は、ヘテロ原子(例えば、N、Cl、O)、アルキル基、芳香族基、アルキル基、アルキルアミノ基又はアルコキシ基の1つ以上で置換されている、項目21に記載の有機アミノシラン。
〈27〉 N−t−ペンチルジシラザン、N−(2−ジメチルアミノ−1−メチルエチル)ジシラザン、N−(2−ジメチルアミノエチル)ジシラザン、N−(1−シクロヘキシルエチル)ジシラザン、N,N−ジシリルクミルアミン、N−[3,3−ジメチルブチル−2]ジシラザン、N,N−ジシリル−2−ピコリルアミン、N,N−ジシリル−2−(2−ピリジル)エチルアミン及びN,N−ジシリル−1−(4−メチルフェニル)エチルアミンからなる群より選択される、項目26に記載の有機アミノシラン。
Claims (3)
- N−(4−メチル−2−ピリジル)ジシラザン、N−(6−メチル−2−ピリジル)ジシラザン、N−(3−メチル−2−ピリジル)ジシラザン及びN−(5−メチル−2−ピリジル)ジシラザンからなる群より選択される有機アミノシラン。
- 以下を含む、ケイ素含有膜の堆積用の組成物:
請求項1に記載の有機アミノシラン又はこれらの混合物;及び
エーテル、第三級アミン、ニトリル、アルキル炭化水素、芳香族炭化水素、第三級アミノエーテル及びこれらの混合物からなる群より選択される、溶媒。 - ALD又はサイクリックCVDから選択される堆積方法を用いて、結晶性シリコン膜、アモルファスシリコン膜、又はこれらの組合せから選択されるケイ素含有膜を、形成する方法であって、以下の工程を含み、これらの工程を所望の厚さの前記ケイ素含有膜が得られるまで繰り返す方法:
25℃から700℃の範囲の温度に加熱され、かつ1Torr以下の圧力で維持される反応器に、1以上の基材を置く工程;
少なくとも1種の請求項1に記載の有機アミノシラン又はこれらの混合物を、前記反応器に導入する工程;及び
水素、水素プラズマ及びヒドラジンからなる群より少なくとも1種選択される還元剤を、前記反応器に導入して、前記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させ、そして前記1以上の基材上にケイ素含有膜を堆積する工程。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161489486P | 2011-05-24 | 2011-05-24 | |
US61/489,486 | 2011-05-24 | ||
US13/474,076 US8771807B2 (en) | 2011-05-24 | 2012-05-17 | Organoaminosilane precursors and methods for making and using same |
US13/474,076 | 2012-05-17 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012119083A Division JP6404540B2 (ja) | 2011-05-24 | 2012-05-24 | 有機アミノシラン前駆体、並びにその製造方法及び使用方法 |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2014074236A JP2014074236A (ja) | 2014-04-24 |
JP2014074236A5 JP2014074236A5 (ja) | 2015-07-09 |
JP6498864B2 true JP6498864B2 (ja) | 2019-04-10 |
Family
ID=46197051
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012119083A Active JP6404540B2 (ja) | 2011-05-24 | 2012-05-24 | 有機アミノシラン前駆体、並びにその製造方法及び使用方法 |
JP2013266781A Active JP6498864B2 (ja) | 2011-05-24 | 2013-12-25 | 有機アミノシラン前駆体、並びにその製造方法及び使用方法 |
JP2017078434A Withdrawn JP2017171664A (ja) | 2011-05-24 | 2017-04-11 | 有機アミノシラン前駆体、並びにその製造方法及び使用方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012119083A Active JP6404540B2 (ja) | 2011-05-24 | 2012-05-24 | 有機アミノシラン前駆体、並びにその製造方法及び使用方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017078434A Withdrawn JP2017171664A (ja) | 2011-05-24 | 2017-04-11 | 有機アミノシラン前駆体、並びにその製造方法及び使用方法 |
Country Status (6)
Country | Link |
---|---|
US (2) | US8771807B2 (ja) |
EP (1) | EP2535343B1 (ja) |
JP (3) | JP6404540B2 (ja) |
KR (3) | KR101470876B1 (ja) |
CN (1) | CN102827198B (ja) |
TW (1) | TWI541248B (ja) |
Families Citing this family (412)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8771807B2 (en) * | 2011-05-24 | 2014-07-08 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for making and using same |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US20130217243A1 (en) * | 2011-09-09 | 2013-08-22 | Applied Materials, Inc. | Doping of dielectric layers |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US8728955B2 (en) * | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US10211310B2 (en) * | 2012-06-12 | 2019-02-19 | Novellus Systems, Inc. | Remote plasma based deposition of SiOC class of films |
US10832904B2 (en) * | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US9243324B2 (en) * | 2012-07-30 | 2016-01-26 | Air Products And Chemicals, Inc. | Methods of forming non-oxygen containing silicon-based films |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
JP5925673B2 (ja) * | 2012-12-27 | 2016-05-25 | 東京エレクトロン株式会社 | シリコン膜の成膜方法および成膜装置 |
JP6024484B2 (ja) * | 2013-01-29 | 2016-11-16 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US10573511B2 (en) * | 2013-03-13 | 2020-02-25 | Asm Ip Holding B.V. | Methods for forming silicon nitride thin films |
US10297442B2 (en) | 2013-05-31 | 2019-05-21 | Lam Research Corporation | Remote plasma based deposition of graded or multi-layered silicon carbide film |
KR101600337B1 (ko) * | 2013-06-07 | 2016-03-08 | (주)디엔에프 | 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막 |
JP6322305B2 (ja) * | 2013-07-31 | 2018-05-09 | 東京エレクトロン株式会社 | 薄膜の成膜方法 |
US9284642B2 (en) * | 2013-09-19 | 2016-03-15 | Asm Ip Holding B.V. | Method for forming oxide film by plasma-assisted processing |
US10453675B2 (en) * | 2013-09-20 | 2019-10-22 | Versum Materials Us, Llc | Organoaminosilane precursors and methods for depositing films comprising same |
EP3049499B1 (en) | 2013-09-27 | 2020-07-22 | L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude | Amine substituted trisilylamine and tridisilylamine compounds |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9905415B2 (en) * | 2013-10-03 | 2018-02-27 | Versum Materials Us, Llc | Methods for depositing silicon nitride films |
US9371579B2 (en) | 2013-10-24 | 2016-06-21 | Lam Research Corporation | Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films |
JP6272033B2 (ja) * | 2014-01-06 | 2018-01-31 | 株式会社Adeka | 原子層堆積法による酸化ケイ素又は酸窒化ケイ素薄膜の製造方法 |
TWI661072B (zh) | 2014-02-04 | 2019-06-01 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沈積 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
KR20150108664A (ko) * | 2014-03-18 | 2015-09-30 | 주식회사 유진테크 머티리얼즈 | 전구체 화합물 및 이를 이용한 박막 증착 방법, 어모퍼스 실리콘막의 증착방법 |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
EP3149010A4 (en) * | 2014-05-30 | 2017-11-08 | Dow Corning Corporation | Monoaminosilane compounds |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US9875888B2 (en) | 2014-10-03 | 2018-01-23 | Applied Materials, Inc. | High temperature silicon oxide atomic layer deposition technology |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10354860B2 (en) * | 2015-01-29 | 2019-07-16 | Versum Materials Us, Llc | Method and precursors for manufacturing 3D devices |
SG10201600832VA (en) * | 2015-02-06 | 2016-09-29 | Novellus Systems Inc | Conformal deposition of silicon carbide films |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
EP3268509A1 (en) * | 2015-03-12 | 2018-01-17 | Basf Se | Process for the generation of thin inorganic films |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11124876B2 (en) | 2015-03-30 | 2021-09-21 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Si-containing film forming precursors and methods of using the same |
US9777025B2 (en) | 2015-03-30 | 2017-10-03 | L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude | Si-containing film forming precursors and methods of using the same |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
WO2017023693A1 (en) * | 2015-07-31 | 2017-02-09 | Air Products And Chemicals, Inc. | Compositions and methods for depositing silicon nitride films |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10566185B2 (en) | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US11104990B2 (en) * | 2015-09-11 | 2021-08-31 | Versum Materials Us, Llc | Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
WO2017062614A1 (en) * | 2015-10-06 | 2017-04-13 | Versum Materials Us, Llc | Methods for depositing a conformal metal or metalloid silicon nitride film |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9786492B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US10858378B2 (en) * | 2015-12-18 | 2020-12-08 | Dow Silicones Corporation | Synthesis of disilanylamines through transamination |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10053775B2 (en) * | 2015-12-30 | 2018-08-21 | L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude | Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
CN109072426B (zh) * | 2016-02-26 | 2021-12-03 | 弗萨姆材料美国有限责任公司 | 组合物和使用其沉积含硅膜的方法 |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10559459B2 (en) * | 2016-03-11 | 2020-02-11 | Taiyo Nippon Sanso Corporation | Method for producing silicon nitride film and silicon nitride film |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
KR102378021B1 (ko) * | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US9803277B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Reaction chamber passivation and selective deposition of metallic films |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
TW201822259A (zh) * | 2016-09-09 | 2018-06-16 | 美商諾發系統有限公司 | 氧摻雜矽碳化物膜之基於遠程電漿的沉積 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10002787B2 (en) | 2016-11-23 | 2018-06-19 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
US10192734B2 (en) * | 2016-12-11 | 2019-01-29 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude | Short inorganic trisilylamine-based polysilazanes for thin film deposition |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9837270B1 (en) | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US11591692B2 (en) | 2017-02-08 | 2023-02-28 | Versum Materials Us, Llc | Organoamino-polysiloxanes for deposition of silicon-containing films |
US10822458B2 (en) | 2017-02-08 | 2020-11-03 | Versum Materials Us, Llc | Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US11094535B2 (en) | 2017-02-14 | 2021-08-17 | Asm Ip Holding B.V. | Selective passivation and selective deposition |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11081337B2 (en) * | 2017-03-15 | 2021-08-03 | Versum Materials U.S., LLC | Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials |
US11631580B2 (en) | 2017-03-15 | 2023-04-18 | Versum Materials Us, Llc | Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials |
US11193206B2 (en) * | 2017-03-15 | 2021-12-07 | Versum Materials Us, Llc | Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10847529B2 (en) | 2017-04-13 | 2020-11-24 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by the same |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10504901B2 (en) | 2017-04-26 | 2019-12-10 | Asm Ip Holding B.V. | Substrate processing method and device manufactured using the same |
JP7249952B2 (ja) | 2017-05-05 | 2023-03-31 | エーエスエム アイピー ホールディング ビー.ブイ. | 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス |
US11501965B2 (en) * | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
CN115233183A (zh) | 2017-05-16 | 2022-10-25 | Asm Ip 控股有限公司 | 电介质上氧化物的选择性peald |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
TWI761636B (zh) | 2017-12-04 | 2022-04-21 | 荷蘭商Asm Ip控股公司 | 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
JP6787875B2 (ja) * | 2017-12-20 | 2020-11-18 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
SG10201903201XA (en) | 2018-04-11 | 2019-11-28 | Versum Materials Us Llc | Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films |
JP7146690B2 (ja) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | 堆積および除去を使用した選択的層形成 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10840087B2 (en) | 2018-07-20 | 2020-11-17 | Lam Research Corporation | Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
WO2020081367A1 (en) | 2018-10-19 | 2020-04-23 | Lam Research Corporation | Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
KR102308644B1 (ko) * | 2018-11-15 | 2021-10-05 | 주식회사 유피케미칼 | 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법 |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US20200247830A1 (en) * | 2019-02-01 | 2020-08-06 | Versum Materials Us, Llc | Compositions And Methods Using Same for Silicon Containing Films |
US11649547B2 (en) | 2019-02-05 | 2023-05-16 | Versum Materials Us, Llc | Deposition of carbon doped silicon oxide |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
JP7509548B2 (ja) | 2019-02-20 | 2024-07-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US20210017198A1 (en) | 2019-04-05 | 2021-01-21 | Versum Materials Us, Llc | Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN113748226A (zh) * | 2019-04-25 | 2021-12-03 | 弗萨姆材料美国有限责任公司 | 用于氧化硅薄膜的高温原子层沉积的有机氨基二硅氮烷 |
KR20210150606A (ko) | 2019-05-01 | 2021-12-10 | 램 리써치 코포레이션 | 변조된 원자 층 증착 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
CN113227874A (zh) * | 2019-05-25 | 2021-08-06 | 国立大学法人东北大学 | 扫描镜及扫描镜的制造方法 |
US20220066198A1 (en) * | 2019-05-25 | 2022-03-03 | Tohoku University | Scanning mirror and manufacturing method for scanning mirror |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11186909B2 (en) * | 2019-08-26 | 2021-11-30 | Applied Materials, Inc. | Methods of depositing low-K films |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
JP7336365B2 (ja) * | 2019-11-19 | 2023-08-31 | 東京エレクトロン株式会社 | 膜をエッチングする方法及びプラズマ処理装置 |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
TW202140832A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽在金屬表面上之選擇性沉積 |
TW202140833A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 |
TW202204658A (zh) | 2020-03-30 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 在兩不同表面上同時選擇性沉積兩不同材料 |
US12071688B2 (en) | 2020-03-31 | 2024-08-27 | Entegris, Inc. | Precursors and methods for preparing silicon-containing films |
WO2021201910A1 (en) | 2020-04-02 | 2021-10-07 | Versum Materials Us, Llc | Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
CN112279665B (zh) * | 2020-11-06 | 2022-06-07 | 浙江华茂航天科技股份有限公司 | 一种吸波陶瓷先驱体及其制备方法和应用 |
US11447865B2 (en) | 2020-11-17 | 2022-09-20 | Applied Materials, Inc. | Deposition of low-κ films |
CN112420137B (zh) * | 2020-11-18 | 2024-02-06 | 齐鲁工业大学 | 一种球体包覆模型的构建方法以及界面吸附评价方法 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
WO2023195691A1 (ko) * | 2022-04-08 | 2023-10-12 | (주)디엔에프 | 실라잔 화합물을 포함하는 실리콘 함유 봉지막용 조성물 및 이를 이용하는 실리콘 함유 봉지막의 제조방법 |
WO2024112598A1 (en) * | 2022-11-22 | 2024-05-30 | Entegris, Inc. | Disilylamine precursors and related methods |
Family Cites Families (88)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB1008403A (en) | 1961-01-19 | 1965-10-27 | Secr Aviation | Polymeric compounds containing silicon-nitrogen bonds |
SE396216B (sv) * | 1973-03-15 | 1977-09-12 | Thomae Gmbh Dr K | Forfarande for framstellning av n-(2-amino-3,5-dibrombensyl)-n-metylcyklohexylamin |
US4200666A (en) | 1978-08-02 | 1980-04-29 | Texas Instruments Incorporated | Single component monomer for silicon nitride deposition |
US5008422A (en) | 1985-04-26 | 1991-04-16 | Sri International | Polysilazanes and related compositions, processes and uses |
FR2598520B1 (fr) | 1986-01-21 | 1994-01-28 | Seiko Epson Corp | Pellicule protectrice minerale |
US4863755A (en) | 1987-10-16 | 1989-09-05 | The Regents Of The University Of California | Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors |
GB8825864D0 (en) * | 1988-11-04 | 1988-12-07 | Tioxide Group Plc | Curable compositions |
US4992299A (en) | 1990-02-01 | 1991-02-12 | Air Products And Chemicals, Inc. | Deposition of silicon nitride films from azidosilane sources |
JP2637265B2 (ja) | 1990-06-28 | 1997-08-06 | 株式会社東芝 | 窒化珪素膜の形成方法 |
NL9001770A (nl) | 1990-08-06 | 1992-03-02 | Philips Nv | Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd). |
JPH04151839A (ja) | 1990-10-16 | 1992-05-25 | Kawasaki Steel Corp | シリコンオキシナイトライド膜の製造方法 |
JP3156326B2 (ja) | 1992-01-07 | 2001-04-16 | 富士通株式会社 | 半導体成長装置およびそれによる半導体成長方法 |
JPH06132284A (ja) | 1992-10-22 | 1994-05-13 | Kawasaki Steel Corp | 半導体装置の保護膜形成方法 |
JPH06132276A (ja) | 1992-10-22 | 1994-05-13 | Kawasaki Steel Corp | 半導体膜形成方法 |
US5382550A (en) | 1993-08-05 | 1995-01-17 | Micron Semiconductor, Inc. | Method of depositing SiO2 on a semiconductor substrate |
JP3336770B2 (ja) | 1993-12-27 | 2002-10-21 | ソニー株式会社 | 絶縁膜の形成方法 |
JP3230029B2 (ja) | 1994-05-30 | 2001-11-19 | 富士通株式会社 | Iii−v族化合物半導体結晶成長方法 |
JPH0899979A (ja) * | 1994-08-01 | 1996-04-16 | Shin Etsu Chem Co Ltd | ジシリルアミンの製造方法 |
JPH08227890A (ja) | 1995-02-21 | 1996-09-03 | Sony Corp | 半導体基体の保護絶縁膜形成方法 |
US20010012700A1 (en) | 1998-12-15 | 2001-08-09 | Klaus F. Schuegraf | Semiconductor processing methods of chemical vapor depositing sio2 on a substrate |
US5874368A (en) | 1997-10-02 | 1999-02-23 | Air Products And Chemicals, Inc. | Silicon nitride from bis(tertiarybutylamino)silane |
KR20010032764A (ko) | 1997-12-02 | 2001-04-25 | 베리 아이클스 | 아이오도사일렌 전구체로부터 형성된 실리콘계 필름과 그제조방법 |
JP2000195801A (ja) | 1998-12-24 | 2000-07-14 | Fujitsu Ltd | 半導体装置の製造方法 |
US6153261A (en) | 1999-05-28 | 2000-11-28 | Applied Materials, Inc. | Dielectric film deposition employing a bistertiarybutylaminesilane precursor |
JP2001156063A (ja) | 1999-11-24 | 2001-06-08 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法および半導体製造装置 |
JP3819660B2 (ja) | 2000-02-15 | 2006-09-13 | 株式会社日立国際電気 | 半導体装置の製造方法および半導体製造装置 |
US6486015B1 (en) | 2000-04-25 | 2002-11-26 | Infineon Technologies Ag | Low temperature carbon rich oxy-nitride for improved RIE selectivity |
US6630413B2 (en) | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
WO2002017374A1 (en) | 2000-08-18 | 2002-02-28 | Tokyo Electron Limited | Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof |
US6268299B1 (en) | 2000-09-25 | 2001-07-31 | International Business Machines Corporation | Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability |
TW473915B (en) | 2000-12-29 | 2002-01-21 | Applied Materials Inc | Manufacture method of silicon nitride layer |
US6500772B2 (en) | 2001-01-08 | 2002-12-31 | International Business Machines Corporation | Methods and materials for depositing films on semiconductor substrates |
KR101050377B1 (ko) | 2001-02-12 | 2011-07-20 | 에이에스엠 아메리카, 인코포레이티드 | 반도체 박막 증착을 위한 개선된 공정 |
US7005392B2 (en) * | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US7084080B2 (en) | 2001-03-30 | 2006-08-01 | Advanced Technology Materials, Inc. | Silicon source reagent compositions, and method of making and using same for microelectronic device structure |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
JP2003166060A (ja) | 2001-11-30 | 2003-06-13 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法 |
US6559074B1 (en) | 2001-12-12 | 2003-05-06 | Applied Materials, Inc. | Method of forming a silicon nitride layer on a substrate |
US20030124818A1 (en) | 2001-12-28 | 2003-07-03 | Applied Materials, Inc. | Method and apparatus for forming silicon containing films |
WO2004009861A2 (en) | 2002-07-19 | 2004-01-29 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
KR20050018641A (ko) | 2002-07-19 | 2005-02-23 | 에비자 테크놀로지, 인크. | 아미노실란 및 오존을 이용한 저온 유전체 증착 |
JP2005534179A (ja) | 2002-07-19 | 2005-11-10 | アヴィザ テクノロジー インコーポレイテッド | アミノシランとオゾンを用いる低温誘電体蒸着法 |
JP2005536055A (ja) | 2002-08-18 | 2005-11-24 | アヴィザ テクノロジー インコーポレイテッド | 酸化シリコン及び酸窒化シリコンの低温堆積 |
JP4358492B2 (ja) | 2002-09-25 | 2009-11-04 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
US7446217B2 (en) * | 2002-11-14 | 2008-11-04 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films |
US7531679B2 (en) | 2002-11-14 | 2009-05-12 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
US7365029B2 (en) | 2002-12-20 | 2008-04-29 | Applied Materials, Inc. | Method for silicon nitride chemical vapor deposition |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
JP4403824B2 (ja) | 2003-05-26 | 2010-01-27 | 東京エレクトロン株式会社 | シリコン窒化膜の成膜方法 |
JP4717335B2 (ja) | 2003-06-30 | 2011-07-06 | 弘 中山 | 膜形成方法、膜、及び素子 |
US7125582B2 (en) | 2003-07-30 | 2006-10-24 | Intel Corporation | Low-temperature silicon nitride deposition |
US6974781B2 (en) | 2003-10-20 | 2005-12-13 | Asm International N.V. | Reactor precoating for reduced stress and uniform CVD |
JP2005210076A (ja) | 2003-12-25 | 2005-08-04 | Semiconductor Leading Edge Technologies Inc | 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法 |
US7470450B2 (en) | 2004-01-23 | 2008-12-30 | Intel Corporation | Forming a silicon nitride film |
JP2005213633A (ja) | 2004-02-02 | 2005-08-11 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
JP4279176B2 (ja) | 2004-03-02 | 2009-06-17 | 株式会社アルバック | シリコン窒化膜の形成方法 |
US7098150B2 (en) | 2004-03-05 | 2006-08-29 | Air Liquide America L.P. | Method for novel deposition of high-k MSiON dielectric films |
US20060019032A1 (en) * | 2004-07-23 | 2006-01-26 | Yaxin Wang | Low thermal budget silicon nitride formation for advance transistor fabrication |
JP2006042214A (ja) | 2004-07-29 | 2006-02-09 | Nec Electronics Corp | 半導体装置およびicタグ |
JP4470023B2 (ja) | 2004-08-20 | 2010-06-02 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | シリコン窒化物膜の製造方法 |
US20060045986A1 (en) | 2004-08-30 | 2006-03-02 | Hochberg Arthur K | Silicon nitride from aminosilane using PECVD |
US20060051975A1 (en) | 2004-09-07 | 2006-03-09 | Ashutosh Misra | Novel deposition of SiON dielectric films |
US20060062913A1 (en) | 2004-09-17 | 2006-03-23 | Yun-Ren Wang | Process for depositing btbas-based silicon nitride films |
US7332618B2 (en) * | 2004-09-28 | 2008-02-19 | Praxair Technology, Inc. | Organometallic precursor compounds |
WO2006087893A1 (ja) | 2005-02-17 | 2006-08-24 | Hitachi Kokusai Electric Inc. | 基板処理方法および基板処理装置 |
JP2006261434A (ja) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | シリコン酸化膜の形成方法 |
JP4228150B2 (ja) | 2005-03-23 | 2009-02-25 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US20060228903A1 (en) | 2005-03-30 | 2006-10-12 | Mcswiney Michael L | Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films |
US7875556B2 (en) * | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US7601652B2 (en) | 2005-06-21 | 2009-10-13 | Applied Materials, Inc. | Method for treating substrates and films with photoexcitation |
US7875312B2 (en) | 2006-05-23 | 2011-01-25 | Air Products And Chemicals, Inc. | Process for producing silicon oxide films for organoaminosilane precursors |
US20080207007A1 (en) | 2007-02-27 | 2008-08-28 | Air Products And Chemicals, Inc. | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
JP4589984B2 (ja) * | 2007-06-08 | 2010-12-01 | 東京エレクトロン株式会社 | 微細パターンの形成方法 |
CN101889331A (zh) * | 2007-09-18 | 2010-11-17 | 乔治洛德方法研究和开发液化空气有限公司 | 形成含硅膜的方法 |
EP2242870B1 (en) * | 2008-02-01 | 2012-06-20 | L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method using new metal precursors containing beta-diketiminato ligands |
US7999355B2 (en) * | 2008-07-11 | 2011-08-16 | Air Products And Chemicals, Inc. | Aminosilanes for shallow trench isolation films |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
JP5275094B2 (ja) * | 2009-03-13 | 2013-08-28 | 東京エレクトロン株式会社 | 基板処理方法 |
CN102574876A (zh) | 2009-07-06 | 2012-07-11 | 琳德股份公司 | 溶液基前体 |
KR20120060843A (ko) | 2009-08-14 | 2012-06-12 | 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 | 박막 증착용 실란 블렌드 |
US8535760B2 (en) * | 2009-09-11 | 2013-09-17 | Air Products And Chemicals, Inc. | Additives to silane for thin film silicon photovoltaic devices |
US20110136347A1 (en) * | 2009-10-21 | 2011-06-09 | Applied Materials, Inc. | Point-of-use silylamine generation |
US8461367B2 (en) * | 2010-01-15 | 2013-06-11 | Shin-Etsu Chemical Co., Ltd. | Preparation process of trisilylamine |
US8912353B2 (en) | 2010-06-02 | 2014-12-16 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for depositing films comprising same |
US8771807B2 (en) * | 2011-05-24 | 2014-07-08 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for making and using same |
-
2012
- 2012-05-17 US US13/474,076 patent/US8771807B2/en active Active
- 2012-05-23 TW TW101118402A patent/TWI541248B/zh active
- 2012-05-24 KR KR1020120055643A patent/KR101470876B1/ko active IP Right Grant
- 2012-05-24 EP EP12169229.7A patent/EP2535343B1/en active Active
- 2012-05-24 JP JP2012119083A patent/JP6404540B2/ja active Active
- 2012-05-24 CN CN201210288836.7A patent/CN102827198B/zh active Active
-
2013
- 2013-12-25 JP JP2013266781A patent/JP6498864B2/ja active Active
-
2014
- 2014-05-30 US US14/291,818 patent/US9005719B2/en active Active
- 2014-11-18 KR KR20140160689A patent/KR20140142209A/ko active Application Filing
-
2017
- 2017-04-11 JP JP2017078434A patent/JP2017171664A/ja not_active Withdrawn
-
2020
- 2020-04-29 KR KR1020200052852A patent/KR20200051543A/ko not_active Application Discontinuation
Also Published As
Publication number | Publication date |
---|---|
KR20200051543A (ko) | 2020-05-13 |
CN102827198B (zh) | 2016-02-17 |
JP2017171664A (ja) | 2017-09-28 |
TW201247690A (en) | 2012-12-01 |
US20130129940A1 (en) | 2013-05-23 |
KR20120132403A (ko) | 2012-12-05 |
EP2535343A2 (en) | 2012-12-19 |
JP2014074236A (ja) | 2014-04-24 |
US8771807B2 (en) | 2014-07-08 |
US20140272194A1 (en) | 2014-09-18 |
JP2012248844A (ja) | 2012-12-13 |
KR20140142209A (ko) | 2014-12-11 |
CN102827198A (zh) | 2012-12-19 |
KR101470876B1 (ko) | 2014-12-09 |
EP2535343A3 (en) | 2013-03-27 |
US9005719B2 (en) | 2015-04-14 |
JP6404540B2 (ja) | 2018-10-10 |
EP2535343B1 (en) | 2016-03-30 |
TWI541248B (zh) | 2016-07-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6498864B2 (ja) | 有機アミノシラン前駆体、並びにその製造方法及び使用方法 | |
JP7177209B2 (ja) | 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法 | |
JP6100734B2 (ja) | アザ−ポリシラン前駆体、及びそれを含む膜の堆積方法 | |
JP6445375B2 (ja) | 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法 | |
JP5650589B2 (ja) | 有機アミノシラン前駆体及びこれを含む膜の堆積方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20150525 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20150525 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20160519 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20160607 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20160906 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20161207 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20170314 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20170613 |
|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20170712 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20170914 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20171128 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20180328 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20180404 |
|
A912 | Re-examination (zenchi) completed and case transferred to appeal board |
Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20180608 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20190314 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6498864 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |