JP2007051363A - Cvd炭窒化ケイ素膜用前駆体 - Google Patents

Cvd炭窒化ケイ素膜用前駆体 Download PDF

Info

Publication number
JP2007051363A
JP2007051363A JP2006135313A JP2006135313A JP2007051363A JP 2007051363 A JP2007051363 A JP 2007051363A JP 2006135313 A JP2006135313 A JP 2006135313A JP 2006135313 A JP2006135313 A JP 2006135313A JP 2007051363 A JP2007051363 A JP 2007051363A
Authority
JP
Japan
Prior art keywords
precursor
group
formula
nitrogen source
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006135313A
Other languages
English (en)
Other versions
JP2007051363A5 (ja
JP4680832B2 (ja
Inventor
Manchao Xiao
マンチャオ シャオ
Arthur Kenneth Hochberg
ケネス ホッホバーグ アーサー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=36809281&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2007051363(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2007051363A publication Critical patent/JP2007051363A/ja
Publication of JP2007051363A5 publication Critical patent/JP2007051363A5/ja
Application granted granted Critical
Publication of JP4680832B2 publication Critical patent/JP4680832B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

【課題】炭窒化ケイ素膜形成用前駆体の化学気相成長により基材上に炭窒化ケイ素膜を形成するための方法を提供する。
【解決手段】以下の式、即ち、
Figure 2007051363

及びそれらの混合物によって表され、アミノシランからなる群より選択された前駆体を用いることを含む方法。
【選択図】なし

Description

半導体デバイスの製作においては、窒化ケイ素(Si34)又は炭窒化ケイ素(Sixyz)のような化学的に不活性な誘電材料の薄い不動態層が必須である。窒化ケイ素の薄層は、拡散マスク、酸化バリアー、トレンチアイソレーション、高絶縁破壊電圧を備えた金属間誘電材料及びパッシベーション層として機能する。半導体デバイスの製作における窒化ケイ素被膜について数多くの応用が他で報告されており、Semiconductor and Process technology handbook,Gary E.McGuire編,Noyes Publication,New Jersey(1988),pp289〜301(非特許文献1)並びにSilicon Processing for the VLSI ERA,Wolf,Stanley及びTalbert,Richard N.,Lattice Press,Sunset Beach,Calif.(1990),pp20〜22,327〜330(非特許文献2)が参照される。
最近の半導体デバイスの多くは、非常に低いエッチ速度若しくは非常に高い膜応力又はその両方を有する誘電膜を必要とする。さらには、良好な電気特性を維持しながら、600℃未満の温度で膜が形成されることが好ましく必要な場合がある。膜の硬さは電気部品の設計の際に考慮すべき別の因子であり、窒化ケイ素膜は実に極めて硬い膜を提供する。
窒化ケイ素被膜を形成するための商業的な方法の1つは、前駆体反応体としてジクロロシランとアンモニアを用いる。ジクロロシラン及びアンモニアのような前駆体を使用する低圧化学気相成長(LPCVD)は、最良の膜特性を獲得するために高い堆積温度を必要とする。例えば、750℃よりも高い温度が、適度な成長速度と均一性を得るために必要とされる場合がある。他の処理の問題は、塩素及び塩素副生成物の危険な側面を包含している。
以下の論文及び特許は、エレクトロニクス産業において用いられるオルガノシランの合成及び堆積プロセスに関して当技術分野の代表的なものとして引用される。
A.K.Hochberg及びD.L.O’MearaによるDiethylsilane as a Silicon Source for the Deposition of Silicon Nitride and Silicon Oxynitride Films By LPCVD,Mat.Res.Soc.Symp.Proc.,204巻(1991),pp509〜514(非特許文献3)は、LPCVDによるジエチルシランとアンモニア及び一酸化窒素を用いた窒化ケイ素及びオキシ窒化ケイ素の形成を開示している。堆積は、650℃〜700℃の温度範囲において実施される。より低い温度では堆積速度が4Å/分未満に低下するので、堆積は一般に650℃の温度に制限される。
SoritaらによるMass Spectrometric and Kinetic Study of Low−Pressure Chemical Vapor Deposition of Si34 Thin Films From SiH2Cl2 and NH3,J.Electro.Chem.Soc.,141巻,No.12(1994),pp3505〜3511(非特許文献4)は、LPCVD法によるジクロロシランとアンモニアを用いた窒化ケイ素の堆積を記載している。塩化アンモニウムの形成は、チューブの後端並びに配管ライン及びポンピングシステムにおける塩化アンモニウムの粒子形成及び堆積を招く。
Aylett及びEmsleyによるThe Preparation and Properties of Dimethylamino and Diethylamino Silane,J.Chem.Soc.(A),p652〜655,1967(非特許文献5)は、ヨードシランと各ジアルキルアミンの反応によるジメチルアミノ及びジエチルアミノシランの調製を開示している。
Anderson及びRankinによるIsopropyldisilylamino and Disilyl−t−butylamine:Preparation,Spectroscopic Properties,and Molecular Structure in the Gas Phase,Determined by Electron Diffraction,J.Chem.Soc.Dalton Trans.,p779〜783,1989(非特許文献6)は、式NR(SiH32のジシリルアミン、例えば、イソプロピルジシリルアミン及びジシリル−t−ブチルアミンの合成を開示しており、対応するメチルジシリルアミンとの分光学的な比較を与えている。
特開平6−132284号公報(特許文献1)は、アンモニア又は窒素の存在下でプラズマ化学気相成長又は熱化学気相成長による、一般式(R12N)nSiH4-n(式中、R1及びR2はH−、CH3−、C25−、C37−、C49−のいずれかである)を有するオルガノシランを用いた窒化ケイ素膜の形成を記載している。
米国特許第5,234,869号明細書(特許文献2)は、反応体ガスとしてSi(N(CH324とアンモニアを用いたCVDによる窒化ケイ素膜の形成を開示している。700℃のチャンバー温度と0.5Torrの圧力を堆積のために用いている。アンモニア又は窒素と組み合わせたSiH(N(CH323、SiH2(N(CH322及びSiH3(N(CH32)からなる群より選択された他の反応体も反応体として提案されている。ガスに紫外線ビームを照射することで生成するプラズマにより、温度が300℃に低下するということも開示されている。
米国特許第5,874,368号明細書(特許文献3)は、前駆体としてビス(三級ブチルアミノ)シランを使用して、500℃〜800℃の温度範囲で低圧化学気相成長により窒化ケイ素を堆積させることを教示している。
米国特許第5,874,368(特許文献3)及び同第6,153,261号明細書(特許文献4)は、ケイ素反応体ガスとしてビス(三級ブチルアミノ)シランを用いた窒化ケイ素膜の形成を開示している。膜を生成するのにLPCVDを用いている。
米国特許第6,391,803号明細書(特許文献5)は、第1反応体として式Si(N(CH324、SiH(N(CH323、SiH2(N(CH322、SiH3(N(CH32)のシラン、好ましくはトリスジメチルアミノシランを用いた原子層堆積によるケイ素含有薄膜の形成を開示している。第1反応体の一部は基材上に化学吸着され、第2の部分が基材上に物理吸着される。反応体はパージされ、第2反応体、即ち、NH3が導入される。
特開平6−132284号公報 米国特許第5,234,869号明細書 米国特許第5,874,368号明細書 米国特許第6,153,261号明細書 米国特許第6,391,803号明細書 Semiconductor and Process technology handbook,Gary E.McGuire編,Noyes Publication,New Jersey(1988),pp289〜301 Silicon Processing for the VLSI ERA,Wolf,Stanley及びTalbert,Richard N.,Lattice Press,Sunset Beach,Calif.(1990),pp20〜22,327〜330 A.K.Hochberg及びD.L.O’MearaによるDiethylsilane as a Silicon Source for the Deposition of Silicon Nitride and Silicon Oxynitride Films By LPCVD,Mat.Res.Soc.Symp.Proc.,204巻(1991),pp509〜514 SoritaらによるMass Spectrometric and Kinetic Study of Low−Pressure Chemical Vapor Deposition of Si3N4 Thin Films From SiH2Cl2 and NH3,J.Electro.Chem.Soc.,141巻,No.12(1994),pp3505〜3511 Aylett及びEmsleyによるThe Preparation and Properties of Dimethylamino and Diethylamino Silane,J.Chem.Soc.(A),p652〜655,1967 Anderson及びRankinによるIsopropyldisilylamino and Disilyl−t−butylamine:Preparation,Spectroscopic Properties,and Molecular Structure in the Gas Phase,Determined by Electron Diffraction,J.Chem.Soc.Dalton Trans.,p779〜783,1989
CVD法による一般式Sixyzの炭窒化ケイ素膜の生成を可能にする液体アミノシランのクラスが見出された。これらのアミノシランは、これまで用いられている幾つかの前駆体とは対照的に、室温及び室圧において液体であり、好都合な取扱いを可能にする。加えて、本発明は、このような膜を生成させるための堆積方法に関する。
これらのクラスの化合物は、一般に以下の式、即ち、
Figure 2007051363
及びそれらの混合物によって表され、式中、Rは、直鎖、分枝若しくは環状の飽和若しくは不飽和のC1〜C10アルキル基、芳香族、複素環、又は式Cのシリルから選択され、R1は、直鎖、分枝又は環状の飽和又は不飽和のC2〜C10アルキル基、芳香族、複素環、水素、シリル基から選択され、置換基を有していても又は有していなくてもよく、式A中のRとR1を結合して環状基(CH2n(式中、nは1〜6、好ましくは4及び5である)にすることも可能であり、R2は、単結合、(CH2n鎖、環、SiR2又はSiH2を表す。好ましい化合物は、RとR1の両方が少なくとも2個の炭素原子を有するようなものである。
CVD法において用いられる前駆体は数多くの利点を達成することができ、これらの利点としては、
プラズマ堆積の問題を招くことなく、低い熱条件において誘電膜の形成を促進できること;
Si−C結合/Si−N結合の比を制御すること及びそれにより得られる膜の特性を制御することを可能にするために、種々の化学量論量においてアミノシランを他の前駆体、例えば、アンモニアと混合できること;
高い屈折率及び膜応力を有する膜を生成できること;
低い酸エッチ速度を有する膜を生成できること;
高密度の膜を生成できること;
塩素汚染を避けながら膜を生成できること;
製造可能なバッチ炉(100個以上のウェハ)において低い圧力(20mTorr〜2Torr)で操作できること;並びに
低温、例えば、550℃以下の低い温度でSixyz膜を生成できること
が挙げられる。
分子構造における重要な特徴としてN−SiH3基を有する液体オルガノアミノシランのクラスが、エレクトロニクス産業においてCVDにより炭窒化ケイ素膜を生成するための前駆体として好適であることが見出された。これらの化合物は、種々の条件下におけるSixyz膜の生成に役立つ。
本発明の化合物は、大気圧及び室温、即ち、25℃において液体であり、したがって、トリメチル置換のアミノシランについて報告されている使用よりも有意な利点を提供する。これらの化合物は、アミノ基の鎖中に少なくとも2個の炭素原子を有する有機基で置換されており、従来の取扱い及び処理条件下において安定性を提供する。
アミノシランの1つのクラスは、以下のように式Aによって表される。
Figure 2007051363
このクラスの化合物において、Rは、直鎖、分枝又は環状の飽和又は不飽和のC1〜C10アルキル基、芳香族、複素環から選択される。R1は、直鎖、分枝又は環状の飽和又は不飽和のC2〜C10アルキル基、芳香族、複素環、水素、シリル基から選択され、置換基を有していても又は有していなくてもよく、RとR1を結合して環状基にすることも可能である。代表的な置換基は、アルキル基、特にはC2〜C4アルキル基、例えば、エチル、プロピル及びブチル(それらの異性体を含む);環状基、例えば、シクロプロピル、シクロペンチル及びシクロヘキシルである。このクラスに含まれる幾つかの好ましい化合物の例示的なものは、以下の式
Figure 2007051363
によって表され、式中、nは1〜6、好ましくは4又は5である。
アミノシランの第2のクラスは、式B
Figure 2007051363
によって表されるように、1つの窒素原子から垂れ下がった2個のシリル基を有する。クラスAの化合物のR基の場合と同様に、Rは、直鎖、分枝又は環状の飽和又は不飽和のC2〜C10アルキル基、芳香族、複素環から選択される。特定のR基は、メチル、エチル、プロピル、アリル及びブチル、並びに環状基、例えば、シクロプロピル、シクロペンチル及びシクロヘキシルを含む。例示的な化合物は、以下の式
Figure 2007051363
によって表される。
アミノシラン化合物の第3のクラスは、式Cによって表される。これらの化合物は、一般に、Rが式A中のR及びR1と同じであり、R2基が窒素原子を架橋しているジアミノジシリル化合物である。R2基は、窒素原子間の単結合にすぎない場合があるか又は架橋基、例えば、SiR2、SiH2、鎖又は環であることができる。式は以下のとおりである。
Figure 2007051363
特定の例は、以下の式
Figure 2007051363
によって表されるものを含む。
これらの化合物は、一般に以下の反応によって合成され、これらの反応はまた例1、2、3及び4によって示される。
Figure 2007051363
上記の一連の反応は、記載されるアミノシランへの経路を示すものであるが、シラン前駆体の他の供給源を使用することもできる。この経路は、反応化学量論及び種々のアミンの使用によってモノ及びジシリル化合物が生成されるか否かについて、むしろ簡単な制御を可能にする。
これらの化合物の幾つかは、The Preparation and Properties of Dimethylamino and Diethylamino Silane[Aylett及びEmsley,J.Chem.Soc.(A),p652〜655,1967]において記載されているように、モノハロシランと対応するアミンの反応によって合成することもできる。
Figure 2007051363
この反応に十分適した代表的なアミンは、アルキル、環状及び複素環のものである。好ましいアミンは、低級アルキルアミン、例えば、エチル、イソプロピル、t−ブチル及びシクロヘキシルである。さらには、アミンは、所望の生成物に応じて第1級又は第2級であることができる。
炭窒化ケイ素膜の形成においては、モノ又はジアミノシランは、任意選択でアンモニア又は窒素源とともに、堆積チャンバーにおいて通常の堆積温度で反応させることができる。このような膜は、化学気相成長(CVD)、低圧化学気相成長(LPCVD)、プラズマCVD(PECVD)、原子層堆積(ALD)などのために設計された堆積チャンバーにおいて形成することができる。本明細書で用いられるCVDという用語は、半導体の堆積において用いられるこれらプロセスのそれぞれを包含するものである。
利点において記載したように、本明細書に記載される液体アミノシランにより、一般的な温度範囲は500〜700℃であるが、多くの場合において、製造業者が比較的低温でCVDによって炭窒化ケイ素膜を形成できるようになる。意外にも、Sixyz膜の堆積は、恐らくは1つ又は複数のSiH3基の高い活性のために達成することができる。ケイ素中心のアンモニアアミノ基転移反応に関する低い立体障害により、比較的低温で窒素濃度を増加するとともに、これらの化合物がアンモニアと反応し、膜を堆積することが可能になると考えられる。
アミノシラン前駆体の堆積は、活性窒素源、例えば、ヒドラジン、ジメチルヒドラジン又はアンモニアがなくても又はその存在下において実施することができる。窒素源:アミノシランのモル比は、一般に広く、0から10:1よりも大きい範囲内にある。上限は前駆体に対する希釈効果によって制限され、この希釈効果は堆積速度を相当に減少させる。好ましい範囲は0.1〜4:1である。堆積による膜の形成はまた、不活性ガス、例えば、窒素及びヘリウムを含む他のガスの有無に関係なく実施することができる。前駆体の対応する希釈を達成するための製造業者によるガスの使用は、堆積の一致性を改善することができるか又は化学気相浸透に関する浸透を改善することができる。
低圧化学気相成長法(LPCVD)は、種々の基材、例えば、シリコン上で20mTorr〜20Torrの圧力範囲内で起こる化学反応を伴う。高圧のCVDでは、所望の基材に達する前に気相核形成又は予備堆積が生じる場合がある。アミノシラン前駆体の希釈が、このような高圧反応のために必要とされる場合がある。幾つかのアミノシラン前駆体に関する低圧堆積は、商業的には利用できないレベルの堆積速度を示す場合がある。しかしながら、このようなアミノシランは、原子層堆積に適している場合がある。
堆積プロセスの実施においては、本明細書に記載されるアミノシランは、他のシリル前駆体と混合して膜の特性を変更することができる。他の前駆体の例は、ビス−tert−ブチルアミノシラン、トリス−イソ−プロピルアミノシラン、ビス−ジエチルアミノシラン、トリス−ジメチルアミノシラン、及びビス−イソ−プロピルアミノシランを含む。
以下の例は、種々のシランの合成及び炭窒化ケイ素膜形成用前駆体のLPCVDを含む本発明の種々の実施態様を説明するものである。
[例1]
[ジエチルアミノシランの合成]
トリフルオロメタンスルホン酸50g(0.33mol)とトルエン100mlを250mlのフラスコに添加した。窒素の保護下でフラスコを−40℃に冷却した。トリルシラン40.6g(0.33mol)をゆっくりと添加した。次いで、フラスコを−60℃に冷却した。トリエチルアミン33.5gをゆっくりと添加し、次いでジエチルアミン24gを添加した。添加後、フラスコの温度を室温まで徐々に温めた。2つの液層が形成された。分液漏斗を用いて上層を分離した。減圧蒸留によりジエチルアミノシラン25gを得た。ジエチルアミノシランの沸点は、210mmHgにおいて40〜42℃であった。
[例2]
[ジ−イソ−プロピルアミノシランの合成]
トリフルオロメタンスルホン酸50g(0.33mol)とペンタン80mlを250mlのフラスコに添加した。窒素の保護下でフラスコを−40℃に冷却した。フェニルシラン35.6g(0.33mol)をゆっくりと添加した。次いで、フラスコを−60℃に冷却した。トリエチルアミン33.3g(0.33mol)をゆっくりと添加し、次いでペンタン15ml中ジ−イソ−プロピルアミン33.3g(0.33mol)の溶液を添加した。添加後、フラスコの温度を室温まで徐々に温めた。2つの液層が形成された。分液漏斗を用いて上層を分離した。蒸留により溶媒と副生成物のベンゼンを除去した。減圧蒸留によりジ−イソ−プロピルアミノシラン30gを得た。ジ−イソ−プロピルアミノシランの沸点は、106mmHgにおいて55℃であった。
[例3]
[シクロヘキシルジシリルアミン及び2,4−ジシクロヘキシル−2,4−ジアザ−1,3,5−トリシラペンタンの合成]
トリフルオロメタンスルホン酸62.5gとペンタン100mlを500mlのフラスコに添加した。窒素の保護下でフラスコを−40℃に冷却した。フェニルシラン45gをゆっくりと添加した。次いで、フラスコを−60℃に冷却した。トリエチルアミン42gをゆっくりと添加し、次いでペンタン15ml中シクロヘキシルアミン20.6gの溶液を添加した。添加後、フラスコの温度を室温まで徐々に温めた。2つの液層が形成された。分液漏斗を用いて上層を分離した。蒸留により溶媒と副生成物のベンゼンを除去した。減圧蒸留によりシクロヘキシルジシリルアミン15gを得た。シクロヘキシルジシリルアミンの沸点は、17mmHgにおいて54〜55℃であった。残りの高沸点部分は、96.6%の2,4−ジシクロヘキシル−2,4−ジアザ−1,3,5−トリシラペンタンを含有している。
[例4]
[シクロヘキシルジシリルアミン及び2,4−ジ−tert−ブチル−2,4−ジアザ−1,3,5−トリシラペンタンの合成]
トリフルオロメタンスルホン酸50.0g(0.33mol)とペンタン100mlを500mlのフラスコに添加した。窒素の保護下でフラスコを−40℃に冷却した。フェニルシラン35.6g(0.33mol)をゆっくりと添加した。次いで、フラスコを−60℃に冷却した。トリエチルアミン33.3gをゆっくりと添加し、次いでペンタン15ml中ビス−t−ブチルアミノシラン28.7g(0.165mol)の溶液を添加した。添加後、フラスコの温度を室温まで徐々に温めた。2つの液層が形成された。分液漏斗を用いて上層を分離した。蒸留により溶媒と副生成物のベンゼンを除去した。減圧蒸留により2,4−ジ−tert−ブチル−2,4−ジアザ−1,3,5−トリシラペンタン21gを得た。
[例5]
[ジエチルアミノシラン前駆体を用いた炭窒化ケイ素膜の形成]
[一般的手順]
炭窒化ケイ素堆積物のための実験用前駆体を資格認定するのに用いられるLPCVD反応器においてアミノシラン前駆体を試験する。前駆体を脱ガスし、必要に応じて低圧マスフローコントローラ(MFC)を通じて反応器に計量供給する。化学物質の質量損失対流れ時間に対してMFC流量を較正する。明記されている場合には、アンモニアなどの追加の反応体並びに窒素及びヘリウムなどの希釈剤も同様に、必要に応じて、較正されたMFCを通じて反応器に計量供給する。反応器を10-4Torr(0.013Pa)未満に排気することができるルーツブロワー/ドライポンプの組み合わせに連結する。堆積中にシリコンウェハの装填物を横切る温度は設定点の1℃以内にする。
シリコンウェハを石英ボート上に装填して反応器に挿入する。反応器をポンプにより基準圧にして漏れをチェックする。系をガス流によってプロセス温度まで上げる。このガス流は、反応器が温まった時にシリコンウェハが酸化するのを防ぐために残留する酸素又は水分を希釈する。次いで、反応器を所定の時間安定化させ、すべてのウェハ表面を(付属の熱電対を用いてウェハに関する先の測定により決定されたのと)等しい温度にする。
ガス及び蒸気を制御された圧力で所定の堆積時間にわたって反応器に注入する。次に、ガスを遮断し、反応器をポンプで基準圧にする。次いで、反応器が冷却された時に反応性のガス又は蒸気を除去するため、反応器をポンプでパージし、ポンプを弱めそしてポンプでパージする。反応器を大気圧に戻し、ウェハを取り出して室温に冷却する。次いで、堆積膜を、膜厚、膜の屈折率、膜応力(図1)、赤外線吸光度(図3に示される)、誘電率及び酸エッチ速度(表1)について測定する。
堆積膜を形成する際、ジエチルアミノシラン(DEAS)10sccmを、NH320sccm及びN220sccmとともに、1.3Torr(173.3Pa)で以って60分の堆積時間にわたり570℃で反応器に流した。
平均の膜厚は69nmであり、屈折率は2.045であった。膜応力は、1.07×1010ダイン/cm2(1.07GPa)と測定した。
赤外スペクトルはSi−C及びSi−Nの吸収が顕著であった。C−H又はC−Nの吸収はノイズ中にあり、図3に示されるように、膜の組成は主として所望のSixyzの形態であることを示した。
[例6]
[NH3なしでN2を用いたジエチルアミノシラン前駆体による炭窒化ケイ素膜の形成]
プロセス条件を除いて例4の手順に従った。NH3の代わりに窒素を用いた。本例においては、ジエチルアミノシラン(DEAS)10sccmを、N240sccmとともに、1.0Torr(133Pa)で以って40分の堆積時間にわたり600℃で反応器に流した。
平均の膜厚は42nmであり、屈折率は2.288であった。膜応力は、1.34×1010ダイン/cm2と測定した。これらの膜は、アンモニアによって得られたものよりも非常に高い応力及び低いエッチ速度を有する(エッチ速度については表1を参照)。このような膜の一致性は、分離構造体に関して100%であるとわかった。
[例7]
[NH3なしでN2を用いたジイソプロピルアミノシラン前駆体による炭窒化ケイ素膜の形成]
前駆体を除いて例5の手順に従った。ジイソプロピルアミノシラン(DIPAS)10sccmを、He20sccm及びN220sccmとともに、1.0Torr(133Pa)で以って70分の堆積時間にわたり570℃で反応器に流した。
平均の膜厚は46nmであり、屈折率は2.056であった。膜応力は、1.07×1010ダイン/cm2と測定した。驚くべきことに、ジイソプロピルアミノシランに関する屈折率及び応力は、例6の前駆体と同様であった。これらの結果は、このクラスの物質内で優れた応力値が達成され得ることを示している。
[例8]
[NH3なしでN2を用いた対照標準としてのビス(三級ブチルアミノ)シラン前駆体による炭窒化ケイ素膜の形成]
前駆体を除いて例5の手順に従い、それを対照標準として用いた。BTBASは生成プロセスにおいて世界中で用いられている前駆体であり、その十分一般に認められた性能特性のために代表的なアミノシランの比較としてそれを選択した。
ビス(三級ブチルアミノシラン)(BTBAS)10sccmを、He20sccm及びN220sccmとともに、1.0Torr(133Pa)で以って70分の堆積時間にわたり570℃で反応器に流した。これらの膜は、モノ−アミノシランのわずか20%の応力と10%未満のエッチ抵抗性を有する(表1を参照)。
ビス(三級ブチルアミノシラン)とジエチルアミノシランに関する応力データを用いて図1を作成した。それはFSMシステムを用いた応力測定の結果を示している。ジエチルアミノシランに関する結果は予想外であった。即ち、適度なNH3:DEAS比で高い応力を維持していることを含め、低いNH3:DEAS比での高い応力を達成した。
ビス(三級ブチルアミノ)シラン及びジクロロシランなどの前駆体では、アンモニア対化学物質の比が減少するにつれ、減少する応力を有する膜が生成される。低いNH3:BTBAS比では、応力の結果は十分でない。これらの前駆体についてアンモニアを低減すると、ケイ素リッチな膜が生成し、これはシリコン基材に関してこれらの膜の熱膨張係数を低下させる。理論によって縛られることを意図するものではないが、堆積プロセスにおいてアンモニア:DEAS比を低減するとSi対Nの原子比が増加し、その効果はC対Siの原子比が増加するということである。明らかに、その場合には、Si−C結合によるSi−Nの置換が幾つかあり、これらの結合により同様の応力を有する膜が生成することになる。
この例の第2の構成要素は膜硬さの測定であった。Hysitronシステムを用いた押込みによってそれを測定した。図2は堆積膜の硬さを示すプロットである。ジエチルアミノシランが前駆体として用いられた場合、BTBAS堆積及び熱成長二酸化ケイ素と比較して、より硬い膜が得られた。より硬い膜は、化学機械研磨(CMP)操作において下地の層及びそれ自体を一層保護する。この性質もまた驚くべきことであった。
[例9]
[窒化ケイ素及び炭窒化ケイ素膜のエッチ抵抗性]
本例においては、種々の窒化ケイ素及び炭窒化ケイ素膜のエッチング結果が表1に示される。表1は、(49%)HFの1%における幾つかの前駆体からの膜をエッチングした結果を示している。エッチ速度は、同時にエッチングされた熱成長二酸化ケイ素のエッチ速度に対して与えられている。膜のエッチ速度が低いほど、望ましくない二酸化ケイ素が除去されるので、幾何学的形状を維持し及び下地の層を保護するのに良好である。
Figure 2007051363
上記の表1から、DEASは、0〜2のNH3対前駆体比において優れた低エッチ速度を有することが示される。もう一方で、NH3:BTBAS比は、0:1:1のNH3:BTBAS比でさえ、2:1の比におけるDEASよりも高いエッチ速度を得た。優れた低エッチ速度が低いNH3:BTBAS比において示されるが、BTBASの応力レベルはこの低いNH3:BTBASレベルでは十分でないことが思い起こされる。
要約すると、式Sixyzの炭窒化ケイ素誘電膜は、CVD及び他の堆積プロセスにより、記載されるクラスのアミノシランから生成することができる。SiH3基の高い活性が550℃程度の低い温度においてSixyz膜堆積物の生成を可能にするのに対し、Sixyz膜を形成するための前駆体の多くが十分には機能しないと考えられる。
また、ケイ素中心のアンモニアアミノ基転移反応に関する低い立体障害により、比較的低温で窒素濃度を増加するとともに、これらの化合物がアンモニアと反応し、膜を形成することが可能になると考えられる。エチル、イソプロピル、ブチルなどの配位子は、それらがβ−水素化物の脱離により揮発性副生成物になるので、優れた脱離基として作用する。後に残されたいずれかの炭素がケイ素と結合する。対照的に、これまでに報告されたメチル基を有するアミノシラン前駆体は、この解離経路を有していない。それらは窒素と結合したままであり、成長膜中に組み込まれ捕捉され得る。このような捕捉メチル基の存在は、赤外スペクトルにおいて容易に見分けられる(図3を参照)。しかしながら、ここで、図3においてC−Hピークが存在しないことは、膜中に捕捉された炭化水素が非常に低いレベルにすぎない場合があるということを指摘しておく。
堆積プロセスにおけるNH3対前駆体のモル比の関数として、ジエチルアミノシラン及びビス(三級ブチルアミノ)シランの堆積によって形成された膜に関する応力値のプロットである。 標準のSiO2に対し、堆積プロセスにおける温度の関数として、ジエチルアミノシラン及びビス(三級ブチルアミノ)シランの堆積によって形成された膜の硬さのプロットである。 ジエチルアミノシラン(無希釈)、NH3を有するジエチルアミノシラン、及びビス(三級ブチルアミノ)シランの堆積によって生成された膜の赤外スペクトルイオンのプロットである。

Claims (18)

  1. 炭窒化ケイ素膜形成用前駆体の化学気相成長により基材上に炭窒化ケイ素膜を形成するための方法であって、以下の式、即ち、
    Figure 2007051363
    及びそれらの混合物によって表され、式中、Rが、直鎖、分枝若しくは環状の飽和若しくは不飽和のC1〜C10アルキル基、芳香族、複素環、又は式Cのシリルから選択され、R1が、直鎖、分枝又は環状の飽和又は不飽和のC2〜C10アルキル基、芳香族、複素環、水素、シリル基から選択され、置換基を有していても又は有していなくてもよく、式A中のRとR1を結合して環状基(CH2n(式中、nは1〜6)にすることも可能であり、R2が、単結合、(CH2n鎖、環、SiR2又はSiH2を表すアミノシランからなる群より選択された前駆体を用いることを含む、方法。
  2. 前記前駆体が式Aによって表され、R及びR1のそれぞれが少なくとも2個の炭素原子を有する、請求項1に記載の方法。
  3. R及びR1が2〜4個の炭素を有するアルキル基である、請求項2に記載の方法。
  4. R及びR1が環状である、請求項2に記載の方法。
  5. RとR1が(CH2n(式中、nは4又は5)の形態の環に結合される、請求項4に記載の方法。
  6. R及びR1がエチル又はイソプロピルである、請求項2に記載の方法。
  7. アンモニア、窒素及びヒドラジンからなる群より選択された窒素源が用いられる、請求項1に記載の方法。
  8. 化学気相成長の際の前記基材の温度が約500〜700℃の範囲にある、請求項7に記載の方法。
  9. 化学気相成長の際の圧力が約20mTorr〜20Torrの範囲にある、請求項8に記載の方法。
  10. 前記窒素源がアンモニア又は窒素であり、該窒素源が0.1〜4:1の窒素源:前駆体の範囲において存在する、請求項7に記載の方法。
  11. 前記前駆体のアミノシランが、以下の式、即ち、
    Figure 2007051363
    を有し、式中、nが4又は5であるアミノシランから選択される、請求項2に記載の方法。
  12. 前記前駆体が式Bによって表され、このクラス内で該前駆体が、以下の式、即ち、
    Figure 2007051363
    を有する群から選択される、請求項1に記載の方法。
  13. アンモニア又は窒素からなる群より選択される窒素源が前駆体として含まれ、該窒素源が0.1〜4:1の窒素源:前駆体の範囲において存在する、請求項12に記載の方法。
  14. 前記前駆体が式Cによって表され、このクラス内で該前駆体が、以下の式、即ち、
    Figure 2007051363
    を有する群から選択される、請求項1に記載の方法。
  15. シラン前駆体の化学気相成長により形成される炭窒化ケイ素膜を形成するための方法において、一般組成構造Sixyzを有する膜を形成するための改良された方法であって、以下の式、即ち、
    Figure 2007051363
    によって表され、式中、Rが、直鎖、分枝又は環状の飽和又は不飽和のC1〜C10アルキル基、芳香族、複素環から選択され、R1が、直鎖、分枝又は環状のC2〜C10アルキル基、複素環、水素、シリル基から選択され、RとR1を結合して環状基(CH2n(式中、nは1〜6)にすることも可能であるアミノシランをシラン前駆体として用いることを含む、方法。
  16. R及びR1がエチル又はイソプロピルである、請求項15に記載の方法。
  17. アンモニア又は窒素からなる群より選択される窒素源が、0.1〜4:1の窒素源:シラン前駆体比において用いられる、請求項16に記載の方法。
  18. 基材の温度が500〜700℃の範囲で維持され、圧力が20mTorr〜20Torrである、請求項17に記載の方法。
JP2006135313A 2005-05-16 2006-05-15 窒化ケイ素及び炭窒化ケイ素膜の形成方法 Active JP4680832B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/129,862 US7875556B2 (en) 2005-05-16 2005-05-16 Precursors for CVD silicon carbo-nitride and silicon nitride films

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2010002245A Division JP4824823B2 (ja) 2005-05-16 2010-01-07 アミノシラン、シリコン含有膜の形成用前駆体、シリコン含有膜の形成用組成物
JP2010174006A Division JP5646248B2 (ja) 2005-05-16 2010-08-02 化学気相成長用組成物

Publications (3)

Publication Number Publication Date
JP2007051363A true JP2007051363A (ja) 2007-03-01
JP2007051363A5 JP2007051363A5 (ja) 2008-04-10
JP4680832B2 JP4680832B2 (ja) 2011-05-11

Family

ID=36809281

Family Applications (6)

Application Number Title Priority Date Filing Date
JP2006135313A Active JP4680832B2 (ja) 2005-05-16 2006-05-15 窒化ケイ素及び炭窒化ケイ素膜の形成方法
JP2010002245A Active JP4824823B2 (ja) 2005-05-16 2010-01-07 アミノシラン、シリコン含有膜の形成用前駆体、シリコン含有膜の形成用組成物
JP2010174006A Active JP5646248B2 (ja) 2005-05-16 2010-08-02 化学気相成長用組成物
JP2012251090A Active JP5306533B2 (ja) 2005-05-16 2012-11-15 化学気相成長用組成物
JP2014203493A Active JP6098947B2 (ja) 2005-05-16 2014-10-01 化学気相成長用組成物
JP2016156443A Active JP6325613B2 (ja) 2005-05-16 2016-08-09 化学気相成長用組成物

Family Applications After (5)

Application Number Title Priority Date Filing Date
JP2010002245A Active JP4824823B2 (ja) 2005-05-16 2010-01-07 アミノシラン、シリコン含有膜の形成用前駆体、シリコン含有膜の形成用組成物
JP2010174006A Active JP5646248B2 (ja) 2005-05-16 2010-08-02 化学気相成長用組成物
JP2012251090A Active JP5306533B2 (ja) 2005-05-16 2012-11-15 化学気相成長用組成物
JP2014203493A Active JP6098947B2 (ja) 2005-05-16 2014-10-01 化学気相成長用組成物
JP2016156443A Active JP6325613B2 (ja) 2005-05-16 2016-08-09 化学気相成長用組成物

Country Status (7)

Country Link
US (5) US7875556B2 (ja)
EP (4) EP2264218B1 (ja)
JP (6) JP4680832B2 (ja)
KR (12) KR100822154B1 (ja)
AT (1) ATE437252T1 (ja)
DE (1) DE602006007906D1 (ja)
TW (1) TWI305236B (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007318142A (ja) * 2006-05-23 2007-12-06 Air Products & Chemicals Inc 有機アミノシラン前駆体から酸化ケイ素膜を製造するための方法
JP2009099986A (ja) * 2007-10-12 2009-05-07 Air Products & Chemicals Inc 光電池用途のための反射防止コーティング
US7998769B2 (en) 2008-04-17 2011-08-16 Samsung Mobile Display Co., Ltd. Full-color organic light emitting diode display device and method of fabricating the same
JP2012025733A (ja) * 2010-06-02 2012-02-09 Air Products & Chemicals Inc 有機アミノシラン前駆体及びこれを含む膜の堆積方法
JP2012248844A (ja) * 2011-05-24 2012-12-13 Air Products & Chemicals Inc 有機アミノシラン前駆体、並びにその製造方法及び使用方法
JP2013155173A (ja) * 2012-01-27 2013-08-15 Air Products & Chemicals Inc アルコキシアミノシラン化合物およびその用途
JP2015015465A (ja) * 2013-06-26 2015-01-22 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated アザ−ポリシラン前駆体、及びそれを含む膜の堆積方法
JP2015106572A (ja) * 2013-11-28 2015-06-08 大陽日酸株式会社 シリコン窒化膜の形成方法及びシリコン窒化膜
JP2016084314A (ja) * 2014-10-28 2016-05-19 信越化学工業株式会社 N−シリルピペラジンの製造方法
JP2016536276A (ja) * 2013-09-27 2016-11-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 触媒脱水素カップリングによるアミノシランの無ハロゲン合成
US9677178B2 (en) 2012-01-27 2017-06-13 Versum Materials Us, Llc Alkoxyaminosilane compounds and applications thereof
KR101824759B1 (ko) 2011-02-08 2018-02-01 메르크 파텐트 게엠베하 하층 코팅 조성물 및 마이크로전자 장치의 제조 방법
JP2019534570A (ja) * 2016-11-01 2019-11-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法
JP2021510691A (ja) * 2018-01-12 2021-04-30 インテグリス・インコーポレーテッド アミノヨードシラン、及び当該アミノヨードシランの合成方法
JP7436054B2 (ja) 2018-11-15 2024-02-21 ユーピー ケミカル カンパニー リミテッド シリコン前駆体化合物、製造方法、及びこれを利用するシリコン含有膜の形成方法
JP7472312B2 (ja) 2020-03-31 2024-04-22 インテグリス・インコーポレーテッド ケイ素含有膜を調製するための前駆体及び方法

Families Citing this family (404)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011042955A1 (ja) * 2009-10-06 2011-04-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
EP2730676A1 (en) * 2010-04-01 2014-05-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for deposition of silicon nitride layers
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9079923B2 (en) 2010-08-05 2015-07-14 Air Products And Chemicals, Inc. Multidentate ketoimine ligands for metal complexes
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8617305B2 (en) 2011-01-25 2013-12-31 Air Products And Chemicals, Inc. Metal complexes for metal-containing film deposition
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
KR20160093093A (ko) 2011-06-03 2016-08-05 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
CN103243310B (zh) * 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
KR20150036114A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101380317B1 (ko) * 2012-08-31 2014-04-04 주식회사 유진테크 머티리얼즈 실리콘 원자 및 금속 원자에 대한 친화성이 우수한 고리형 아미노실란 화합물, 이의 제조방법 및 이의 응용
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
KR20140067786A (ko) * 2012-11-27 2014-06-05 주식회사 유피케미칼 실리콘 전구체 화합물, 및 상기 전구체 화합물을 이용한 실리콘-함유 박막의 증착 방법
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10030037B2 (en) 2014-05-30 2018-07-24 Dow Silicones Corporation Diaminosilane compounds
US10030038B2 (en) 2014-05-30 2018-07-24 Dow Silicones Corporation Monoaminosilane compounds
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
EP3209814B1 (en) * 2014-10-24 2018-09-05 Versum Materials US, LLC Compositions and methods using same for deposition of silicon-containing films
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10876206B2 (en) 2015-09-01 2020-12-29 Silcotek Corp. Thermal chemical vapor deposition coating
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US10087521B2 (en) 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102105976B1 (ko) * 2017-03-29 2020-05-04 (주)디엔에프 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6787875B2 (ja) * 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
JP2019145589A (ja) * 2018-02-16 2019-08-29 東芝メモリ株式会社 半導体装置の製造方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
SG11202111815WA (en) * 2019-04-25 2021-11-29 Versum Materials Us Llc Organoaminodisilazanes for high temperature atomic layer deposition of silicon oxide thin films
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11492364B2 (en) 2020-03-31 2022-11-08 Entegris, Inc. Silicon hydrazido precursor compounds
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11965239B2 (en) 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
US20210261790A1 (en) * 2021-05-03 2021-08-26 Silcotek Corp. Coated systems for hydrogen
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20230088079A1 (en) * 2021-08-25 2023-03-23 Entegris, Inc. Silicon precursors
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115260223B (zh) * 2022-09-26 2022-12-23 江苏南大光电材料股份有限公司 无氯催化剂于制备二异丙胺硅烷中的用途

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1008403A (en) 1961-01-19 1965-10-27 Secr Aviation Polymeric compounds containing silicon-nitrogen bonds
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH04151839A (ja) 1990-10-16 1992-05-25 Kawasaki Steel Corp シリコンオキシナイトライド膜の製造方法
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
US5382550A (en) 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
JP3336770B2 (ja) 1993-12-27 2002-10-21 ソニー株式会社 絶縁膜の形成方法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
US5534609A (en) * 1995-02-03 1996-07-09 Osi Specialties, Inc. Polysiloxane compositions
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5976991A (en) 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6153261A (en) 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156063A (ja) 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
US6486015B1 (en) 2000-04-25 2002-11-26 Infineon Technologies Ag Low temperature carbon rich oxy-nitride for improved RIE selectivity
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
CN1643674A (zh) 2002-07-19 2005-07-20 阿维扎技术公司 使用氨基硅烷和臭氧的低温介电沉积
KR20050018641A (ko) 2002-07-19 2005-02-23 에비자 테크놀로지, 인크. 아미노실란 및 오존을 이용한 저온 유전체 증착
WO2004017383A2 (en) 2002-08-18 2004-02-26 Aviza Technology, Inc. Low termperature deposition of silicon oxides and oxynitrides
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6963006B2 (en) 2003-01-15 2005-11-08 Air Products And Chemicals, Inc. Process for the production and purification of bis(tertiary-butylamino)silane
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4354732B2 (ja) * 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
US7311946B2 (en) 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
JP4015976B2 (ja) 2003-08-28 2007-11-28 株式会社東芝 電子装置の製造方法
US7470450B2 (en) 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
KR100563785B1 (ko) * 2004-01-28 2006-03-27 삼성전자주식회사 반도체 장치의 구리 배선 형성 방법
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
KR100638471B1 (ko) 2004-08-25 2006-10-25 동국제약 주식회사 실라스타틴 나트륨염의 신규한 제조 방법
US20060051975A1 (en) 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7825040B1 (en) * 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
EP2730676A1 (en) * 2010-04-01 2014-05-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for deposition of silicon nitride layers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
DAVID G. ANDERSON ET AL.: "Isopropyldisilylamine and Disilyl-t-butylamine: Preparation, Spectroscopic Properties, and Molecular", JOURNAL OF THE CHEMICAL SOCIETY DALTON TRANSACTIONS, JPN6010004985, 1989, pages 779 - 783, XP009071483, ISSN: 0001527876 *

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4718515B2 (ja) * 2006-05-23 2011-07-06 エア プロダクツ アンド ケミカルズ インコーポレイテッド 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2007318142A (ja) * 2006-05-23 2007-12-06 Air Products & Chemicals Inc 有機アミノシラン前駆体から酸化ケイ素膜を製造するための方法
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
JP2009099986A (ja) * 2007-10-12 2009-05-07 Air Products & Chemicals Inc 光電池用途のための反射防止コーティング
JP2013238890A (ja) * 2007-10-12 2013-11-28 Air Products & Chemicals Inc 光電池用途のための反射防止コーティング
US7998769B2 (en) 2008-04-17 2011-08-16 Samsung Mobile Display Co., Ltd. Full-color organic light emitting diode display device and method of fabricating the same
JP2012025733A (ja) * 2010-06-02 2012-02-09 Air Products & Chemicals Inc 有機アミノシラン前駆体及びこれを含む膜の堆積方法
KR101824759B1 (ko) 2011-02-08 2018-02-01 메르크 파텐트 게엠베하 하층 코팅 조성물 및 마이크로전자 장치의 제조 방법
JP2014074236A (ja) * 2011-05-24 2014-04-24 Air Products And Chemicals Inc 有機アミノシラン前駆体、並びにその製造方法及び使用方法
JP2017171664A (ja) * 2011-05-24 2017-09-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 有機アミノシラン前駆体、並びにその製造方法及び使用方法
JP2012248844A (ja) * 2011-05-24 2012-12-13 Air Products & Chemicals Inc 有機アミノシラン前駆体、並びにその製造方法及び使用方法
JP2013155173A (ja) * 2012-01-27 2013-08-15 Air Products & Chemicals Inc アルコキシアミノシラン化合物およびその用途
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9677178B2 (en) 2012-01-27 2017-06-13 Versum Materials Us, Llc Alkoxyaminosilane compounds and applications thereof
JP2015015465A (ja) * 2013-06-26 2015-01-22 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated アザ−ポリシラン前駆体、及びそれを含む膜の堆積方法
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
JP2016536276A (ja) * 2013-09-27 2016-11-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 触媒脱水素カップリングによるアミノシランの無ハロゲン合成
US10494387B2 (en) 2013-09-27 2019-12-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US11274112B2 (en) 2013-09-27 2022-03-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US11780859B2 (en) 2013-09-27 2023-10-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
JP2015106572A (ja) * 2013-11-28 2015-06-08 大陽日酸株式会社 シリコン窒化膜の形成方法及びシリコン窒化膜
JP2016084314A (ja) * 2014-10-28 2016-05-19 信越化学工業株式会社 N−シリルピペラジンの製造方法
JP2019534570A (ja) * 2016-11-01 2019-11-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法
JP2021510691A (ja) * 2018-01-12 2021-04-30 インテグリス・インコーポレーテッド アミノヨードシラン、及び当該アミノヨードシランの合成方法
US11312739B2 (en) 2018-01-12 2022-04-26 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
JP7062069B2 (ja) 2018-01-12 2022-05-02 インテグリス・インコーポレーテッド アミノヨードシラン、及び当該アミノヨードシランの合成方法
JP7399211B2 (ja) 2018-01-12 2023-12-15 インテグリス・インコーポレーテッド アミノヨードシラン、及び当該アミノヨードシランの合成方法
JP7436054B2 (ja) 2018-11-15 2024-02-21 ユーピー ケミカル カンパニー リミテッド シリコン前駆体化合物、製造方法、及びこれを利用するシリコン含有膜の形成方法
JP7472312B2 (ja) 2020-03-31 2024-04-22 インテグリス・インコーポレーテッド ケイ素含有膜を調製するための前駆体及び方法

Also Published As

Publication number Publication date
US20110165346A1 (en) 2011-07-07
JP2015039008A (ja) 2015-02-26
EP1724373B1 (en) 2009-07-22
KR20120135894A (ko) 2012-12-17
KR20140043413A (ko) 2014-04-09
ATE437252T1 (de) 2009-08-15
TWI305236B (en) 2009-01-11
US8932675B2 (en) 2015-01-13
KR20060118358A (ko) 2006-11-23
US7932413B2 (en) 2011-04-26
US20060258173A1 (en) 2006-11-16
KR20080005331A (ko) 2008-01-11
DE602006007906D1 (de) 2009-09-03
EP2264218A1 (en) 2010-12-22
JP2017011281A (ja) 2017-01-12
KR20130100256A (ko) 2013-09-10
JP2010147485A (ja) 2010-07-01
KR20110036715A (ko) 2011-04-08
KR20160131982A (ko) 2016-11-16
TW200702481A (en) 2007-01-16
US8383849B2 (en) 2013-02-26
EP2278046A1 (en) 2011-01-26
EP2110459B1 (en) 2012-08-08
KR20140043417A (ko) 2014-04-09
US20130244448A1 (en) 2013-09-19
EP2264218B1 (en) 2012-11-14
JP5646248B2 (ja) 2014-12-24
KR101335767B1 (ko) 2013-12-02
KR20140043416A (ko) 2014-04-09
JP2011040741A (ja) 2011-02-24
KR20130133720A (ko) 2013-12-09
US20150147893A1 (en) 2015-05-28
KR100822154B1 (ko) 2008-04-15
JP6098947B2 (ja) 2017-03-22
JP4824823B2 (ja) 2011-11-30
JP5306533B2 (ja) 2013-10-02
EP1724373A1 (en) 2006-11-22
JP6325613B2 (ja) 2018-05-16
KR20170119314A (ko) 2017-10-26
EP2110459A1 (en) 2009-10-21
US20090069588A1 (en) 2009-03-12
EP2278046B1 (en) 2019-10-30
US9640386B2 (en) 2017-05-02
US7875556B2 (en) 2011-01-25
JP4680832B2 (ja) 2011-05-11
KR20130093578A (ko) 2013-08-22
JP2013042177A (ja) 2013-02-28

Similar Documents

Publication Publication Date Title
JP6325613B2 (ja) 化学気相成長用組成物
JP5827352B2 (ja) 有機アミノシラン前駆体からの酸化ケイ素膜の製造方法
JP2010147485A5 (ja) アミノシラン、シリコン含有膜の形成用前駆体、シリコン含有膜の形成用組成物
KR20120056813A (ko) Cvd 탄질화 규소 필름을 위한 전구체

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090707

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091006

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091009

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100107

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100430

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100802

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110203

R150 Certificate of patent or registration of utility model

Ref document number: 4680832

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140210

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250