KR20130100256A - Cvd 탄질화 규소 필름을 위한 전구체 - Google Patents

Cvd 탄질화 규소 필름을 위한 전구체 Download PDF

Info

Publication number
KR20130100256A
KR20130100256A KR1020130101336A KR20130101336A KR20130100256A KR 20130100256 A KR20130100256 A KR 20130100256A KR 1020130101336 A KR1020130101336 A KR 1020130101336A KR 20130101336 A KR20130101336 A KR 20130101336A KR 20130100256 A KR20130100256 A KR 20130100256A
Authority
KR
South Korea
Prior art keywords
formula
groups
aminosilane
group
cyclic
Prior art date
Application number
KR1020130101336A
Other languages
English (en)
Inventor
쟈오 만차오
켄네스 호크베르그 아서
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=36809281&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20130100256(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20130100256A publication Critical patent/KR20130100256A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

일반식 SixCyNz 의 탄질화 규소 필름을 생성하게 하는 액체 아미노실란의 부류가 밝혀졌다. 이들 아미노실란은 이전에 이용된 몇몇 전구체와 달리, 실온 및 편리한 취급이 가능한 압력에서 액체이다. 또한, 본 발명은 그러한 필름을 생산하는 방법에 관한 것이다.
상기 화합물 및 그 혼합물의 부류는 일반적으로 하기 화학식으로 나타낸다:
Figure pat00016

식 중 R 및 R1 은 전형적으로 2 내지 약 10개의 탄소 원자를 갖는 지방족기, 예를 들어 알킬, 시클로알킬을 나타내고 식 A에서 R 및 R1 은 환식기로 결합될 수도 있고, R2 는 단결합, (CH2)n, 고리, 또는 SiH2 를 나타낸다.

Description

CVD 탄질화 규소 필름을 위한 전구체{PRECURSORS FOR CVD SILICON CARBO-NITRIDE FILMS}
반도체 장치의 제조에서, 질화 규소(Si3N4) 또는 탄질화 규소(SixCyNz)와 같은 화학적으로 불활성인 유전체 물질의 얇은 부동 층은 필수적이다. 질화 규소의 박층은 확산 마스크, 산화 장벽, 트렌치 아이솔레이션, 높은 유전 파괴 전압을 갖는 금속간 유전 물질 및 보호막층으로서 작용한다.
반도체 장치의 제조에서 질화 규소 코팅에 대한 많은 적용이 공지되어 있으며, Gary E. McGuire가 편집한 반도체 및 공정 기술 핸드북(Semiconductor and Process technology handbook), Noyes Publication, New Jersey, (1988), pp 289-301; 및 VLSI ERA를 위한 규소 처리(Silicon Processing for the VLSI ERA), Wolf, Stanley 및 Talbert, Richard N., Lattice Press, Sunset Beach, Calif. (1990), pp 20-22, 327-330 을 참조하라.
많은 새로운 반도체 장치는 매우 낮은 에칭 속도 또는 매우 높은 필름 응력을 갖는 또는 둘다 갖는 유전체 필름을 요구한다. 또한 필름은 양호한 전기적 특성을 유지하면서 600℃ 이하의 온도에서 형성되는 것이 바람직하며 가끔은 필수적이다. 필름 경도는 전기적 구성성분의 설계에서 고려할 또 다른 요소이며 질화 규소 필름은 매우 단단한 필름을 제공한다.
질화 규소 코팅을 형성하는 한 상업적 방법은 전구체 반응물로서 디클로로실란 및 암모니아를 사용한다. 디클로로실란 및 암모니아와 같은 전구체를 사용하는 저압 화학 증기 증착(LPCVD)은 최상의 필름 특성을 얻기 위해 높은 증착 온도를 요구한다. 예를 들어, 적당한 성장 속도 및 균일성을 얻기 위해 750℃보다 높은 온도가 요구될 수 있다. 그외 공정의 쟁점은 염소 및 염소 부산물의 위험성 문제를 포함한다.
하기 논문 및 특허는 전자 산업에서 사용되는 유기실란의 합성 및 증착 공정에 관한 분야의 대표적 참조 기술로서 인용된다.
A. K. Hochberg and D. L. O'Meara, LPCVL에 의한 질화 규소 및 산질화 규소 필름의 증착을 위한 규소원으로서의 디에틸실란(Diethylsilane as a Silicon Source for the Deposition of Silicon Nitride and Silicon Oxynitride Films By LPCVD), Mat. Res. Soc. Symp. Proc., Vol. 204, (1991), pp 509-514 는 LPCVD에 의해 암모니아 및 산화질소와 함께 디에틸실란을 이용하는 질화 규소 및 산질화 규소 필름의 형성을 개시한다. 증착은 650 내지 700℃의 온도 범위에서 수행된다. 증착은 일반적으로 증착 속도가 더 낮은 온도에서는 4 ANG./분으로 떨어지므로 650℃의 온도까지로 제한된다.
Sorita et al., SiH2Cl2 및 NH3 유래 Si3N4 박막의 저압 화학 증기 증착의 질량분석 및 반응속도 연구(Mass Spectrometric and Kinetic Study of Low-Pressure Chemical Vapor Depositoin of Si 3 N 4 Thin Films From SiH 2 Cl 2 and NH 3 ), J. Electro. Chem. Soc., Vol. 141, No. 12, (1994), pp 3505-3511 은 LPCVD 공정을 이용하여 디클로로실란 및 암모니아를 이용한 질화 규소의 증착을 기술한다. 염화 암모늄의 형성은 튜브의 후부에서 그리고 배관 라인 및 펌프 시스템에서 입자 형성 및 염화 암모늄의 증착을 일으킨다.
Aylett and Emsley, 디메틸아미노 및 디에틸아미노 실란의 제조 및 특성(The Preparation and Properties of Dimethylamino and Diethylamino Silane), J. Chem. Soc. (A) p 652-655, 1967은 이오도실란을 각 디알킬 아민과 반응시켜 디메틸아미노 및 디에틸아미노실란을 제조하는 것을 개시한다.
Anderson and Rankin, 이소프로필디실일아민 및 디실일-t-부틸아민: 제조, 분광 특성 및 전자회절에 의해 결정되는 가스상 분자 구조 (Isopropyldisilylamine and Disilyl-t-butylamine: Preparation, Spectroscopic Properties, and Molecular Structure in the Gas Phase, Determined by Electron Diffraction), J. Chem. Soc. Dalton Trans., p 779-783 (1989)는 식 NR(SiH3)2 의 디실일 아민, 예를 들어 이소프로필디실일아민 및 디실일-t-부틸아민의 합성을 개시하고, 대응하는 메틸디실일아민에 대한 분광 비교를 제시한다.
일본 특허 6-132284는 암모니아 또는 질소 존재 하에 플라즈마 증진된 화학 증기 증착 또는 열 화학 증기 증착 중 하나에 의한 일반 식 (R1R2N)nSiH4-n (R1 및 R2 는 H-CH3-, C2H5-C3H7-, C4H9-의 범위이다)를 갖는 유기실란을 이용하는 질화 규소 필름의 형성을 기재한다.
미국 특허 5,234,869는 반응물 가스로서 Si(N(CH3)2)4 및 암모니아를 이용하는 CVD에 의한 질화 규소 필름의 형성을 개시한다. 700℃의 챔버 온도 및 0.5 Torr의 압력이 증착에 사용되었다. 암모니아 또는 질소와 조합하여, SiH(N(CH3)2)3, SiH2(N(CH3)2)2 및 SiH3(N(CH3)2)로 이루어진 군 중에서 선택되는 다른 반응물 또한 반응물로서 제시되었다. 또한 자외선 광선으로 가스를 방사함으로써 제조된 플라즈마, 온도는 300℃로 감소되었다고 개시하였다.
미국 특허 5,874,368은 500 내지 800℃의 온도 범위에서 저압 화학 증기 증착을 사용하여 질화 규소를 증착하기 위한 전구체로서 비스(3차부틸아미노)실란의 사용을 제시한다.
미국 특허 5,874,368 및 미국 특허 6,153,261은 규소 반응물 가스로서 비스(3차부틸아미노)실란을 이용하는 질화 규소 필름의 형성을 개시한다. LPCVD가 필름 생성에 사용된다.
미국 특허 6,391,803은 식 Si(N(CH3)2)4, SiH(N(CH3)2)3 및 SiH2(N(CH3)2)2, SiH3(N(CH3)2)의 실란, 바람직하게는 첫번째 반응물로서 트리스디메틸아미노실란을 이용하는 원자층 증착에 의한 박막을 포함하는 규소의 형성을 개시한다. 첫 번째 반응물의 일부는 기판상에 화학적으로 흡착되고 두번째 일부는 기판에 물리적으로 흡착된다. 반응물은 제거되고 두번째 반응물, 즉 NH3 이 도입된다.
CVD 공정에 의해 일반식 SixCyNz 의 탄질화 규소 필름을 생성하게 하는 액체 아미노실란의 부류가 밝혀졌다. 이들 아미노실란은 이전에 이용된 몇몇 전구체와 달리, 실온 및 편리한 취급이 가능한 압력에서 액체이다. 또한, 본 발명은 그러한 필름을 생산하는 증착 방법에 관한 것이다.
상기 화합물 및 그 혼합물의 부류는 일반적으로 하기 화학식으로 나타낸다:
Figure pat00001
식 중 R은 포화 또는 불포화된, 직쇄, 분지쇄 또는 환식 C1-C10 알킬기, 방향족, 복소환식 또는 화학식 C의 경우는 실일 중에서 선택되고, R1 은 치환기를 갖거나 갖지 않는, 포화 또는 불포화된, 직쇄, 분지쇄 또는 환식 C2-C10 알킬기, 방향족, 복소환식, 수소, 실일기 중에서 선택되고, 화학식 A의 R 및 R1 은 환식기 (CH2)n 으로 결합될 수도 있고, n은 1-6, 바람직하게는 4 및 5이고, R2 는 단결합, (CH2)n 사슬, 고리, SiR2, 또는 SiH2 를 나타낸다. 바람직한 화합물은 R 및 R1이 둘다 2 이상의 탄소 원자를 갖는 것이다.
CVD 공정에 사용되는 전구체는 많은 장점을 달성할 수 있으며 이는 하기를 포함한다:
낮은 온도 조건에서 플라즈마 증착의 문제를 일으키지 않고 유전체 필름의 형성을 용이하게 하는 능력;
Si-C 결합 대 Si-N 결합의 비율을 조절하고 그로써 결과물 필름의 특성을 조절할 수 있도록 다양한 화학량론에서 아미노실란과 다른 전구체, 예를 들어 암모니아를 혼합하는 능력;
높은 굴절율 및 필름 응력을 갖는 필름을 제조하는 능력;
낮은 에칭 속도를 갖는 필름을 제조하는 능력;
높은 밀도의 필름을 제조하는 능력;
염소 오염을 피하면서 필름을 생성하는 능력; 및
제조가능한 배치로(batch furnace)(100 웨이퍼 이상) 내 낮은 압력(20 mTorr 내지 2 Torr)에서 조작하는 능력; 및
낮은 온도, 예를 들어 550℃ 및 그 이하에서 SixCyNz 필름을 생성하는 능력.
분자 구조 중 주요 특징으로서 N-SiH3 기를 갖는 액체 유기 아미노실란의 부류가 전자 산업에서 CVD를 통해 탄질화 규소 필름을 제조하기 위한 전구체로서 적합하다는 것이 밝혀졌다. 이들 화합물은 다양한 조건 하에서 SixCyNz 필름의 생산을 가능하게 한다.
본 발명의 화합물은 대기압 및 실온, 즉 25℃ 에서 액체이고 따라서 트리메틸 치환된 아미노실란의 보고된 이용에 있어서 중요한 장점을 제공한다. 이들은 통상의 취급 및 공정 조건 하에 안정성을 제공하는 아미노기 상에 사슬내 2 이상의 탄소 원자를 갖는 유기기로 치환된다.
아미노실란의 제1 부류는 하기 화학식 A로 표시된다:
Figure pat00002
이 화합물 부류에서 R은 포화 또는 불포화된, 직쇄, 분지쇄 또는 환식 C1-C10 알킬기, 방향족, 복소환식 중에서 선택된다. R1 은 치환기를 갖거나 갖지 않는, 포화 또는 불포화된, 직쇄, 분지쇄 또는 환식 C2-C10 알킬기, 방향족, 복소환식, 수소, 실일기 중에서 선택되고, R 및 R1 은 환식기로 결합될 수도 있다. 대표적 치환기는 알킬기 및 특히 그 이성체를 포함하는 에틸, 프로필 및 부틸과 같은 C2-4 알킬기, 시클로프로필, 시클로펜틸 및 시클로헥실과 같은 환식기이다. 이 부류의 바람직한 화합물의 몇몇 예시를 하기 화학식으로 나타낸다:
Figure pat00003
식 중 n은 1-6이고, 바람직하게는 4 또는 5이다.
아미노실란의 제2 부류는 하기 화학식 B로 표시되는 것과 같이 하나의 질소 원자에 부속하는 두개의 실일기를 갖는다.
Figure pat00004
제1 부류 화합물의 R기와 같이, R은 포화 또는 불포화된, 직쇄, 분지쇄 또는 환식 C1-C10 알킬기, 방향족, 복소환식 중에서 선택된다. 구체적 R기는 메틸, 에틸, 프로필, 알릴, 및 부틸; 그리고 시클로프로필, 시클로펜틸, 및 시클로헥실과 같은 환식기를 포함한다. 화합물의 예시는 하기 화학식으로 나타낸다:
Figure pat00005
아미노실란 화합물의 제3 부류는 하기 화학식 C로 표시된다. 이들은 일반적으로 R은 화학식 A의 R 및 R1과 같고 R2 기는 질소 원자의 가교역할을 하는 디아미노디실일 화합물이다. 종종 R2 기는 질소 원자 간의 단결합에 불과하고 또는 SiR2, SiH2, 사슬 또는 고리와 같은 가교 기일 수 있다. 화학식은 다음과 같다:
Figure pat00006
구체적 예는 하기 화학식으로 표시되는 것을 포함한다:
Figure pat00007
이들 화합물은 일반적으로 하기 반응에 의해 합성되며 이는 실시예 1,2,3 및 4로도 기술한다.
Figure pat00008
상기 일련의 반응은 상술한 아미노실란으로의 경로를 예시하나, 실란 전구체의 다른 소스도 사용될 수 있다. 이 경로는 반응 화학량론을 이용하여 모노 및 디실일 화합물이 제조되는지에 관해 직접적인 전방 조절(straight forward control) 및 다양한 종류의 아민의 사용을 가능하게 한다.
또한 이들 화합물 중 몇몇은, 디메틸아미노 및 디에틸아미노 실란의 제조 및 특성(The Preparatoin and Properties of Dimethylamino and Diethylamino Silane, Aylett and Emsley, J. Chem. Soc. (A) p 652-655, 1967)에 기재된 바와 같이, 대응하는 아민과 모노할로실란의 반응에 의해 합성될 수도 있다.
XSiH3 + 2 RR1NH -> RR1N-SiH3 + RR1NH . HX
이 반응에 잘 맞는 대표적 아민은 알킬, 환식, 및 복소환식이다. 바람직한 아민은 저급 알킬 아민, 예를 들어 에틸, 이소-프로필, t-부틸, 및 시클로헥실이다. 또한 아민은 소망하는 생성물에 따라 1차 또는 2차일 수 있다.
탄질화 규소 필름의 형성에서, 선택적으로 암모니아 또는 질소원과 함께 모노 또는 디아미노실란은 통상적 증착 온도에서 증착 챔버에서 반응하도록 한다. 그러한 필름은 화학 증기 증착(CVD), 저압 화학 증기 증착(LPCVD), 플라즈마 증진된 CVD(PECVD), 원자층 증착(ALD) 등을 위해 설계된 증착 챔버에서 형성될 수 있다. 여기서 사용된 CVD라는 용어는 반도체 증착에서 사용되는 이들 공정 각각을 포함하는 것을 의미한다.
장점에서 서술했듯이, 여기서 기재된 액체 아미노실란은 많은 경우에 있어서, 일반적 온도 범위가 500 내지 700℃ 일지라도 비교적 낮은 온도에서 CVD를 통해 탄질화 규소 필름을 형성하는 능력을 제작자에게 제공한다. 뜻밖에도, SixCyNz 필름 증착은 아마도 SiH3 기의 높은 활성 때문에 이루어질 수 있다. 규소 중심에서 암모니아 아미노기 전이반응의 낮은 입체 장애가 이들 화합물을 암모니아와 반응하게 하고 비교적 낮은 온도에서 증가하는 질소 농도로 필름을 증착시킨다고 생각된다.
아미노실란 전구체의 증착은 히드라진, 디메틸히드라진, 또는 암모니아와 같은 활성 질소원의 존재 또는 부재 하에서 수행될 수 있다. 아미노실란에 대한 질소원의 몰비는 일반적으로 0: 내지 10:1의 범위 내에 광범위하게 존재한다. 그 상한은 전구체에 대한 희석 효과에 의해 제한되고 희석 효과는 유의적으로 증착 속도를 감소시킬 것이다. 바람직한 범위는 0.1 내지 4:1 이다. 증착을 통한 필름의 형성은 질소 및 헬륨과 같은 불활성 가스를 포함한 다른 가스와 함께 또는 다른 가스 없이 수행될 수도 있다. 대응하는 전구체의 희석을 이루기 위한 제작자에 의한 가스의 이용은 증착의 균일도포성(conformality)를 향상시킬 수 있고 또는 화학 증기 침투를 위한 침투력을 향상시킬 수 있다.
저압 화학 증기 증착법(LPCVD)는 20 mTorr 내지 20 Torr 의 압력 범위 내에서 다양한 기판, 예를 들어 규소 상에서 일어날 수 있게 된 화학 반응을 포함한다. 고압 CVD는 소망하는 기판이 도달되기 전에 가스상 핵화(nucleation) 또는 전증착(predeposition)을 일으킬 수 있다. 아미노실란 전구체의 희석이 그러한 고압 반응에서 요구될 수 있다. 몇몇 아미노실란 전구체를 이용한 저압 증착은 비화학적으로 이용가능한 수준의 증착 속도를 나타낼 수 있다. 그러나, 그러한 아미노실란은 원자층 증착에 적합할 수 있다.
증착 공정을 수행함에 있어서, 여기에 기재된 아미노실란은 필름 특성을 바꾸기 위해 다른 실일 전구체와 혼합될 수 있다. 다른 전구체의 예는 비스-3차-부틸아미노실란, 트리스-이소-프로필아미노실란, 비스-디에틸아미노실란, 트리스-디메틸아미노실란 및 비스-이소-프로필아미노실란을 포함한다.
CVD 공정에 의해 일반식 SixCyNz 의 탄질화 규소 필름을 생성하게 하는 액체 아미노실란의 부류가 밝혀졌다. 이들 아미노실란은 이전에 이용된 몇몇 전구체와 달리, 실온 및 편리한 취급이 가능한 압력에서 액체이다. 또한, 본 발명은 그러한 필름을 생산하는 증착 방법을 제공한다.
도 1은 증착 과정 중 NH3 대 전구체의 몰비의 함수로 디에틸아미노실란 및 비스(3차)부틸아미노실란의 증착에 의해 형성된 필름의 응력 치의 곡선이다.
도 2는 표준 SiO2 에 대해 증착 과정 중 온도의 함수로 디에틸아미노실란 및 비스(3차)부틸아미노실란의 증착에 의해 형성된 필름의 경도의 곡선이다.
도 3은 디에틸아미노실란(neat), NH3 와 디에틸아미노실란 및 비스(3차)부틸아미노실란의 증착에 의해 생성된 필름의 적외선 스펙트럼 이온의 곡선이다.
하기 실시예는 다양한 실란의 합성 및 탄질화 규소 필름 형성 전구체의 LPCVD를 포함하는 본 발명의 다양한 실시예를 예시하기 위한 것이다.
실시예 1 : 디에틸아미노실란의 합성
50g(0.33 몰)의 트리플루오로메탄술폰산 및 100ml의 톨루엔을 250ml 플라스크에 첨가하였다. 질소의 보호 하에, 플라스크를 -40℃ 로 냉각하였다. 40.6g(0.33몰)의 톨일실란을 천천히 첨가하였다. 그런 다음 플라스크를 -60℃로 냉각하였다. 33.5g의 트리에틸아민을 천천히 첨가한 다음, 24g의 디에틸아민을 첨가하였다. 첨가 후, 플라스크의 온도가 서서히 실온으로 가온되도록 하였다. 액체의 두층이 형성되었다. 분리 깔때기를 사용하여 상층을 분리하였다. 진공 증류에 의해 25g의 디에틸아미노실란이 얻어졌다. 디에틸아미노실란의 끓는점은 210 mmHg에서 40-42℃ 였다.
실시예 2 : 디-이소-프로필아미노실란의 합성
50g(0.33 몰)의 트리플루오로메탄술폰산 및 80ml의 펜탄을 250ml 플라스크에 첨가하였다. 질소의 보호 하에, 플라스크를 -40℃ 로 냉각하였다. 35.6g(0.33몰)의 페닐실란을 천천히 첨가하였다. 그런 다음 플라스크를 -60℃로 냉각하였다. 33.3g(0.33몰)의 트리에틸아민을 천천히 첨가한 다음, 15ml의 펜탄 중 33.3g(0.33몰)의 디-이소-프로필아민 용액을 첨가하였다. 첨가 후, 플라스크의 온도가 서서히 실온으로 가온되도록 하였다. 액체의 두층이 형성되었다. 분리 깔때기를 사용하여 상층을 분리하였다. 용매 및 부산물 벤젠을 증류에 의해 제거하였다. 진공 증류에 의해 30g의 디-이소-프로필아미노실란이 얻어졌다. 디-이소-프로필아미노실란의 끓는점은 106 mmHg에서 55℃ 였다.
실시예 3 : 시클로헥실디실일아민 및 2,4-디시클로헥실-2,4-디아자-1,3,5-트리실라펜탄의 합성
62.5g의 트리플루오로메탄술폰산 및 100ml의 펜탄을 500ml 플라스크에 첨가하였다. 질소의 보호 하에, 플라스크를 -40℃ 로 냉각하였다. 45g의 페닐실란을 천천히 첨가하였다. 그런 다음 플라스크를 -60℃로 냉각하였다. 42g의 트리에틸아민을 천천히 첨가한 다음, 15ml의 펜탄 중 20.6g의 시클로헥실아민 용액을 첨가하였다. 첨가 후, 플라스크의 온도가 서서히 실온으로 가온되도록 하였다. 액체의 두층이 형성되었다. 분리 깔때기를 사용하여 상층을 분리하였다. 용매 및 부산물 벤젠을 증류에 의해 제거하였다. 진공 증류에 의해 15g의 시클로헥실디실일아민이 얻어졌다. 시클로헥실디실일아민의 끓는점은 17 mmHg에서 54-55℃ 였다. 남아있는 높은 끓는점 부분은 96.6% 2,4-디시클로헥실-2,4-디아자-1,3,5-트리실라펜탄을 포함한다.
실시예 4 : t-부틸디실릴아민 및 2,4-디-3차-부틸-2,4-디아자-1,3,5-트리실라펜탄의 합성
50.0g(0.33 몰)의 트리플루오로메탄술폰산 및 100ml의 펜탄을 500ml 플라스크에 첨가하였다. 질소의 보호 하에, 플라스크를 -40℃ 로 냉각하였다. 35.6g(0.33몰)의 페닐실란을 천천히 첨가하였다. 그런 다음 플라스크를 -60℃로 냉각하였다. 33.3g(0.33몰)의 트리에틸아민을 천천히 첨가한 다음, 15ml의 펜탄 중 28.7g(0.165몰)의 t-부틸아민 용액을 첨가하였다. 첨가 후, 플라스크의 온도가 서서히 실온으로 가온되도록 하였다. 액체의 두층이 형성되었다. 분리 깔때기를 사용하여 상층을 분리하였다. 용매 및 부산물 벤젠을 증류에 의해 제거하였다. 진공 증류에 의해 21g의 2,4-디-3차-부틸-2,4-디아자-1,3,5-트리실라펜탄이 얻어졌다.
실시예 5 : 디에틸아미노실란 전구체를 이용한 탄질화 규소 필름의 형성
일반적 공정
아미노실란 전구체는 탄질화 규소 증착을 위한 실험의 전구체 적격을 부여하기 위해 사용되는 LPCVD 반응기에서 실험한다. 전구체를 탈기시키고 요구되는 저압 유량 제어기(mass flow controller, MFC)를 통해 반응기로 계량한다. MFC 유량은 화학물질의 중량 손실 vs. 유량 시간에 대해 조정한다. 특정화된, 암모니아와 같은 추가적 반응물 및 질소와 헬륨과 같은 희석제 또한 요구되는 바와 같이 조정된 MFC를 통해 반응기로 계량한다. 반응기를 10-4 Torr(0.013Pa) 이하로 탈기할 수 있는 루츠 송풍기/건조 펌프 조합(roots blower/dry pump combination)에 반응기를 연결한다. 증착 중에, 규소 웨이퍼의 적재(load)에 걸친 온도는 설정 점의 1℃ 이내이다.
규소 웨이퍼를 쿼츠 보트(quartz boat) 상에 적재하고 반응기에 주입한다. 반응기는 기초 압력(base pressure)으로 펌프하고 누설을 체크한다. 시스템은, 반응기가 가열됨에 따른 규소 웨이퍼의 산화를 방지하도록 임의의 잔여 산소 또는 습기를 희석하는 가스 흐름으로 공정 온도까지 증가시킨다. 그런 다음 모든 웨이퍼 표면을 동일한 온도(부착된 열전지(thermocouples)로 웨이퍼 상의 사전 측정에 의해 결정된 것과 같은)로 하는 기정된 시간 동안 반응기를 안정화시킨다.
가스 및 증기를 조절된 압력에서 기정된 증착 시간 동안 반응기에 주입한다. 그런 다음, 가스를 잠그고, 반응기를 기초 압력까지 펌프한다. 그런 다음 반응기가 냉각됨에 따라 반응기를 펌프 정화하고(pump-purge), 펌프 다운(pump down)하고, 임의의 반응성 가스 또는 증기를 깨끗이 하도록 펌프 정화한다. 반응기를 대기압까지 도로 메운다(backfill); 웨이퍼를 제거하고 실온으로 냉각되게 한다. 그런 다음 증착된 필름의 필름 두께, 필름 굴절율, 필름 응력(도 1), 적외선 흡광도(도 3에 나타냄), 유전상수 및 산 에칭 속도(표 1)을 측정한다.
증착된 필름의 형성에 있어서, 10 sccm의 디에틸아미노실란(DEAS)은 20 sccm NH3 및 20 sccm N2 와 함께 1.3 Torr(173.3 Pa)에서 60분의 증착 시간 동안 570℃ 에서 반응기에 유입되었다.
평균 필름 두께는 69 nm, 굴절율은 2.045 였다. 필름 응력은 1.07 x 1010 dynes/cm2 (1.07 GPa)로 측정되었다.
적외선 스펙트럼은 Si-C 및 Si-N 흡수가 현저했다. C-H 또는 C-N 흡수는 도 3에 나타낸 바와 같이 노이즈 표시에 있었고 필름 조성은 소망한대로 주로 SixCyNz 의 형태에 있었다.
실시예 6 : NH3 없이 N2 를 이용하고 디에틸아미노실란 전구체를 이용한 탄질화 규소 필름의 형성
공정 조건을 제외하고 실시예 5의 과정에 따른다. NH3 대신에 질소가 사용되었다. 이 실시예에서, 10 sccm의 디에틸아미노실란(DEAS)은 40 sccm N2 와 함께 1.0 Torr(133 Pa)에서 40분의 증착 시간 동안 600℃ 에서 반응기에 유입되었다.
평균 필름 두께는 42 nm, 굴절율은 2.288 였다. 필름 응력은 1.34 x 1010 dynes/cm2 (1.07 GPa)로 측정되었다. 이들 필름은 암모니아로 얻어진 것보다 더 높은 응력 및 더 낮은 에칭 속도를 갖는다(에칭 속도에 대한 표 1 참조). 그러한 필름의 균일도포성은 단리된 구조(isolated structures) 상에서 100% 인 것으로 나타났다.
실시예 7 : NH3 없이 N2 를 이용하고 디이소프로필아미노실란 전구체를 이용한 탄질화 규소 필름의 형성
전구체를 제외하고 실시예 5의 과정에 따른다. 10 sccm의 디이소프로필아미노실란(DIPAS)은 20 sccm He 및 20 sccm N2 와 함께 1.0 Torr(133 Pa)에서 70분의 증착 시간 동안 570℃ 에서 반응기에 유입되었다.
평균 필름 두께는 46 nm, 굴절율은 2.056 였다. 필름 응력은 1.07 x 1010 dynes/cm2 (1.07 GPa)로 측정되었다. 놀랍게도, 디이소프로필아미노실란에 대한 굴절율 및 응력은 실시예 6의 전구체의 값과 유사했다. 이들 결과는 이 부류의 물질 내에서 우수한 응력치가 달성될 수 있다는 것을 나타낸다.
실시예 8 : NH3 없이 N2 를 이용하고 대조군으로 비스(3차 부틸아미노)실란 전구체를 이용한 탄질화 규소 필름의 형성
전구체를 제외하고 실시예 5의 과정에 따르며, 이는 대조군으로 사용되었다. BTBAS는 전세계적으로 생산 과정에서 사용되는 전구체이며 이는 그 잘 인정된 수행 특성 때문에 대표적 아미노실란 비교대상으로 선택되었다.
10 sccm의 비스(3차 부틸아미노실란(BTBPAS)은 20 sccm He 및 20 sccm N2 와 함께 1.0 Torr(133 Pa)에서 70분의 증착 시간 동안 570℃ 에서 반응기에 유입되었다. 이들 필름은 모노-아미노실란의 단지 20%의 응력 및 10% 미만의 에칭 저항을 갖는다(표 1 참조).
도 1은 비스(3차)부틸아미노실란 및 디에틸아미노실란에 대한 응력 데이터를 사용하여 생성되었다. 이는 FSM 시스템을 이용한 응력 측정의 결과를 나타낸다. 디에틸아미노실란에 대한 결과는 예상하지 못한 것이었는데, 즉, 적당한 NH3:DEAS 비에서 높은 응력의 유지를 포함하여 낮은 NH3:DEAS 비에서 높은 응력이 달성되었다.
비스(3차부틸아미노)실란 및 디클로로실란과 같은 전구체는, 화학물질에 대한 암모니아 비율이 감소함에 따라 감소하는 응력을 갖는 필름을 생성한다. 낮은 NH3:BTBAS 비에서 응력 결과는 좋지 않다. 이들 전구체에 대해 감소하는 암모니아는 규소가 풍부한 필름을 생성하고 이는 규소 기판에 대해 이들 필름의 열 팽창 계수를 감소시킨다. 이론에 얽매이고자 하는 것은 아닐지라도, 증착 과정에서 감소하는 암모니아:DEAS 비는 Si 대 N 원자 비를 증가시키고 그 효과는 C 대 Si 원자 비를 증가시키는 것이다. 그런 다음 명백히 몇몇 Si-N이 Si-C 결합으로 치환되고 이들 결합은 유사한 응력을 갖는 필름을 생성하게 한다.
실시예의 두번째 구성성분은 필름 경도의 측정이었다. 이는 히시트론 시스템(Hysitron system)을 사용하여 새김 눈(indentation)에 의해 측정되었다. 도 2는 증착된 필름 경도를 나타내는 곡선이다. 디에틸아미노실란이 전구체로서 사용되었을 때 BTBAS 증착 및 열적으로 성장된(thermally grown) 이산화 규소에 비하여 더 단단한 필름이 얻어졌다. 더 단단한 필름은 밑에 놓인 층 및 그들 자체를 화학-기계적 연마(CMP) 조작에서 더욱 보호한다. 이 특성 또한 놀라왔다.
실시예 9 : 질화 규소 및 탄질화 규소 필름의 에칭 내성
이 실시예에서, 다양한 질화 규소 및 탄질화 규소의 에칭 결과가 표 1에 기재된다. 표 1은 1% (49% 중) HF에서 여러 전구체 유래의 에칭 필름의 결과를 나타낸다. 에칭 속도는 같은 시간에서 에칭된 열적으로 성장된 이산화 규소의 값에 비해 나타낸다. 에칭 속도가 더 낮을수록 바람직하지 않은 이산화규소가 제거됨에 따라 외형을 유지하고 밑에 놓인 층을 보호하기에 더 좋다.
24℃ 에서 49% HF 중 1%에서 필름 에칭 속도의 비교
화학물질 NH3 증착 온도 ℃ SiO2에 대한 1% HF 에칭 속도
BTBAS 2:1 570 0.188
BTBAS 0 570 0.018
DEAS 2:1 570 0.006
DEAS 4:1 570 0.008
DEAS 1:1 570 0.009
DEAS 0 570 0.001
DIPAS 2:1 570 0.006
DIPAS 0 570 0.006
BTBAS = 비스(3차부틸아미노)실란
DEAS = 디에틸아미노실란
DIPAS = 디이소프로필아미노실란
상기 표 1로부터, 0 내지 2의 NH3 대 전구체 비에서 DEAS는 우수한 낮은 에칭 속도를 갖는 것으로 나타난다. 반면에, NH3 : BTBAS의 비는 0.0:1에서도 2:1 비에서의 DEAS보다 더 높은 에칭 속도를 나타냈다. 우수한 낮은 에칭 속도는 낮은NH3 : BTBAS 비에서 나타나지만, BTBAS 응력 수준은 낮은 NH3 : BTBAS 레벨에서 열악하다는 것을 유의하라.
요약하면, 화학식 SixCyNz 의 유전체 탄질화 규소 필름은 CVD 및 기타 증착 공정에 의해 상술한 아미노실란의 부류로부터 제조될 수 있다. SixCyNz 필름 형성을 위한 많은 전구체는 잘 수행하지 않는 반면, SiH3 기의 높은 활성이 550℃ 정도로 낮은 온도에서 SixCyNz 필름 증착을 생성하게 하는 것으로 생각된다.
또한 규소 중심에서 암모니아 아미노기 전이반응의 낮은 입체 장애가 이들 화합물을 암모니아와 반응하게 하고 비교적 낮은 온도에서 증가하는 질소 농도로 필름을 형성하게 한다고 생각된다. 에틸, 이소프로필, 부틸 등과 같은 리간드는 베타-수소화물 제거에 의해 휘발성의 부산물이 되므로 좋은 이탈기로서 기능한다. 뒤에 남겨진 임의의 탄소는 규소에 결합된다. 반면에, 과거에 공지된 바와 같은 메틸기를 갖는 아미노실란 전구체는 이러한 분리 경로를 갖지 않는다. 이들은 질소에 결합된 상태로 남으며 성장하는 필름 내에 포함되고 트랩될 수 있다. 그러한 트랩된(trapped) 메틸기의 존재는 적외선 스펙트럼에서 용이하게 식별된다(도 3 참조). 여기서 도 3의 C-H 피크의 부재는 필름 내 트랩된 탄화수소가 극히 낮은 수준일 수 있다는 것을 나타낸다.

Claims (12)

  1. 상온 및 상압에서 액체인, 하기 화학식 A를 가지는 아미노실란 화합물.
    Figure pat00009

    (상기 식들 중,
    R은 포화 또는 불포화 C1-C10 직쇄 알킬기 또는 C3-C10 분지쇄 또는 환식의 알킬기, 방향족기, 복소환기 및 아민기로부터 선택되고,
    R1은 분지쇄 또는 환식의 포화 또는 불포화 C3-C10 알킬기, 방향족기, 복소환기 및 수소로부터 선택되고,
    여기서 화학식 A의 R과 R1은 환식기로 결합될 수 있으며,
    단 화학식 A의 R과 R1이 둘 다 직쇄 알킬기, 이소프로필, 이소부틸, 또는 페닐은 아니다.)
  2. 제1항에 있어서, 하기 화학식을 갖는 아미노실란 화합물.
    Figure pat00010
  3. 제1항에 있어서, 하기 화학식을 갖는 아미노실란 화합물.
    Figure pat00011
  4. 제1항에 있어서, 하기 화학식을 갖는 아미노실란 화합물.
    Figure pat00012
  5. 아릴실란 및 술폰산이 반응하여 중간체를 형성하도록 아릴실란 및 술폰산을 포함하는 반응 혼합물을 제공하는 단계; 및
    아민이 중간체와 반응하여 하기 화학식 A를 갖는 아미노실란을 제공하도록 반응 혼합물에 2차 아민 및 1차 아민으로 이루어진 군으로부터 선택되는 아민을 첨가하는 단계
    를 포함하는 하기 화학식 A로 표시되는 아미노실란의 제조 방법.
    Figure pat00013

    (상기 식들 중,
    R은 포화 또는 불포화 C1-C10 직쇄 알킬기 또는 C3-C10 분지쇄 또는 환식의 알킬기, 방향족기, 복소환기 및 아민기로부터 선택되고,
    R1은 분지쇄 또는 환식의 포화 또는 불포화 C3-C10 알킬기, 방향족기, 복소환기 및 수소로부터 선택되고,
    여기서 화학식 A의 R과 R1은 환식기로 결합될 수 있다.)
  6. 제5항에 있어서, 반응 혼합물이 용매를 추가로 포함하고, 화학식 A를 갖는 아미노실란을 반응 혼합물로부터 분리하는 단계를 추가로 포함하는 방법.
  7. 제5항에 있어서, 반응 혼합물이 트리에틸아민을 추가로 포함하는 방법.
  8. 제5항에 있어서, 반응 혼합물을 아민의 첨가 이전에 -40℃ 이하로 냉각시키는 방법.
  9. 제5항에 있어서, 아릴실란이 페닐실란 및 톨릴실란으로 이루어진 군으로부터 선택되는 것인 방법.
  10. 제5항에 있어서, 술폰산이 트리플루오로메탄술폰산인 방법.
  11. 제5항 내지 제10항 중 어느 한 항에 있어서, 아미노실란이 하기 화학식으로 표시되는 방법.
    Figure pat00014
  12. 제5항 내지 제10항 중 어느 한 항에 있어서, 아미노실란이 하기 화학식으로 표시되는 방법.
    Figure pat00015
KR1020130101336A 2005-05-16 2013-08-26 Cvd 탄질화 규소 필름을 위한 전구체 KR20130100256A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/129,862 US7875556B2 (en) 2005-05-16 2005-05-16 Precursors for CVD silicon carbo-nitride and silicon nitride films
US11/129,862 2005-05-16

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020120123739A Division KR20120135894A (ko) 2005-05-16 2012-11-02 Cvd 탄질화 규소 필름을 위한 전구체

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170132592A Division KR20170119314A (ko) 2005-05-16 2017-10-12 Cvd 탄질화 규소 필름을 위한 전구체

Publications (1)

Publication Number Publication Date
KR20130100256A true KR20130100256A (ko) 2013-09-10

Family

ID=36809281

Family Applications (12)

Application Number Title Priority Date Filing Date
KR1020060043601A KR100822154B1 (ko) 2005-05-16 2006-05-15 Cvd 탄질화 규소 필름을 위한 전구체
KR1020070132243A KR20080005331A (ko) 2005-05-16 2007-12-17 Cvd 탄질화 규소 필름을 위한 전구체
KR1020110016178A KR101335767B1 (ko) 2005-05-16 2011-02-23 Cvd 탄질화 규소 필름을 위한 전구체
KR1020120123739A KR20120135894A (ko) 2005-05-16 2012-11-02 Cvd 탄질화 규소 필름을 위한 전구체
KR1020130089805A KR20130093578A (ko) 2005-05-16 2013-07-29 Cvd 탄질화 규소 필름을 위한 전구체
KR1020130101336A KR20130100256A (ko) 2005-05-16 2013-08-26 Cvd 탄질화 규소 필름을 위한 전구체
KR1020130124553A KR20130133720A (ko) 2005-05-16 2013-10-18 Cvd 탄질화 규소 필름을 위한 전구체
KR1020140019249A KR20140043413A (ko) 2005-05-16 2014-02-19 Cvd 탄질화 규소 필름을 위한 전구체
KR1020140019857A KR20140043417A (ko) 2005-05-16 2014-02-20 Cvd 탄질화 규소 필름을 위한 전구체
KR1020140019854A KR20140043416A (ko) 2005-05-16 2014-02-20 Cvd 탄질화 규소 필름을 위한 전구체
KR1020160145416A KR20160131982A (ko) 2005-05-16 2016-11-02 Cvd 탄질화 규소 필름을 위한 전구체
KR1020170132592A KR20170119314A (ko) 2005-05-16 2017-10-12 Cvd 탄질화 규소 필름을 위한 전구체

Family Applications Before (5)

Application Number Title Priority Date Filing Date
KR1020060043601A KR100822154B1 (ko) 2005-05-16 2006-05-15 Cvd 탄질화 규소 필름을 위한 전구체
KR1020070132243A KR20080005331A (ko) 2005-05-16 2007-12-17 Cvd 탄질화 규소 필름을 위한 전구체
KR1020110016178A KR101335767B1 (ko) 2005-05-16 2011-02-23 Cvd 탄질화 규소 필름을 위한 전구체
KR1020120123739A KR20120135894A (ko) 2005-05-16 2012-11-02 Cvd 탄질화 규소 필름을 위한 전구체
KR1020130089805A KR20130093578A (ko) 2005-05-16 2013-07-29 Cvd 탄질화 규소 필름을 위한 전구체

Family Applications After (6)

Application Number Title Priority Date Filing Date
KR1020130124553A KR20130133720A (ko) 2005-05-16 2013-10-18 Cvd 탄질화 규소 필름을 위한 전구체
KR1020140019249A KR20140043413A (ko) 2005-05-16 2014-02-19 Cvd 탄질화 규소 필름을 위한 전구체
KR1020140019857A KR20140043417A (ko) 2005-05-16 2014-02-20 Cvd 탄질화 규소 필름을 위한 전구체
KR1020140019854A KR20140043416A (ko) 2005-05-16 2014-02-20 Cvd 탄질화 규소 필름을 위한 전구체
KR1020160145416A KR20160131982A (ko) 2005-05-16 2016-11-02 Cvd 탄질화 규소 필름을 위한 전구체
KR1020170132592A KR20170119314A (ko) 2005-05-16 2017-10-12 Cvd 탄질화 규소 필름을 위한 전구체

Country Status (7)

Country Link
US (5) US7875556B2 (ko)
EP (4) EP2264218B1 (ko)
JP (6) JP4680832B2 (ko)
KR (12) KR100822154B1 (ko)
AT (1) ATE437252T1 (ko)
DE (1) DE602006007906D1 (ko)
TW (1) TWI305236B (ko)

Families Citing this family (420)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
KR100938898B1 (ko) * 2008-04-17 2010-01-27 삼성모바일디스플레이주식회사 풀칼라 유기전계발광표시장치 및 그의 제조방법
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102612736A (zh) * 2009-10-06 2012-07-25 瑞萨电子株式会社 半导体器件及其制造方法
TWI498447B (zh) * 2010-04-01 2015-09-01 Air Liquide 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US9079923B2 (en) 2010-08-05 2015-07-14 Air Products And Chemicals, Inc. Multidentate ketoimine ligands for metal complexes
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8617305B2 (en) 2011-01-25 2013-12-31 Air Products And Chemicals, Inc. Metal complexes for metal-containing film deposition
US8465902B2 (en) 2011-02-08 2013-06-18 Az Electronic Materials Usa Corp. Underlayer coating composition and processes thereof
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP3929326A3 (en) 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9677178B2 (en) 2012-01-27 2017-06-13 Versum Materials Us, Llc Alkoxyaminosilane compounds and applications thereof
US9200167B2 (en) * 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
CN103243310B (zh) * 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101380317B1 (ko) * 2012-08-31 2014-04-04 주식회사 유진테크 머티리얼즈 실리콘 원자 및 금속 원자에 대한 친화성이 우수한 고리형 아미노실란 화합물, 이의 제조방법 및 이의 응용
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
KR20140067786A (ko) * 2012-11-27 2014-06-05 주식회사 유피케미칼 실리콘 전구체 화합물, 및 상기 전구체 화합물을 이용한 실리콘-함유 박막의 증착 방법
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
CN105849221B (zh) * 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6318433B2 (ja) * 2013-11-28 2018-05-09 大陽日酸株式会社 シリコン窒化膜の形成方法及びシリコン窒化膜
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10030037B2 (en) 2014-05-30 2018-07-24 Dow Silicones Corporation Diaminosilane compounds
JP2017523134A (ja) 2014-05-30 2017-08-17 ダウ コーニング コーポレーションDow Corning Corporation モノアミノシラン化合物
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
JP6201957B2 (ja) * 2014-10-28 2017-09-27 信越化学工業株式会社 N−シリルピペラジンの製造方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US10087521B2 (en) 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11735413B2 (en) 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102105976B1 (ko) * 2017-03-29 2020-05-04 (주)디엔에프 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6787875B2 (ja) * 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10640523B2 (en) 2018-01-12 2020-05-05 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
JP2019145589A (ja) * 2018-02-16 2019-08-29 東芝メモリ株式会社 半導体装置の製造方法
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7436054B2 (ja) 2018-11-15 2024-02-21 ユーピー ケミカル カンパニー リミテッド シリコン前駆体化合物、製造方法、及びこれを利用するシリコン含有膜の形成方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113748226A (zh) * 2019-04-25 2021-12-03 弗萨姆材料美国有限责任公司 用于氧化硅薄膜的高温原子层沉积的有机氨基二硅氮烷
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11492364B2 (en) 2020-03-31 2022-11-08 Entegris, Inc. Silicon hydrazido precursor compounds
CN115485284A (zh) * 2020-03-31 2022-12-16 恩特格里斯公司 用于制备含硅膜的前驱物和方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11965239B2 (en) 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
US20210261790A1 (en) * 2021-05-03 2021-08-26 Silcotek Corp. Coated systems for hydrogen
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN117940440A (zh) * 2021-08-25 2024-04-26 恩特格里斯公司 硅前体
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115260223B (zh) * 2022-09-26 2022-12-23 江苏南大光电材料股份有限公司 无氯催化剂于制备二异丙胺硅烷中的用途

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1008403A (en) 1961-01-19 1965-10-27 Secr Aviation Polymeric compounds containing silicon-nitrogen bonds
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH04151839A (ja) 1990-10-16 1992-05-25 Kawasaki Steel Corp シリコンオキシナイトライド膜の製造方法
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
US5382550A (en) 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
JP3336770B2 (ja) 1993-12-27 2002-10-21 ソニー株式会社 絶縁膜の形成方法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
US5534609A (en) * 1995-02-03 1996-07-09 Osi Specialties, Inc. Polysiloxane compositions
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5976991A (en) 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6153261A (en) 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156063A (ja) 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
US6486015B1 (en) 2000-04-25 2002-11-26 Infineon Technologies Ag Low temperature carbon rich oxy-nitride for improved RIE selectivity
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
KR20050018641A (ko) 2002-07-19 2005-02-23 에비자 테크놀로지, 인크. 아미노실란 및 오존을 이용한 저온 유전체 증착
WO2004010467A2 (en) 2002-07-19 2004-01-29 Aviza Technology, Inc. Low temperature dielectric deposition using aminosilane and ozone
US20060178019A1 (en) 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6963006B2 (en) 2003-01-15 2005-11-08 Air Products And Chemicals, Inc. Process for the production and purification of bis(tertiary-butylamino)silane
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4354732B2 (ja) * 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
US7311946B2 (en) 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
JP4015976B2 (ja) 2003-08-28 2007-11-28 株式会社東芝 電子装置の製造方法
US7470450B2 (en) 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
KR100563785B1 (ko) * 2004-01-28 2006-03-27 삼성전자주식회사 반도체 장치의 구리 배선 형성 방법
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
KR100638471B1 (ko) 2004-08-25 2006-10-25 동국제약 주식회사 실라스타틴 나트륨염의 신규한 제조 방법
US20060051975A1 (en) 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7825040B1 (en) * 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
TWI498447B (zh) * 2010-04-01 2015-09-01 Air Liquide 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積

Also Published As

Publication number Publication date
JP6325613B2 (ja) 2018-05-16
KR20170119314A (ko) 2017-10-26
EP2110459B1 (en) 2012-08-08
JP6098947B2 (ja) 2017-03-22
JP2010147485A (ja) 2010-07-01
KR20140043417A (ko) 2014-04-09
KR20160131982A (ko) 2016-11-16
JP5306533B2 (ja) 2013-10-02
KR20130093578A (ko) 2013-08-22
TW200702481A (en) 2007-01-16
EP2264218A1 (en) 2010-12-22
EP2278046B1 (en) 2019-10-30
JP5646248B2 (ja) 2014-12-24
JP2013042177A (ja) 2013-02-28
JP2011040741A (ja) 2011-02-24
US20110165346A1 (en) 2011-07-07
KR20120135894A (ko) 2012-12-17
JP4680832B2 (ja) 2011-05-11
US20090069588A1 (en) 2009-03-12
US7932413B2 (en) 2011-04-26
KR20130133720A (ko) 2013-12-09
US20150147893A1 (en) 2015-05-28
US20130244448A1 (en) 2013-09-19
US9640386B2 (en) 2017-05-02
JP4824823B2 (ja) 2011-11-30
KR20140043416A (ko) 2014-04-09
US8932675B2 (en) 2015-01-13
US8383849B2 (en) 2013-02-26
TWI305236B (en) 2009-01-11
EP1724373B1 (en) 2009-07-22
KR20110036715A (ko) 2011-04-08
KR20060118358A (ko) 2006-11-23
KR20140043413A (ko) 2014-04-09
US7875556B2 (en) 2011-01-25
EP2264218B1 (en) 2012-11-14
EP2278046A1 (en) 2011-01-26
US20060258173A1 (en) 2006-11-16
ATE437252T1 (de) 2009-08-15
EP2110459A1 (en) 2009-10-21
EP1724373A1 (en) 2006-11-22
JP2017011281A (ja) 2017-01-12
JP2015039008A (ja) 2015-02-26
DE602006007906D1 (de) 2009-09-03
KR100822154B1 (ko) 2008-04-15
JP2007051363A (ja) 2007-03-01
KR101335767B1 (ko) 2013-12-02
KR20080005331A (ko) 2008-01-11

Similar Documents

Publication Publication Date Title
KR101335767B1 (ko) Cvd 탄질화 규소 필름을 위한 전구체
JP5781750B2 (ja) 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2010147485A5 (ja) アミノシラン、シリコン含有膜の形成用前駆体、シリコン含有膜の形成用組成物
US7601860B2 (en) Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
KR20120056813A (ko) Cvd 탄질화 규소 필름을 위한 전구체

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2014101007914; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20141222

Effective date: 20160921

S901 Examination by remand of revocation
E902 Notification of reason for refusal
AMND Amendment
S601 Decision to reject again after remand of revocation
AMND Amendment
J201 Request for trial against refusal decision
GRNO Decision to grant (after opposition)