JP2016536276A - 触媒脱水素カップリングによるアミノシランの無ハロゲン合成 - Google Patents

触媒脱水素カップリングによるアミノシランの無ハロゲン合成 Download PDF

Info

Publication number
JP2016536276A
JP2016536276A JP2016517351A JP2016517351A JP2016536276A JP 2016536276 A JP2016536276 A JP 2016536276A JP 2016517351 A JP2016517351 A JP 2016517351A JP 2016517351 A JP2016517351 A JP 2016517351A JP 2016536276 A JP2016536276 A JP 2016536276A
Authority
JP
Japan
Prior art keywords
branched
linear
group
reaction mixture
alkyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016517351A
Other languages
English (en)
Other versions
JP6529184B2 (ja
Inventor
サンチェス アントニオ
サンチェス アントニオ
イトヴ ゲナディ
イトヴ ゲナディ
ペン チャン
ペン チャン
ダミアン スティーブンズ マシュー
ダミアン スティーブンズ マシュー
カンデルワル マニッシュ
カンデルワル マニッシュ
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2016536276A publication Critical patent/JP2016536276A/ja
Application granted granted Critical
Publication of JP6529184B2 publication Critical patent/JP6529184B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/16Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of arsenic, antimony, bismuth, vanadium, niobium, tantalum, polonium, chromium, molybdenum, tungsten, manganese, technetium or rhenium
    • B01J23/24Chromium, molybdenum or tungsten
    • B01J23/26Chromium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/38Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals
    • B01J23/40Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals of the platinum group metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/38Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals
    • B01J23/40Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals of the platinum group metals
    • B01J23/46Ruthenium, rhodium, osmium or iridium
    • B01J23/462Ruthenium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/70Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the iron group metals or copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/1616Coordination complexes, e.g. organometallic complexes, immobilised on an inorganic support, e.g. ship-in-a-bottle type catalysts
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/442Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using fluidised bed process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)
  • Catalysts (AREA)

Abstract

化合物、並びにSi−X及びGe−X化合物(X=N、P、As及びSbである)を金属触媒によって触媒される対応する非置換シラン及びアミン(アンモニアを含む)又はホスフィン間の脱水素カップリングによって作製する方法を記載する。この新たなアプローチは、Si−X含有化合物及び水素ガスを形成するSi−H及びX−H部分の触媒脱水素カップリングに基づく(X=N、P、As及びSbである)。このプロセスはRu/炭素(0)、Pd/MgO)(0)等の遷移金属不均一触媒、及び均一触媒として作用する遷移金属有機金属錯体によって触媒することができる。脱水素カップリングによって生成する−Si−X生成物は本質的にハロゲン無含有である。該化合物はSi含有膜の化学蒸着又は原子層堆積による薄膜の堆積に有用であり得る。【選択図】図2

Description

本出願は、2013年9月27日付けで出願された米国仮特許出願第61/883,452号に対する優先権を主張するものである。この米国仮特許出願の優先権が主張される。
ケイ素−ヘテロ原子及びゲルマニウムヘテロ原子結合を形成するための文献中に見られるプロセスの殆どは、クロロシラン及び求核試薬(アミン、ホスフィン等)の反応を伴う。これらの反応は正味の(net)脱ハロゲン化水素に基づくため、塩基により除去する必要がある1当量のハロゲン化水素を形成し、濾別する必要がある大量の塩を形成する。また、これにより反応の範囲が塩基適合基板に制限され、塩素及びアミノハロゲン等のハロゲンで汚染された生成物が生じる。
モノシラン、ジシラン及びトリシラン等のシラン化合物は様々な用途で使用される。半導体分野では、シラン化合物は例えば窒化ケイ素、酸化ケイ素又は酸窒化ケイ素のケイ素ベース誘電体膜の化学蒸着(CVD)による作製に出発物質(前駆体)としてよく使用される。より具体的には、シラン化合物はアンモニア等の窒素含有反応ガスとの反応によって窒化ケイ素、酸素等の酸素含有ガスとの反応によって酸化ケイ素、窒素含有ガス及び酸素含有ガスとの反応によって酸窒化ケイ素を生じ得る。
現在、窒化ケイ素膜をCVDにより作製する標準的な方法は、アンモニアガス又は他のアミン(アミノ化合物)とクロロシラン等のハロシラン(シラン化合物)との間で反応を誘導することを含む。しかしながら、塩化アンモニウム又はアミン塩酸塩がこの反応により副生成物として生成する。塩化アンモニウムは白色固体であり、それ自体がCVD反応装置の排気ラインに蓄積し、詰まらせる。アミン塩酸塩はCVDチャンバ中の金属と反応し、半導体材料の電気的特性を低下させるか又は他のタイプの欠陥を生じる可能性があるため、電気的用途で使用されるアミノシランにおいて極めて望ましくない汚染物質である。それだけでなく、これらの塩はHClを生じる解離−再結合プロセスによって昇華することが知られている。塩化水素は、CVDチャンバにおいて行われる任意のプロセス及びチャンバ自体を損なう可能性がある腐食性ガスである。これらの又は任意の他の供給源に由来する反応性塩素は、これらの有害作用を引き起こし得る。ハロゲン含有反応物を使用することなく合成されることで、ハロゲン及びアミノハロゲン無含有となるシラン化合物が極めて望ましい。
したがってCVD法では、ハロゲン無含有である前駆体化合物を用いることが望まれる。
典型的な反応装置を示す図であり、ここで反応槽は撹拌器が取り付けられ、真空及び反応物を供給することが可能なマニホールドに接続されたオートクレーブ反応器である。 典型的な反応装置を示す図であり、ここで反応容器には水素放出を可能にする凝縮器、及び生成物の除去のためのフィルターを有する浸漬管が取り付けられている。
ケイ素−ヘテロ原子(X)結合又はゲルマニウムヘテロ原子結合を有する化合物を、ハ
ロゲン副生成物を形成することなく合成する方法を開発した。本明細書に記載の脱水素カップリング合成法の出発物質はハロゲン含有化合物ではない。本明細書に記載及び特許請求される脱水素カップリング合成によって生成する化合物は全て、更に精製することなく「ハロゲン無含有」であり、「ハロゲン無含有」という用語は本明細書に規定される。ハロゲンが前駆体化合物中に存在する場合、これらの化合物はより不安定であると考えられる。本発明の化合物は、第一に新たな化合物として、第二に調製される化合物として更に精製してハロゲンを除去することなくハロゲン無含有である2つの形態で特許請求される。ケイ素及びゲルマニウムはIVb族元素である。このアプローチはヘテロ原子とのケイ素又はゲルマニウムの触媒デヒドロカップリングに基づき、水素ガスが放出される。Si−X又はGe−X結合が形成され、ここでXは窒素(N)、リン(P)、ヒ素(As)及びアンチモン(Sb)からなる群から選択されるVb族元素である。このプロセスは遷移金属触媒によって触媒される。触媒は不均一又は均一であり得る。アミンの一般反応の実例を方程式1で示す。Vb族ヘテロ原子N、P、As又はSb及びIVb族元素の一般反応の実例を方程式1Aに示す。反応は溶媒中又は溶媒なしで行うことができる。反応はバッチ又は連続流式反応器内で行うことができる。
1. REH+n(RNH)=(RN)EH(3−n)+nH1A. REH+n(RXH)=(RX)EH(3−n)+nH
ここで、X=N、P、As又はSbであり、n=1、2又は3であり、EはSi又はGeからなる群から選択されるIVb族元素であり、XはN、P、As又はSbからなる群から選択されるヘテロ原子であり、R=H、HE−、H−、H−、H−、H11−であり、R=H、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、RはH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルである。上記方程式1において、アミンRNHはジアミン、トリアミン、テトラアミン、シラザン及び環状第二級アミンに置き換えられてもよい。ジアミンの非限定的な例としては、エチレンジアミン、1,2−プロピレンジアミン及び類似のジアミンが挙げられる。トリアミンの非限定的な例としては、ジエチレントリアミン及び類似の化合物が挙げられる。テトラアミンの非限定的な例としては、トリエチレンテトラアミン及び類似の化合物が挙げられる。シラザンの非限定的な例としては、ヘキサメチルジシラザンが挙げられる。環状第二級アミンの非限定的な例としては、アジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドール、又は環状第二級アミンの任意のC置換誘導体、及び類似の化合物が挙げられる。環状第二級アミンのC置換誘導体の非限定的なリストには、2−メチルピペリジン、3−メチルピペリジン、4−メチルピペリジン、2−メチルピロリジン、3−メチルピロリジン、2−メチルピロール、3−メチルピロール、2−メチルインドール及び3−メチルインドール等の環状第二級アミンの任意のアルキル置換誘導体が含まれる。第二級環状アミンは、主鎖(環)中に1つ又は複数のN基及び幾つかの炭素原子を含有する複素環である。例えばピペリジンは、5つの炭素及び1つの窒素を六員環構造に含有する。各炭素は2つのペンダント水素に付加し、窒素は1つのペンダント水素に付加する。炭素置換複素環第二級アミンは、環を構成する1つ又は複数の炭素原子に付加した水素以外のペンダント置換基を有する複素環構造を含有する。典型的なペンダント置換基としては、アルキル、アルケニル、アルキニル、アリール、アルキルエーテル、シリル、トリメチルシリル又はアルキル置換シリルが挙げられるが、これらに限定されない。方程式1Aにおいて、XがP、As又はSbである場合、R=HE−、H−、H−、H−、H11−であり、R=H、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直
鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、RはH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルである。RがHEであり、R及びRが独立してC又はCアルキルである化合物は上記化合物から除外される。
アルキル置換基の成員の非限定的なリストにはメチル、エチル、プロピル、イソプロピル、ブチル、tert−ブチル、sec−ブチル、イソブチル、ペンチル、ネオペンチル、イソペンチル、ヘキシル、イソヘキシルが含まれる。アリール置換基の成員の非限定的なリストにはフェニル、トリル、キシリル、ナフチル(naphthyl)、ピリジルが含まれる。
アルケニルは、1つの水素原子を除去することによるアルケンに由来する任意の一価脂肪族炭化水素ラジカルC2n−1(2−ブテニルCHCH:CHCH−等)として規定される。ここでn=2〜8である。
アルキニルは、炭素間三重結合及び一般式C2n−2を有する一連の開鎖炭化水素のいずれかとして規定される。ここでn=2〜8である。
ヘテロ原子化合物の構造及びSi又はGe化合物の構造及びEとXとのモル比に応じて、E−X結合を含有する多数の分子を形成することができる。E−X結合を含有するこれらの分子は直鎖、分岐、環状又はそれらの組合せであり得る。直鎖、分岐及び環状並びに組合せ、並びに各々を合成する方法の例を記載する。
式:
Figure 2016536276
(RN)n1(RN)n2(2(k+1)−n1−n2)
(式中、n=1〜(2(k+1)−n)であり、n=0〜(2(k+1)−n)であり、k=2〜6であり、R、R、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物(ハロゲン無含有ではない以下の化合物は、ハロゲン含有反応物を含む方法によって作製することができ、本明細書に含まれる物質クレームの組成物から除外されるが、本明細書に含まれる合成クレームの方法からは除外されない。除外される化合物としては、[(RN)3−xSi−Si(NR3−y](式中、R、R、R及びRは独立して任意の置換又は非置換の直鎖、分岐又は環状アルキル基であり、x、y=0、1又は2である)、(RN)−SiH(3−n)SiH
式中、Rは直鎖若しくは分岐C〜C10アルキル基、直鎖若しくは分岐C〜C10アルケニル基、直鎖若しくは分岐C〜C10アルキニル基、C〜Cジアルキルアミノ基、電子求引基、C〜C10環状アルキル基及びC〜C10アリール基から選択され、RはH、直鎖若しくは分岐C〜C10アルキル基、直鎖若しくは分岐C〜Cアルケニル基、直鎖若しくは分岐C〜Cアルキニル基、C〜Cジアルキルアミノ基、C〜C10環状アルキル基、C〜C10アリール基、電子求引基及びC〜C10アリール基から選択され、n=1又は2であり、R及びRが互いに連結して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成し、n=2であり、かつR及び/又はR又はRの両方又はRの両方が互いに連結して環((R)HN)−Si−Si−(NH(R))を形成する場合、各Rは独立してC〜Cヒドロカルビル、(EtN)SiH−SiH(NEt)、(EtN)SiH−SiH−SiH(NEt)、SiH−SiH(NEt)−SiH(NEt)−SiH、[((CHSi−)N]−SiH−SiH−SiH−[N(−Si(CH]、[((CHSi−)N]−SiH−SiH−SiH−SiH−[N(−Si(CH]、
Figure 2016536276
及び
Figure 2016536276
(TMS=トリメチルシラン)から選択される)が挙げられ、ハロゲン無含有と報告されているHSiNEtが更に除外される)を作製する方法であって、
a)反応物RNH及びRNH及びE2(k+1)を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、(RN)n1(RN)n2(2(k+1)−n1−n2)を形成することと、
e)(RN)n1(RN)n2(2(k+1)−n1−n2)を反応混合物から分離することと、
を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
k=3、R=R=イソプロピル、n=1、n=0の構造式。
Figure 2016536276
式:
Figure 2016536276
N(CHN(CHNR3(E(2k+1)
(式中、n=1〜6であり、m=1〜6であり、k=1〜6であり、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物を作製する方法であって、
a)反応物RNH(CHNH(CHNHR及びE(2k+2)を遷移金属触媒の存在下で接触させ、HE(2k+1)とRNH(CHNH(CHNHRとのモル比が少なくとも3:1である反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RN(CHN(CHNR3(E(2k+1))を形成することと、
e)生成物RN(CHN(CHNR3(E(2k+1))を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
k=3、R=エチル、R=エチル、n=1、m=1の構造:
Figure 2016536276
式:
Figure 2016536276
N(CHN(CHNR3EH
(式中、Eの一方が3つの窒素に付加し、n=1〜6であり、m=1〜6であり、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物を作製する方法であって、
a)反応物RNH(CHNH(CHNHR及びHEを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RN(CHN(CHNR3EHを形成することと、
e)生成物RN(CHN(CHNR3EHを反応混合物から分離することと、
を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
k=3、R=エチル、R=エチル、n=1、m=1のサンプル構造:
Figure 2016536276
式:
Figure 2016536276
N(CHN(CHNR(2k+1)
(式中、n=1〜6であり、m=1〜6であり、k=1〜6であり、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物を作製する方法であって、
a)反応物RNH(CHNH(CHNHR及びHE(2k+1)を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RN(CHN(CHNR(E2k+1)を形成することと、
e)RN(CHN(CHNR(E2k+1)を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
k=3、R=エチル、R=エチル、n=1、m=1の構造:
Figure 2016536276
式:
Figure 2016536276
N(CHN(CHNR(2k+1)
(式中、Eの一方が1つの窒素に付加し、n=1〜6であり、m=1〜6であり、k=1〜6であり、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物を作製する方法であって、
a)反応物RNH(CHNH(CHNHR及びHE(2k+1)を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RN(CHN(CHNR(2k+1)を形成することと、
e)RN(CHN(CHNR(2k+1)を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
k=1、R=エチル、R=エチル、n=1、m=1の構造:
Figure 2016536276
式:
Figure 2016536276
環状(RN)−E(2k)−環状NR
(上記の環状第二級アミン構造を有する組成物は「環状RN−」と称される。式中、n=1又は2であり、k=2〜6であり、R及びRは独立して−CHR’−、−CHR’−CHR’’−、−CHR’−CHR’’−CHR’’’−、=CH−、−CR’=CR’’−、−CR’=N−CR’’=、=CH−、−CHR’=CHR’’−からなる群から選択され、R’、R’’及びR’’’は独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合
物(ハロゲン無含有でない以下の化合物は、ハロゲン含有反応物を含む方法によって作製することができ、本明細書に含まれる物質クレームの組成物から除外されるが、本明細書に含まれる合成クレームの方法からは除外されない。除外される化合物としては:
Figure 2016536276
(式中、R=CH、Phである)が挙げられる)を作製する方法であって、
a)反応物である環状RNH及びHE(2k+1)を遷移金属触媒の存在下で接触させ、HE(2k+1)と環状RNHとのモル比が少なくとも3:1である反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させ、環状RN−E(2k+1))を形成することと、
e)環状RN−E(2k+1))を反応混合物から分離することと、
を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
k=3、環状RNH=ピロリジン、R及びR=−CHR’−CHR’’−、R’、R’’=Hの構造:
Figure 2016536276
式:
Figure 2016536276
環状RN−E(2k)−環状NR
(式中、n=1又は2であり、k=1〜6であり、R及びRは独立して−CHR’−、−CHR’−CHR’’−、−CHR’−CHR’’−CHR’’’−、=CH−、−CR’=CR’’−、−CR’=N−CR’’=、=CH−、−CHR’=CHR’’−
からなる群から選択され、R’、R’’及びR’’’は独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物(該化合物から、n=2であり、k=1であり、E=Siであり、R、Rがどちらも−CR’=CR’’−であり、R’及びR’’がどちらもHである以下のハロゲン無含有化合物が除外される)を合成する方法であって、
a)反応物である環状RNH及びHE(2k+1)を遷移金属触媒の存在下で接触させ、HE(2k+1)と環状RNHとのモル比が約1:1〜約1:5である反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させ、環状RN−E(2k)−環状NRを形成することと、
e)環状RN−E(2k)−環状NRを反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応中の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
好ましくは、第二級環状アミンはアジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドール、又は環状第二級アミンの任意のC置換誘導体からなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である。
塩素無含有、ハロゲン化物無含有、ハロゲン無含有及びアミノ塩素(aminochlorine)無含有及びアミノハロゲン無含有という用語は、5ppm未満のハロゲン、好ましくは3ppm未満のハロゲン、より好ましくは1ppm未満のハロゲンを含有する化合物を規定するように本明細書で使用される。ハロゲンという用語にはフッ素、塩素、臭素及びヨウ素が含まれる。ハロゲン無含有生成物を達成するために、本発明の出発反応物及び触媒はハロゲン無含有である。アミノハロゲン化物及びアミノハロゲンという用語は、アンモニアを含むが、これに限定されない任意のアミン及びハロゲンと会合する有機アミンを指す。この会合は塩、錯体又は化学結合であり得る。「反応槽」及び「反応器」という用語は同じ装置を指し、同じ意味を有し、本明細書で区別なく使用される。反応器はバッチ合成用の容器又は連続合成を容易にする流動容器であり得る。「反応混合物」という用語は、反応が起こり、生成物が形成する反応物、触媒及び任意に溶媒の組合せを指す。本開示及び特許請求の範囲で使用される「ハロゲン無含有」という用語は、限定されるものではないが、ハロゲンイオン、結合ハロゲン及びアミノハロゲン等の全ての供給源に由来して存在するハロゲンのレベルを指す。
式:
Figure 2016536276
(RX)n1(RX)n2(2(k+2)−n1−n2)
(式中、X=P、As、Sbであり、n=1であり、n=0〜(2(k+2)−n)であり、k=1〜6であり、R、R、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物。
構造(RX)n1(RX)n2(2(k+2)−n1−n2)を有する化合物を作製する方法であって、
a)反応物RXH及びRXH及びE2(k+1)を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、(RX)n1(RX)n2(2(k+1)−n1−n2)を形成することと、
e)(RX)n1(RX)n2(2(k+1)−n1−n2)を反応混合物から分離することと、
を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
X(CHX(CHXR3(E(2k+1)
(式中、X=P、As、Sbであり、n=1〜6であり、m=1〜6であり、k=1〜6であり、R=H、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、R=H、直鎖若しくは分岐C〜Cアルキ
ル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物。
構造RX(CHX(CHXR3(E(2k+1))を有する化合物を作製する方法であって、
a)反応物RXH(CHXH(CHXHR及びHE(2k+1)を遷移金属触媒の存在下で接触させ、HE(2k+1)とRXH(CHXH(CHXHRとのモル比が少なくとも3:1である反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RX(CHX(CHXR3(E(2k+1))を形成することと、
e)生成物RX(CHX(CHXR3(E(2k+1))を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
X(CHX(CHXR3EH
(式中、X=P、As、Sbであり、n=1〜6であり、m=1〜6であり、k=3〜6であり、R=H、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、R=H、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物。
構造RX(CHX(CHXR3EHを有する化合物を作製する方法であって、
a)反応物RXH(CHXH(CHXHR及びHEを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RX(CHX(CHXR3EHを形成することと、
e)生成物RX(CHX(CHXR3EHを反応混合物から分離することと、
を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
X(CHX(CHXR(2k+1)
(式中、X=P、As、Sbであり、n=1〜6であり、m=1〜6であり、k=2〜6であり、R=H、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、R=H、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物。
構造RX(CHX(CHXR(2k+1)を有する化合物を作製する方法であって、
a)反応物RXH(CHXH(CHXHR及びHE2kを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RX(CHX(CHXR(2k+1)を形成することと、
e)RX(CHX(CHXR(2k+1)を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
X(CHX(CHXR(2k+1)
(式中、X=P、As、Sbであり、n=1〜6であり、m=1〜6であり、k=1〜6であり、R=H、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、R=H、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物。
構造RX(CHX(CHXR(2k+1)を有する化合物を作製する方法であって、
a)反応物RXH(CHXH(CHXHR及びHE(2k+1)を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RX(CHX(CHXR(2k+1)を形成することと、
e)RX(CHX(CHXR(2ki+1)を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
環状(RX)−E(2k+1)
(式中、n=1であり、k=1〜6であり、X=P、As、Sbであり、R及びRは独立して−CHR’−、−CHR’−CHR’’−、−CHR’−CHR’’−CHR’’’−、=CH−、−CR’=CR’’−、=CH−、−CHR’=CHR’’−からな
る群から選択され、R’、R’’及びR’’’は独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物。
構造環状RX−E(2k+1)を有する化合物を作製する方法であって、
a)反応物である環状RXH及びHE(2k+1)を遷移金属触媒の存在下で接触させ、HE(2k+1)と環状RXHとのモル比が少なくとも3:1である反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、環状RX−E(2k+1))を形成することと、
e)環状RX−E(2k+1))を反応混合物から分離することと、
を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
環状RX−E(2k1−2)−環状XR
(式中、X=P、As、Sbであり、n=1又は2であり、k=1〜6であり、R及びRは独立して−CHR’−、−CHR’−CHR’’−、−CHR’−CHR’’−CHR’’’−、=CH−、−CR’=CR’’−、=CH−、−CHR’=CHR’’−からなる群から選択され、R’、R’’及びR’’’は独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物。
構造:
Figure 2016536276
環状(RX)−E(2k1−2)
を有する化合物を作製する方法であって、
a)反応物である環状RXH及びHE(2k+1)を遷移金属触媒の存在下で接触させ、HE(2k+1)と環状RXHとのモル比が約1:2である反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、環状RX−E(2k1−2)−環状XRを形成することと、
e)環状RX−E(2k1−2)−環状XRを反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応中の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
以下の方法に、
a)アミン及びシラン反応物を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させ、生成物を形成することと、
e)生成物を反応混合物から分離することと、
を含む、アミノシランの合成を説明する。
式:
Figure 2016536276
NH(CHNR(2k+1) 化合物A
(式中、Eの一方が1つの窒素に付加し、m=1〜6であり、k=1〜6であり、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物を作製する方法であって、
a)反応物RNH(CHNHR及びHE(2k+1)を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RNH(CHNR(2k+1)を形成することと、
e)RNH(CHNR(2k+1)を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
(RN(CHNR)EHEH2k+1 化合物B
(式中、Eの一方が2つの窒素に付加し、m=1〜6であり、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物を作製する方法であって、
a)反応物RN(CHNHR及びHE2k+1を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RN(CHNR2EH2k+1を形成することと、
e)生成物RN(CHNR2EH2k+1を反応混合物から分離することと、
を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
N(CHNREHE2k+1 化合物C
(式中、Eの一方が1つの窒素に付加し、m=1〜6であり、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物を作製する方法であって、
a)反応物RN(CHNHR及びHEH2k+1を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RN(CHNREHE2k+1を形成することと、
e)生成物RN(CHNREHE2k+1を反応混合物から分離することと、
を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
NH(CHNR2(E(2k+1) 化合物D
(式中、m=1〜6であり、k=1〜6であり、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物を作製する方法であって、
a)反応物RNH(CHNHR及びHE(2k+1)を遷移金属触媒の存在下で接触させ、HE(2k+1)とRNH(CHNH(CHNHRとのモル比が少なくとも3:1である反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、RNH(CHNR2(E(2k+1))を形成することと、
e)生成物RNH(CHNR2(E(2k+1))を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
一置換化合物A、B及びCの形成は、E2k+2/ジアミン比を減少させることにより二置換化合物Dの形成よりも支持される。しかしながら、化合物A、B及びCの形成は同時である場合もあり、異なるモル比の3つの化合物を含む混合物が合成される。A/B/Cモル比はR基及びR基の性質、並びに−CH−鎖の長さ(mの値)、並びに温度、反応時間又は触媒等の反応条件に応じて変化する。より嵩高い(Bulker)R基及びより長い鎖はAの形成を支持すると予想され、m=1〜3の鎖は化合物B及びCの形成を支持すると予想される。
式:
Figure 2016536276
X(CHXR(2k+1)
(式中、X=P、As、Sbであり、m=1〜6であり、k=1〜6であり、R、R及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物を作製する方法であって、
a)反応物RX(CHXHR及びHE(2k+1)を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させ、RX(CHXR(2k+1)を形成することと、
e)RX(CHXR(2k+1)を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
[RX(CHXR][EHEH2k+1
(式中、X=P、As、Sbであり、m=1〜6であり、k=3〜6であり、RはH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、RはH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物を作製する方法であって、
a)反応物RX(CHXHR及びHEHE−E2k+1を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させ、[RX(CHXR][EHEH2k+1]を形成することと、
e)生成物[RX(CHXR][EHEH2k+1]を反応混合物から分離することと、
を含み、反応混合物の温度は合成中に変動し得るが、該反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
[(RX(CHXR)(EH2k+1)]
(式中、X=P、As、Sbであり、m=1〜5であり、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択される)を有する化合物を作製する方法であって、
a)反応物RX(CHXHR及びHEH2k+1を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させ、RX(CHXREHE2k+1を形成することと、
e)RX(CHXREHE2k+1を反応混合物から分離することと、を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
式:
Figure 2016536276
[(RX(CH=XR)(EH2k+1)]
(式中、X=P、As、Sbであり、m=1〜5であり、R、R及びRは独立して、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリル、又は分岐C〜Cアルキル置換シリルからなる群から選択される)を有する化合物を作製する方法であって、
a)反応物RX(CHXHR及びHEH2k+1を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させ、RX(CHXHREH2k+1を形成することと、
e)生成物RX(CHXHREH2k+1を反応混合物から分
離することと、
を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
本明細書で使用される「・・・の温度を維持する」という用語は、指定の最小温度及び最大温度内の温度を生じるように必要に応じて加熱又は冷却することを意味する。反応槽へのアミン及びシランの添加順序は、アミンが先であっても又はシランが先であってもよい。出発物質がハロゲン無含有である場合、生成物はハロゲン及びアミノハロゲン無含有となる。
以下の方法に、
a)反応物ジイソプロピルアミン及びジシランを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約75℃〜約200℃の温度まで加熱することと、
d)反応を進行させることと、
e)ジイソプロピルアミノジシランを反応混合物から分離することと、
を含む、ジイソプロピルアミノジシランを合成する方法を説明する。
本発明において好適な不均一触媒としては、遷移金属触媒及び希土類元素(elements)が挙げられる。触媒はSc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Tc、Ru、Rh、Pd、Ag、Cd、La、Hf、Ta、W、Re、Os、Ir、Pt、Au、Hg、Yb及びUからなる群から選択される。好ましい触媒はRu、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及びAuからなる群から選択される。より好ましい触媒はRh、Pd、Ru及びPtからなる群から選択される。最も好ましい触媒はRu及びRu/炭素である。更に好ましい触媒はPd/MgOである。
本発明の触媒は支持体に固定されるのが好ましい。支持体は高表面積の固体である。典型的な支持体材料としては、アルミナ、MgO、ゼオライト、炭素、モノリスコージライト、珪藻土、シリカゲル、シリカ/アルミナ、ZrO及びTiOが挙げられるが、これらに限定されない。好ましい支持体は炭素、アルミナ、シリカ及びMgOである。より好ましい支持体は炭素である。支持体は約1m/g〜約3000m/gの範囲のBET表面積を有する。好ましい範囲は約100m/g〜約2000m/gである。触媒の金属負荷は約0.01重量パーセント〜約50重量パーセントの範囲である。好ましい範囲は約0.5重量パーセント〜約20重量パーセントである。より好ましい範囲は約0.5重量パーセント〜約10重量パーセントである。活性化を必要とする触媒は多数の既知の方法によって活性化することができる。真空下での触媒の加熱が好ましい方法である。触媒は反応槽に添加する前、又は反応物の添加前に反応槽内で活性化することができる。
触媒は助触媒を含有していてもよい。助触媒はそれ自体が触媒ではないが、活性触媒と少量混合することでそれらの効率(活性及び/又は選択性)を増大する物質である。助触媒は通常はMn、Ce、Mo、Li、Re、Ga、Cu、Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及びAu等の金属及び/又はそれらの酸化物である。これらは反応容器に別個に添加しても、又は触媒自体の一部であってもよい。例えば、Ru/Mn/C(マンガンによって促進されるルテニウム/炭素)又はPt/CeO/Ir/SiO(セリア及びイリジウムによって促進される白金/シリカ)が挙げられる。幾つかの助触媒は単独で触媒として作用し得るが、主触媒と組み合わせて使用することにより主触媒の活性を改善することができる。触媒は他の触媒の助触媒としても作用し得る。この状況で、触媒は二金属(又は多金属)触媒と称される。例えば、Ru/Rh/Cはルテニウム/炭素及びロジウム二金属触媒又はロジウムによって促進されるルテニウム/炭素とも
称され得る。活性触媒は特定の化学反応で触媒として作用する物質である。
触媒は、通例真空下及び高温で行われる活性化を必要とする場合もある。通例、触媒は約125℃及び約−14psig(約1Torr)で活性化される。活性化条件は選択される触媒により幾らか異なる。様々な触媒を活性化する条件は当該技術分野で既知である。活性化された触媒はその後の使用のために保管することができる。本発明の触媒はハロゲンを含まない。
本発明で溶媒が使用される場合、反応物と非反応性の溶媒が選択される。溶媒は無水であり、触媒を不活性化(汚染)しない。かかる溶媒の非限定的なリストとしては、C〜C20直鎖、分岐又は環状アルカン及びそれらの混合物等のアルカン、1−オクタデセン、シクロオクタジエン及びシクロヘキセン等のアルケン、塩化メチレン及び塩化エチレン等のクロロアルカン、トルエン、キシレン、メシチレン及びナフタレン(naphthalene)等のアレーン、並びにキノリン及びピリジン等の複素環、並びにそれらの混合物が挙げられる。好ましい溶媒はn−オクタデカンである。溶媒をその沸点が生成化合物の沸点と約10℃異なるように選択するのが好ましい。
本発明で使用される不活性ガスは反応条件下で反応性でない。不活性ガスの非限定的なリストとしては、ヘリウム、アルゴン及び窒素が挙げられる。好ましいガスはヘリウムである。
機械撹拌器(stirrer)を備えるParrのオートクレーブ等のオートクレーブが好適な反応槽である。一置換シラン又はゲルマンについては、反応開始時のヘテロ化合物とシラン又はゲルマンとのモル比は約2〜約0.2、好ましくは約1〜約0.3の範囲内である。二置換(bis-disubstituted)シラン又はゲルマンについては、反応開始時のヘテロ化合物とシラン又はゲルマンとのモル比は約5〜約2の範囲内である。
実施例1のハロゲン及びアミノハロゲン無含有ジイソプロピルアミノジシランを合成する方法は、
a)触媒を反応槽に添加することと、
b)動的真空を反応槽に適用することと、
c)反応槽を加熱して、触媒を活性化することと、
d)触媒が活性化されるまで真空及び活性化温度を維持することと、
e)反応槽を室温まで冷却することと、
f)反応槽に約1気圧の圧力まで不活性ガスを充填することと、
g)ジイソプロピルアミンを反応槽に添加することと、
h)溶媒を反応槽に添加することと、
i)反応槽を約−78℃〜約−140℃の温度まで冷却することと、
j)ジシランを反応器に添加して、反応混合物を形成することと、
k)反応器を約75℃〜約200℃の温度まで加熱することと、
l)加熱した反応混合物を撹拌することと、
m)圧力の上昇が止まるまで反応容器内の圧力をモニタリングすることと、
n)反応器を室温前後まで冷却することと、
o)揮発性物質を約−140℃〜約−196℃の温度まで冷却したクライオトラップ内で捕捉することと、
p)圧力の低下が止まるまで反応容器内の圧力をモニタリングすることと、
q)ジイソプロピルアミノジシランを反応容器から回収することと、
を含む。工程a)の触媒が活性化されているか又は活性化を必要としない場合、工程b〜工程eは省略される。
ジイソプロピルアミノジシランの回収は、反応容器から直接蒸留によって行うことができる。触媒は後続のバッチで再利用することができる。
クライオトラッピングという用語は、クライオトラップ内で気体材料を凝縮させることを意味する。
実施例1. ジイソプロピルアミノジシラン「DIPADS」の合成:
Si+(Pr)NH=(Pr)NSi+H
DIPADS(N,N−ジイソプロピル、N−ジシリルアミンとしても知られるジイソプロピルアミノジシラン)を、溶媒としてのn−オクタデカン中の市販のルテニウム/炭素によって触媒されるジシランとジイソプロピルアミンとの反応によって加圧反応容器内で合成した。機械撹拌器、熱電対、圧力計及び圧力変換器及び3つの絞り弁を備える0.3L容のオートクレーブ(反応槽)(図1)に、6g(ルテニウム0.003mmol)の5重量%ルテニウム/炭素触媒を投入した。次いで、反応器を125℃、動的真空下で3時間加熱して、触媒を活性化した。室温まで冷却した後、反応器にヘリウムを1気圧(「atm」)の圧力で充填し、密封し、マニホールドから外した。グローブボックス内で20.7g(0.205mol)のジイソプロピルアミン及び75gのn−オクタデカンを反応器に添加した。次いで、反応器をグローブボックスから取り出し、マニホールドに再接続し、液体窒素浴内で−130℃まで冷却した。30g(0.453mol)のジシランを、マニホールドを通して反応器に移した。次いで、反応器を150℃まで加熱した。400rpmで27時間撹拌した後、圧力は約160psi上昇した。次いで、反応器をRTまで冷却した。揮発性物質をステンレス鋼レクチャーボトル(「SSLB」)内でクライオトラップした。反応槽の圧力は45Torrまで低下した。ジイソプロピルアミノジシラン(diisopropylaminodisilane)を反応槽から回収した。得られる溶液は10%(9.9g)のDIPADSを含有していた。非単離収率は30%であった。
「非単離収率」という用語は、反応粗生成物(reaction crude)を秤量し、そのクロマトグラムにより生成物の量を推定することによって収率を決定することを意味する。「単離収率」という用語は生成物を精製及び秤量し、秤量された量が表す理論パーセントによってパーセント収率を決定することを意味する。
実施例2の塩素無含有ジイソプロピルアミノジシランを合成する無溶媒方法は、
a)触媒を反応槽に添加することと、
b)動的真空を反応槽に適用することと、
c)反応槽を加熱して、触媒を活性化することと、
d)触媒が活性化されるまで真空及び活性化温度を維持することと、
e)反応槽を室温前後まで冷却することと、
f)反応槽に約1気圧の圧力まで不活性ガスを充填することと、
g)ジイソプロピルアミンを反応槽に添加することと、
h)反応槽を約−78℃〜約−140℃の温度まで冷却することと、
i)ジシランを反応槽に添加して、反応混合物を形成することと、
j)反応槽を約75℃〜約200℃の温度まで加熱することと、
k)加熱した反応混合物を撹拌することと、
l)圧力の上昇が止まるまで反応槽内の圧力をモニタリングすることと、
m)反応槽を室温前後まで冷却することと、
n)揮発性物質を約−140℃〜約−196℃の温度まで冷却したクライオトラップ内で捕捉することと、
o)圧力の低下が止まるまで反応容器内の圧力をモニタリングすることと、
p)ジイソプロピルアミノジシランを反応容器から回収することと、
を含む。工程a)の触媒が活性化されている場合、工程b〜工程eは省略される。
実施例2. 市販のルテニウム/炭素により触媒されるジシラン及びジイソプロピルアミンからの加圧反応器内での塩素及びアミノ塩素無含有DIPADSの無溶媒合成
機械撹拌器、熱電対、圧力計及び圧力変換器及び3つの絞り弁を備える0.3L容のオートクレーブに、6g(ルテニウム0.003mmol)の5重量%ルテニウム/炭素触媒を投入した。次いで、反応器を125℃、動的真空下で3時間加熱した。室温まで冷却した後、反応器に1atmのヘリウムを充填し、密封し、マニホールドから外した。グローブボックス内で20.7(0.205mol)のジイソプロピルアミンを添加した。次いで、反応器をグローブボックスから取り出し、マニホールドに再接続し、液体窒素浴内で−130℃まで冷却した。30g(0.453mol)のジシランを、マニホールドを通して反応器に移した。次いで、反応器を150℃まで加熱した。400rpmで24時間撹拌した後、圧力はおよそ100psi上昇した。次いで、反応器をRTまで冷却した。揮発性物質をSSLB内でクライオトラップした。反応槽の圧力は45Torrまで低下した。反応容器内の得られる溶液は65%(17g)のDIPADSを含有していた。ジイソプロピルアミノジシランを反応容器から回収した。非単離収率は52%であった。
ハロゲン塩副生成物を形成することなくケイ素−ヘテロ原子結合を有する化合物を合成する以下の方法を開発した。シラン及びホスフィン等の反応物を触媒の存在下で合わせ、加熱してハロゲン無含有トリシリルホスフィンを生成した。一般反応を以下の方程式で示す:
PH+3SiH=P(SiH+3H
反応は溶媒中又は溶媒なしで行うことができる。
実施例3 トリシリルホスフィンの合成:PH+3SiH=P(SiH+3H
実施例3のトリシリルホスフィンを合成する方法は、
a)触媒を反応槽に添加することと、
b)動的真空を反応槽に適用することと、
c)反応槽を加熱して、触媒を活性化することと、
d)触媒が活性化されるまで真空及び活性化温度を維持することと、
e)反応槽を室温前後まで冷却することと、
f)反応槽に約1気圧の圧力まで不活性ガスを充填することと、
g)反応槽を約−78℃〜約−140℃の温度まで冷却することと、
h)ホスフィンを反応槽に添加することと、
i)シランを反応器に添加することと、
j)反応器を約75℃〜約200℃の温度まで加熱することと、
k)加熱した反応混合物を撹拌することと、
l)圧力の上昇が止まるまで反応容器内の圧力をモニタリングすることと、
m)反応器を室温前後まで冷却することと、
n)揮発性物質を約−140℃〜約−196℃の温度まで冷却したクライオトラップ内で捕捉することと、
o)圧力の低下が止まるまで反応容器内の圧力をモニタリングすることと、
p)トリシリルホスフィンを反応容器から回収することと、
を含む。工程a)の触媒が活性化されている場合、工程b〜工程eは省略される。
トリシリルホスフィンの回収は反応容器から直接蒸留によって行う。触媒は後続のバッ
チで再利用することができる。
実施例3.
市販のルテニウム/炭素によって触媒されるシラン及びホスフィンから加圧反応器内で塩素無含有トリシリルホスフィンを合成する方法は以下を含む。機械撹拌器、熱電対、圧力計及び圧力変換器及び3つの絞り弁を備える0.3L容のオートクレーブに、10g(ルテニウム0.005mol)の5重量%ルテニウム/炭素触媒を投入する。次いで、反応器を125℃、動的真空下で3時間加熱する。室温まで冷却し、反応器に1atmのヘリウムを充填した後、液体窒素浴内で−130℃まで冷却する。15g(0.44mol)のホスフィン及び50g(1.6mol)のシランを、マニホールドを通して反応器に移す。次いで、反応器を150℃まで加熱する。400rpmで23時間撹拌した後、反応器をRTまで冷却する。揮発性物質をSSLB内でクライオトラップする。反応槽の圧力は約45Torrまで低下する。トリシリルホスフィンを反応容器から回収する。
反応開始時のホスフィンとシランとのモル比は、約1:3〜約1:9の範囲内である。
実施例4のハロゲン無含有トリスジシリルアミン(SiNを合成する方法は、
a)触媒を反応槽に添加することと、
b)動的真空を反応槽に適用することと、
c)反応槽を加熱して、触媒を活性化することと、
d)触媒が活性化されるまで真空及び活性化温度を維持することと、
e)反応槽を室温前後まで冷却することと、
f)反応槽に約1気圧の圧力まで不活性ガスを充填することと、
g)反応槽を約−78℃〜約−140℃の温度まで冷却することと、
h)アンモニアを反応槽に添加することと、
i)ジシランを反応器に添加することと、
j)反応器を約75℃〜約200℃の温度まで加熱することと、
k)加熱した反応混合物を撹拌することと、
l)圧力の上昇が止まるまで反応容器内の圧力をモニタリングすることと、
m)反応器を室温前後まで冷却することと、
n)揮発性物質を約−140℃〜約−196℃の温度まで冷却したクライオトラップ内で捕捉することと、
o)圧力の低下が止まるまで反応容器内の圧力をモニタリングすることと、
p)トリスジシリルアミンを反応容器から回収することと、
を含む。工程aの触媒が活性化されている場合、工程b〜工程eは省略される。
実施例4. ハロゲン無含有トリスジシリルアミンの合成、3Si+NH=(SiN+3H
市販のルテニウム/炭素によって触媒されるジシラン及びホスフィンから加圧反応器内でハロゲン無含有トリスジシリルアミン(SiNを合成する方法は以下を含む。機械撹拌器、熱電対、圧力計及び圧力変換器及び3つの絞り弁を備える0.3L容のオートクレーブに、17g(ルテニウム0.0085mol)の5重量%ルテニウム/炭素触媒を投入する。次いで、反応器を125℃、動的真空下で3時間加熱する。室温まで冷却し、反応器に1atmのヘリウムを充填した後、液体窒素浴内で−130℃まで冷却する。10g(0.588mol)のアンモニア及び150g(2.41mol)のジシランを、マニホールドを通して反応器に移す。次いで、反応器を150℃まで加熱する。400rpmで23時間撹拌した後、反応器をRTまで冷却する。揮発性物質をSSLB内でクライオトラップする。反応槽の圧力は約45Torrまで低下する。トリスジシリル
アミンを反応容器から回収する。
反応開始時のアミンとジシランとのモル比は、約1:3〜約1:5の範囲内であった。
実施例5のハロゲン無含有ジイソプロピルアミノトリシラン(DIPATS)を合成する無溶媒方法は、
a)触媒を反応槽に添加することと、
b)動的真空を反応槽に適用することと、
c)反応槽を加熱して、触媒を活性化することと、
d)触媒が活性化されるまで真空及び活性化温度を維持することと、
e)反応槽を室温前後まで冷却することと、
f)反応槽に約1気圧の圧力まで不活性ガスを充填することと、
g)ジイソプロピルアミンを反応槽に添加することと、
h)反応槽を約−78℃〜約−140℃の温度まで冷却することと、
i)トリシランを反応器に添加することと、
j)反応器を約75℃〜約200℃の温度まで加熱することと、
k)加熱した反応混合物を撹拌することと、
l)圧力の上昇が止まるまで反応容器内の圧力をモニタリングすることと、
m)反応器を室温前後まで冷却することと、
n)揮発性物質を約−140℃〜約−196℃の温度まで冷却したクライオトラップ内で捕捉することと、
o)圧力の低下が止まるまで反応容器内の圧力をモニタリングすることと、
p)ジイソプロピオアミノジシランを反応容器から回収することと、
を含む。工程a)の触媒が活性化されている場合、工程b〜工程eは省略される。
実施例5. Si+(Pr)NH=(Pr)NSi+Hの合成
市販のルテニウム/炭素によって触媒されるトリシラン及びジイソプロピルアミンから加圧反応器内でハロゲン無含有ジイソプロピルアミノトリシラン(DIPATS)を合成する方法は以下を含む。機械撹拌器、熱電対、圧力計及び圧力変換器及び3つの絞り弁を備える0.3L容のオートクレーブに、6g(ルテニウム0.003mmol)の5重量%ルテニウム/炭素触媒を投入した。次いで、反応器を125℃、動的真空下で3時間加熱した。室温まで冷却した後、反応器に1atmのヘリウムを充填し、密封し、マニホールドから外し、グローブボックス内に入れた。グローブボックス内で20.7(0.205mol)のジイソプロピルアミンを添加した。次いで、反応器をグローブボックスから取り出し、マニホールドに再接続し、液体窒素浴内で−130℃まで冷却した。40gのトリシラン(0.433mol)を、マニホールドを通して反応器に移した。次いで、反応器を100℃まで加熱した。400rpmで23時間撹拌した後、反応器をRT(室温)まで冷却した。揮発性物質をSSLB(ステンレス鋼レクチャーボトル)内でクライオトラップした。反応槽の圧力は20Torrまで低下した。ジイソプロピルアミノトリシランを反応容器から回収した。反応溶液は11.49gのDIPATSを含有していた。非単離収率は29%であった。
一置換及び二置換複素環アミノトリシランを本明細書に記載の方法によって調製することができる。方程式2は一置換複素環アミノシランを表し、方程式3は二置換複素環(heterocyclic)アミノシランを表す。
2. Si+RNH=RNSi+H
3. Si+2RNH=(RN)Si+2H
ここで、Rはアジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール及びインドール等の環状第二級アミンである。
上記方程式2及び方程式3は、それぞれ一置換及び二置換複素環トリシランを形成する反応を説明する。一置換化合物を表1に示す。二置換トリシランは、表1中の二置換の例のように3番目のSi原子に結合した第2のアミノ複素環基を有する。
二置換アミノトリシランは方程式4に示されるように形成される。
4. RSi+2RNH=RNSiNR+2H
ここで、R=Hであり、R=H、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルであり、RはH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルである。アミノトリシランの非限定的な例は表1に示される。
Figure 2016536276
実施例6の塩素無含有ジイソプロピルアミノシランを合成する無溶媒方法は、
a)触媒を反応槽に添加することと、
b)動的真空を反応槽に適用することと、
c)反応槽を加熱して、触媒を活性化することと、
d)触媒が活性化されるまで真空及び活性化温度を維持することと、
e)反応槽を室温前後まで冷却することと、
f)反応槽に約1気圧の圧力まで不活性ガスを充填することと、
g)ジイソプロピルアミンを反応槽に添加することと、
h)反応槽を約−78℃〜約−140℃の温度まで冷却することと、
i)トリシランを反応器に添加することと、
j)反応器を約75℃〜約200℃の温度まで加熱することと、
k)加熱した反応混合物を撹拌することと、
l)圧力の上昇が止まるまで反応容器内の圧力をモニタリングすることと、
m)反応器を室温前後まで冷却することと、
n)揮発性物質を約−140℃〜約−196℃の温度まで冷却したクライオトラップ内で捕捉することと、
o)圧力の低下が止まるまで反応容器内の圧力をモニタリングすることと、
p)ジイソプロピルアミノシランを反応容器から回収することと、
を含む。工程a)の触媒が活性化されているか又は活性化を必要としない場合、工程b〜工程eは省略される。
実施例6. ジイソプロピルアミノシラン(Pr)NSiHの合成:
Pr)NH+SiH=(Pr)NSiH+H
市販のルテニウム/炭素によって触媒されるシラン及びジイソプロピルアミンから加圧反応器内で塩素無含有ジイソプロピルアミノシラン(DIPAS)を合成する方法は以下を含む。機械撹拌器、熱電対、圧力計及び圧力変換器及び3つの絞り弁を備える0.3L容のオートクレーブに、6g(ルテニウム0.003mmol)の5重量%ルテニウム/炭素触媒を投入する。次いで、反応器を125℃、動的真空下で3時間加熱する。室温まで冷却した後、反応器に1atmのヘリウムを充填し、密封し、マニホールドから外し、グローブボックス内に入れる。グローブボックス内で20.7(0.205mol)のジイソプロピルアミンを添加する。次いで、反応器をグローブボックスから取り出し、マニホールドに再接続し、液体窒素浴内で−130℃まで冷却する。20gのトリシラン(0.625mol)を、マニホールドを通して反応器に移す。次いで、反応器を150℃まで加熱する。400rpmで23時間撹拌した後、反応器をRTまで冷却する。揮発性物質をSSLB内でクライオトラップする。反応槽の圧力は約45Torrまで低下する。ジイソプロピオアミノシランを反応容器から回収する。
ビス(ジイソプロピルアミノ)ジシランを合成する方法であって、
a)活性化触媒、ジイソプロピルアミン及びジシランを反応槽に添加することと、
b)任意に溶媒を反応槽に添加することと、
c)反応槽を約25℃〜約300℃の温度まで加熱することと、
d)反応を進行させることと、
e)非反応材料、副生成物及び水素をビス(ジイソプロピルアミノ)ジシランから分離することと、
f)ビス(ジイソプロピルアミノ)ジシランを回収することと、
を含む、方法。
(RN)SiR 2−m−SiR 2−m(NR)(式中、R=H、
直鎖又は分岐C〜Cアルキル、直鎖又は分岐C〜Cアルケニル、直鎖又は分岐C〜Cアルキニル、C〜C10アリール、直鎖又は分岐C〜Cアルキル及びR=H、直鎖又は分岐C〜Cアルキル、直鎖又は分岐C〜Cアルケニル、直鎖又は分岐C〜Cアルキニル、C〜C10アリール、直鎖又は分岐C〜Cアルキルであり、R=H、直鎖又は分岐C〜Cアルキル、直鎖又は分岐C〜Cアルケニル、直鎖又は分岐C〜Cアルキニル、C〜C10アリール、直鎖又は分岐C〜Cアルキルであり、R=H、直鎖又は分岐C〜Cアルキル、直鎖又は分岐C〜Cアルケニル、直鎖又は分岐C〜Cアルキニル、C〜C10アリール、直鎖又は分岐C〜Cアルキルであり、m=0、1又は2である)を合成する方法であって、
a)活性化触媒、RNH及びHSiR 2−m−SiR 2−mHを反応槽に添加することと、
b)任意に溶媒を反応槽に添加することと、
c)反応槽を約25℃〜約300℃の温度まで加熱することと、
d)反応を進行させることと、
e)非反応材料、副生成物及び水素を生成物から分離することと、
f)生成物を回収することと、
を含む、方法。
ハロゲン塩副生成物を形成することなくゲルマニウム−ヘテロ原子結合を有する化合物を合成する方法を開発した。ゲルマン及びホスフィン等の反応物を活性化触媒の存在下で合わせ、加熱してハロゲン無含有トリゲルマンホスフィン(trigermanephosphine)を生成する。一般反応を以下の方程式に示す:
PH+3GeH=P(GeH+3H
反応は溶媒中又は溶媒なしで行うことができる。
トリゲルマンホスフィンP(GeHの合成はによって表される
実施例8 トリシリルホスフィンの合成:
PH+3GeH=P(GeH+3H
トリゲルマンホスフィンを合成する方法であって、
a)活性化触媒、ホスフィン及びゲルマンを反応槽に添加することと、
b)任意に溶媒を反応槽に添加することと、
c)反応槽を約25℃〜約300℃の温度に維持することと、
d)反応を進行させることと、
e)非反応材料、副生成物及び水素をトリゲルマンホスフィンから分離することと、
f)トリゲルマンホスフィンを回収することと、
を含む、方法。
ジイソプロピオアミノゲルマンを合成する方法であって、
a)活性化触媒、イソプロピルアミン及びゲルマンを反応槽に添加することと、
b)任意に溶媒を反応槽に添加することと、
c)反応槽を約25℃〜約300℃の温度に維持することと、
d)反応を進行させることと、
e)非反応材料、副生成物及び水素をジイソプロピオアミノゲルマンから分離することと、
f)ジイソプロピオアミノゲルマンを回収することと、
を含む、方法。
シリルアミジネート
式:
Figure 2016536276
[(RN(CR)=NR)(SiHSi2n+1)]
(式中、n=1〜5であり、R、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択される)を有する化合物を作製する方法であって、
a)反応物RHN(CR)=NR(アミジン)及びSiHSi2n+1を遷移金属触媒の存在下で接触させ、SiHSi2n+1とRHN(CR)=NRとのモル比が少なくとも1:1である反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させ、[(RN(CR)=NR)(SiHSi2n+1)]を形成することと、
e)生成物[(RN(CR)=NR)(SiHSi2n+1)]を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
実施例7. 市販のルテニウム/炭素によって触媒されるジシラン及びN,N’−ビス(イソプロピル)エタンイミドアミドからの加圧反応器内での塩素及びアミノ塩素無含有N,N’−ビス(イソプロピル)エタンイミドアミダトジシランの無溶媒合成。
機械撹拌器、熱電対、圧力計及び圧力変換器及び3つの絞り弁を備える0.3L容のオートクレーブに、6g(ルテニウム0.003mmol)の5重量%ルテニウム/炭素触媒を投入する。次いで、反応器を125℃、動的真空下で3時間加熱する。室温まで冷却した後、反応器に1atmのヘリウムを充填し、密封し、マニホールドから外す。グローブボックス内で29.1g(0.205mol)のN,N’−ビス(イソプロピル)エタンイミドアミドを添加する。次いで、反応器をグローブボックスから取り出し、マニホールドに再接続し、液体窒素浴内で−130℃まで冷却する。30gのジシラン(0.453mol)を、マニホールドを通して反応器に移す。次いで、反応器を100℃〜150℃まで加熱する。反応混合物を約400rpmで約2時間〜24時間撹拌すると、圧力は約100psiまで上昇する。次いで、反応器をRTまで冷却する。揮発性物質をSSLB内でクライオトラップする。反応槽の圧力は約45Torrまで低下する。N,N’−ビス(イソプロピル)エタンイミドアミダトシランを反応容器から回収する。
式:
Figure 2016536276
[(RN(CR)=NR)(RN(CR)=NR)(SiHSi2nSiH)]
(式中、n=0〜4であり、R、R、R、R、R、Rは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択される)を有する化合物を作製する方法であって、
a)反応物RHN(CR)=NR(アミジン)及びSiHSi2nSiHを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させ、[(RN(CR)=NR)(SiHSi2nSiH)]を形成することと、
e)RHN(CR)=NRを反応混合物に添加することと、
f)反応混合物を約0℃〜約300℃の温度に維持することと、
g)反応を進行させ、[(RN(CR)=NR)(RN(CR)=NR)(SiHSi2nSiH)]を形成することと、
h)生成物[(RN(CR)=NR)(RN(CR)=NR)(SiHSi2nSiH)]を反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
HN(CR)=NRがRHN(CR)=NRと同じである場合、生成物は[(RN(CR)=NR(SiHSi2nSiH)]となる。
アミジンの添加順序は基R、R、R、R、R及びRの性質に応じて変化し得る。第2のアミジンの添加は別個に行うことができ、したがってシリルアミジネート[(RN(CR)=NR)(SiHSi2nSiH)]を単離及び/又は精製し、続いてRHN(CR)=NRを遷移金属触媒の存在下で接触させ、[(RN(CR)=NR)(RN(CR)=NR)(SiHSi2nSiH)]を形成することができる。
式:
Figure 2016536276
を有する2つの異なるアミンを有するアミノシランの合成のための連続アミン添加であって、
a)反応物RNH及びE(2(k+1))を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約300℃の温度に維持することと、
d)反応を進行させて、(RN)n1(2(k+1)−n1)を形成することと、
e)RNHを反応混合物に添加することと、
f)反応混合物を約0℃〜約300℃の温度に維持することと、
g)反応を進行させて、(RN)n1(RN)n2(2(k+1)−n1−n2)を形成することと、
h)(RN)n1(RN)n2(2(k+1)−n1−n2)を反応混合物から分離することと、
を含み、反応混合物の温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、連続アミン添加。
アミンの添加順序は基R、R、R及びRの性質に応じて変化し得る。第2のアミンの添加は別個に行うことができ、したがってアミノシラン(RN)n1(2(k+1)−n1)を単離及び/又は精製し、続いてRNHを遷移金属触媒の存在下で接触させ、(RN)n1(RN)n2(2(k+1)−n1−n2)を形成することができる。
実施例9. ジイソプロピルアミノジエチルアミノジシラン合成:
Figure 2016536276
ジイソプロピルアミノジエチルアミノジシランを、市販のルテニウム/炭素によって触媒されるジシラン、ジイソプロピルアミン及びジエチルアミン間の反応により加圧反応容器内で合成する。図1に示されるような機械撹拌器、熱電対、圧力計及び圧力変換器及び3つの絞り弁を備える0.3L容のオートクレーブ(反応槽)に、6g(ルテニウム0.003mmol)の5重量%ルテニウム/炭素触媒を投入する。次いで、反応器を125℃、動的真空下で3時間加熱して、触媒を活性化する。室温まで冷却した後、反応器に1気圧(「atm」)の圧力のヘリウムを充填し、密封し、マニホールドから外す。グローブボックス内で20.7g(0.205mol)のジイソプロピルアミンを反応器に添加
する。反応器をグローブボックスから取り出し、マニホールドに再接続し、液体窒素浴内で−130℃まで冷却する。30g(0.453mol)のジシランを、マニホールドを通して反応器に移す。反応器を約150℃まで加熱する。反応器の内容物を約400rpmで約5時間撹拌すると、反応器の圧力は約160psi上昇する。反応器をRTまで冷却する。揮発性物質をステンレス鋼レクチャーボトル(「SSLB」)内でクライオトラップする。反応槽の圧力は約45Torrまで低下する。反応器をグローブボックスに戻し、開ける。15.0g(0.205mol)のジエチルアミンを混合物に添加する。次いで、反応器を約30℃〜約150℃の温度に加熱する。反応器を約400rpmで約2時間〜24時間撹拌する。圧力は約160psiまで上昇する。揮発性物質をステンレス鋼レクチャーボトル(「SSLB」)内でクライオトラップする。反応槽の圧力は約25Torr〜45Torrまで低下する。ジイソプロピルアミノジエチルアミノジシランを反応槽から回収する。
アミンの添加順序は逆にすることができる。
本発明のアミノシランは、蒸着法の前駆体として使用する。開示の前駆体を蒸着法に使用する方法を本明細書に開示する。開示の方法はケイ素含有膜の堆積への前駆体の使用をもたらす。開示の方法は半導体、光起電、LCD−TFT又はフラットパネル型のデバイスの製造に有用であり得る。この方法は、開示の前駆体の蒸気を少なくとも1つの基板が中に配置された反応器に導入することと、蒸着プロセスを用いて開示の前駆体の少なくとも一部を基板上に堆積させ、Si含有層を形成することと、を含む。
開示の方法は、蒸着プロセスを用いた基板上でのバイメタル含有層の形成、より具体的にはSiMN膜及びSiMO膜(ここで、xは0〜4である)並びにSiMO膜(ここで、x+yは0〜4であり、MはTa、Hf、Zr、Ti、Ni、Mn、Ge、B、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、ランタニド(Er等)又はそれらの組合せの群の金属である)の堆積ももたらす。SiMO、SiMO又はSiMOの一般用語は、Si/(Si+M)の範囲が約5%〜約95%の様々な相対濃度のSi及びMを包含する。
ケイ素含有層を基板上に形成する開示の方法は半導体、光起電、LCD−TFT又はフラットパネル型のデバイスの製造に有用であり得る。開示の前駆体により、当該技術分野で既知の任意の蒸着法を用いてSi含有膜を堆積させることができる。好適な蒸着法の例としては、化学蒸着(CVD)又は原子層堆積(ALD)が挙げられる。例示的なCVD法としては、熱CVD、プラズマ促進CVD(PECVD)、パルスCVD(PCVD)、低圧CVD(LPCVD)、減圧CVD(SACVD)又は大気圧CVD(APCVD)、ホットワイヤCVD(熱線を堆積プロセスのエネルギー源とするcat−CVDとしても知られるHWCVD)、リモートプラズマCVD(RP−CVD)、UV支援CVD、流動性CVD(FCVD)、ラジカル援用(radicals incorporated)CVD及びそれらの組合せが挙げられる。例示的なALD法としては、熱ALD、プラズマ支援ALD(PEALD)、空間隔離ALD、ホットワイヤALD(HWALD)、ラジカル援用ALD、UV支援ALD及びそれらの組合せが挙げられる。超臨界流体堆積を用いてもよい。開示の方法は、Applied Materials, Inc.の米国特許出願公開第2014/0051264号(その内容全体が引用することにより本明細書の一部をなすものとする)に記載の流動性PECVD堆積プロセスに用いることもできる。堆積法は好ましくはALD、空間ALD、PE−ALD又は流動性CVD(F−CVD)である。
前駆体の蒸気を少なくとも1つの基板の入った反応チャンバに導入する。反応チャンバ内の温度及び圧力並びに基板の温度は、基板上への前駆体の少なくとも一部の蒸着に好適な条件に保持される。言い換えると、蒸発前駆体をチャンバに導入した後、チャンバ内の
条件を蒸発前駆体の少なくとも一部が基板に堆積し、ケイ素含有膜を形成するようにする。Si含有層の形成を助けるために共反応物を使用してもよい。共反応物は前駆体と同時に又は別個に順次に導入することができ、O、O、Oラジカル及びイオン、NO、NO、HO、H、CO、CO、カルボン酸、ホルマリン、アルコール、ジオール、NH、ヒドラジン(置換又は非置換、UDMH、テルブチルヒドラジン(terbutylhydrazine)等)、アミン(DMA、TMA、DEA、TEA、TB、NH等)、ジアミン、Nラジカル及びイオン、H、並びにそれらの混合物から選択される。
反応チャンバは、限定されるものではないが平行板型反応器、コールドウォール型反応器、ホットウォール型の反応器、単一ウエハー反応器、マルチウエハー反応器、又は空間ALDチャンバ、ロールツーロールALDチャンバ等のこのようなタイプの他の堆積システムのような堆積法が行われるデバイスの任意のエンクロージャ又はチャンバであり得る。これらの例示的な反応チャンバは全て、ALD反応チャンバとすることが可能である。反応チャンバは約1mTorr〜約760Torrの範囲の圧力に維持され得る。加えて、反応チャンバ内の温度は約20℃〜約600℃の範囲であり得る。所望の結果を達成するために単なる実験により温度を最適化することができることが当業者に認識される。
反応器の温度は基板ホルダーの温度を制御するか、反応器壁の温度を制御するか、又は基板自体の温度を制御することによって制御することができる。基板の加熱に用いられるデバイスは当該技術分野で既知である。反応器壁は、所望の膜を十分な成長速度並びに所望の物理状態及び組成で得るのに十分な温度に加熱される。反応器壁を加熱することができる非限定的な例示的な温度範囲としては、およそ20℃〜およそ600℃が挙げられる。プラズマ堆積プロセスを利用する場合、堆積温度はおよそ20℃〜およそ550℃の範囲であり得る。代替的には、熱プロセスを行う場合、堆積温度はおよそ200℃〜およそ600℃の範囲であり得る。
代替的には、基板は所望のケイ素含有膜を十分な成長速度並びに所望の物理状態及び組成で得るのに十分な温度に加熱することができる。基板を加熱することができる非限定的な例示的な温度範囲としては150℃〜600℃が挙げられる。基板の温度を500℃以下に保つのが好ましい。
ケイ素含有膜を堆積させる基板のタイプは最終使用目的に応じて異なる。基板は概して、プロセスが行われる材料と規定される。基板は半導体、光起電、フラットパネル又はLCD−TFTデバイスの製造に使用される任意の好適な基板であり得る。好適な基板の例としては、ケイ素、シリカ、ガラス、Ge又はGaAsウエハー等のウエハーが挙げられる。ウエハーは先の製造工程により異なる材料を堆積させた1つ又は複数の層を有し得る。例えば、ウエハーはケイ素層(結晶性、非晶質、多孔質等)、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、炭素ドープ酸化ケイ素(SiCOH)層、多孔質炭素ドープ酸化ケイ素層、炭窒化ケイ素、水素化(hydrogenerated)炭化ケイ素又はそれらの組合せを含み得る。さらに、ウエハーは銅層、タングステン層又は金属層(例えば白金、パラジウム、ニッケル、ロジウム、金、コバルト、ゲルマニウム、アンチモン、テルル、スズ、ルテニウム及びそれらの合金)を含み得る。ウエハーはマンガン、酸化マンガン、Ta、W、Ti、V、Zr、Hg、Nb、Mo、Mn及びRuの窒化物等の障壁層を含み得る。窒化物はCドープ窒化物であってもよい。ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホネート)[PEDOT:PSS]等のプラスチック層を使用してもよい。膜はフォトレジスト層、非晶質炭素層又はポリイミド膜等の有機膜上に堆積させることができる。層は平面又はパターン化であり得る。一部の実施形態では、基板は、MIM、DRAM、RERAM、相変化RAM又はFeRam技術において誘電材料として使用される酸化物の層(例えば、Zr、Hg、Ti、Nb、Mo、Al、Ta、ランタニド、希土類元素、及びそれらの混合三元酸化物又は二元酸化物)、又は銅と低k層との間の接着
障壁として使用される窒化物ベース膜(例えばTaN)を含み得る。開示のプロセスにより、ケイ素含有層をウエハー上に直接、又はウエハー上部の1つ若しくは2つ以上の層上に直接(パターン化層が基板を形成する場合)堆積することができる。さらに、本明細書で使用される「膜」又は「層」という用語が表面上に配置又は塗布された或る材料の厚さを指し、表面がホール及びトレンチ又はライン等の3Dパターン又は微細構造を有し得ることが当業者に認識される。堆積は基板上の特定の領域に対して選択的であるか、又は幾つかの露出材料に対して選択的であり得る。例えば、自己整合単分子層(「SAM」)で覆われた基板の幾つかの部分で成長を阻害してもよい。本明細書及び特許請求の範囲の全体を通して、ウエハー及びその上の任意の関連層は基板と称される。
開示の前駆体は、純粋な(neat)形態又はトルエン、エチルベンゼン、キシレン、メシチレン、デカン、ドデカン、オクタン、ヘキサン、ペンタン、第三級アミン、テトラヒドロフラン、エチルメチルケトン、デカリン等の好適な溶媒とのブレンドで供給することができる。開示の前駆体は様々な濃度で溶媒中に存在し得る。例えば、得られる濃度はおよそ0.05M〜およそ2Mの範囲であり得る。
純粋な又はブレンドした前駆体はチューブ及び/又は流量計等の従来の手段によって蒸気形態で反応器に導入される。蒸気形態の前駆体は、純粋な又はブレンドした前駆体の溶液をバブリング、蒸気吸引等の従来の気化工程によって、又はXu et alの国際公開第2009/087609号に開示されるもののような昇華装置を使用して気化することによって生成することができる。純粋な又はブレンドした前駆体は液体状態で気化器に供給し、そこで気化させた後、反応器(直接的な液体注入)に導入することができる。存在する場合、キャリアガスとしてAr、He、N又はH及びそれらの混合物を挙げることができるが、これらに限定されない。次いで、キャリアガス及び前駆体を蒸気として反応器に導入する。
必要に応じて、容器を前駆体がその液相又は固相中に存在し、十分な蒸気圧を有することを可能にする温度まで加熱してもよい。容器は例えば0℃〜150℃の範囲の温度に維持することができる。容器の温度を、気化させた前駆体の蒸気圧及びプロセスチャンバにおける濃度を制御する既知の方法で調整することができることが当業者に認識される。
蒸着法によって得られる膜をアニーリング、反応性アニーリング、UV硬化、電子線硬化及びラジカルアニーリング等の様々な方法で更に処理することができる。膜の組成及び構造はこの工程の影響を顕著に受ける可能性がある。
本発明の性質を説明するために本明細書に記載及び例示された詳細、材料、工程及び部品配置について多くの更なる変更を、当業者が添付の特許請求の範囲に表される本発明の原理及び範囲内で行うことができることを理解されたい。よって、本発明は、上記に挙げられる実施例及び/又は添付の図面における具体的な実施形態に限定されることが意図されるものではない。
上記の説明は多くの特異性を有するが、これらは本発明の範囲を限定するものではなく、単に現在好ましい本発明の実施形態の幾つかの実例を提示するものと解釈される。様々な他の実施形態及び派生形態(ramifications)がその範囲で可能である。本発明の性質を説明するために本明細書に記載及び例示された詳細、材料、工程及び部品配置について多くの更なる変更を、当業者が添付の特許請求の範囲に表される本発明の原理及び範囲内で行うことができることを理解されたい。

Claims (25)

  1. 式:
    Figure 2016536276
    (RN)n1(RN)n2(2(k+2)−n1−n2)
    (式中、n=1〜(2(k+1)−n)であり、n=0〜(2(k+1)−n)であり、k=2〜6であり、R及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、R及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素であり、アミノ基は任意のE原子に結合していてもよい)を有する化合物であって、該化合物から、[(RN)3−xSi−Si(NR3−y](式中、R、R、R及びRは独立して任意の置換又は非置換の直鎖、分岐又は環状アルキル基であり、x、y=0、1又は2である)、(RN)−SiH(3−n)SiH(式中、Rは直鎖又は分岐C〜C10アルキル基、直鎖又は分岐C〜C10アルケニル基、直鎖又は分岐C〜C10アルキニル基、C〜Cジアルキルアミノ基、電子求引基、C〜C10環状アルキル基及びC〜C10アリール基から選択され、RはH、直鎖又は分岐C〜C10アルキル基、直鎖又は分岐C〜Cアルケニル基、直鎖又は分岐C〜Cアルキニル基、C〜Cジアルキルアミノ基、C〜C10環状アルキル基、C〜C10アリール基、電子求引基及びC〜C10アリール基から選択され、n=1又は2であり、R及びRが互いに連結して置換若しくは非置換の芳香環又は置換若しくは非置換の脂肪環から選択される環を形成し、n=2であり、かつR及び/又はR又はRの両方又はRの両方が互いに連結して環((R)HN)−Si−Si−(NH(R))を形成する場合、各Rは独立してC〜Cヒドロカルビル、(EtN)SiH−SiH(NEt)、(EtN)SiH−SiH−SiH(NEt)、SiH−SiH(NEt)−SiH(NEt)−SiH、[((CHSi−)N]−SiH−SiH−SiH−[N(−Si(CH]、[((CHSi−)N]−SiH−SiH−SiH−SiH−[N(−Si(CH]、
    Figure 2016536276
    及び
    Figure 2016536276
    (TMS=トリメチルシラン)から選択される)が除外され、ハロゲン無含有HSiNEtが更に除外される、化合物。
  2. 式:
    Figure 2016536276
    環状(RN)−E(2k)−環状NR
    (式中、n=1又は2であり、k=2〜6であり、R及びRは独立して−CHR’−、−CHR’−CHR’’−、−CHR’−CHR’’−CHR’’’−、=CH−、−CR’=CR’’−、−CR’=N−CR’’=、=CH−、−CHR’=CHR’’−からなる群から選択され、R’、R’’及びR’’’は独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物であって、該化合物から、n=1であり、構造:
    Figure 2016536276
    (式中、R=CH、Phである)を有する化合物が除外される、化合物。
  3. 前記環状Rが本質的にアジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドール、又は環状第二級アミンの任意のC置換誘導体からなる群から選択される、請求項8に記載の化合物。
  4. 式:
    Figure 2016536276
    (RX)n1(RX)n2(2(k+2)−n1−n2)
    (式中、X=P、As、Sbであり、n=1であり、n=0〜(2(k+2)−n)であり、k=1〜6であり、R、R、R及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する化合物。
  5. 式:
    Figure 2016536276
    環状(RX)−E(2k)−環状XR
    (式中、n=1又は2であり、k=1〜6であり、X=P、As又はSbであり、R及びRは独立して−CHR’−、−CHR’−CHR’’−、−CHR’−CHR’’−CHR’’’−、=CH−、−CR’=CR’’−、=CH−、−CHR’=CHR’’−からなる群から選択され、R’、R’’及びR’’’は独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有する、化合物。
  6. 前記環状Rが本質的にアジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドール、又は環状第二級アミンの任意のC置換誘導体からなる群から選択される、請求項5に記載の化合物。
  7. 式:
    Figure 2016536276
    (RN)n1(RN)n2(2(k+2)−n1−n2)
    (式中、n=1であり、n=0〜(2(k+2)−n)であり、k=1〜6であり、R、R、R及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有するハロゲン無含有化合物であって、ハロゲンの検出量が5ppm未満であり、該化合物から、n=0であり、E=Siであり、k=1〜4であり、n=1であり、R、Rがどちらもエチルである化合物が除外され、該化合物からHSiNEtが除外される、ハロゲン無含有化合物。
  8. 式:
    Figure 2016536276
    環状(RN)−E(2k+1)
    (式中、n=1又は2であり、k=1〜6であり、R及びRは独立して−CHR’−
    、−CHR’−CHR’’−、−CHR’−CHR’’−CHR’’’−、=CH−、−CR’=CR’’−、−CR’=N−CR’’=、=CH−、−CHR’=CHR’’−からなる群から選択され、R’、R’’及びR’’’は独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有するハロゲン無含有化合物であって、ハロゲンの検出量が5ppm未満であり、該化合物から、n=2であり、k=1であり、E=Siであり、R、Rがどちらも−CR’=CR’’−であり、R’及びR’’がどちらもHである化合物が除外される、ハロゲン無含有化合物。
  9. 前記環状Rが本質的にアジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドール、又は環状第二級アミンの任意のC置換誘導体からなる群から選択される、請求項8に記載の化合物。
  10. 式:
    Figure 2016536276
    (RN)n1(RN)n2(2(k+2)−n1−n2)
    (式中、n=1〜(2(k+1)−n)であり、n=0〜(2(k+1)−n)であり、k=1〜6であり、R、R、R及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素であり、アミノ基は任意のE原子に結合していてもよい)を有する化合物を作製する方法であって、
    a)反応物RNH及びRNH及びE2(k+1)を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
    b)任意に溶媒を前記反応混合物に添加することと、
    c)前記反応混合物を約0℃〜約300℃の温度に維持することと、
    d)反応を進行させて、(RN)n1(RN)n2(2(k+1)−n1−n2)を形成することと、
    e)前記(RN)n1(RN)n2(2(k+1)−n1−n2)を前記反応混合物から分離することと、
    を含み、前記反応混合物の温度は合成中に変動し得るが、該反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
  11. 前記触媒がRu、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及びAuからなる群から選択される、請求項10に記載の方法。
  12. 請求項2に記載の化合物を作製する方法であって、
    a)反応物である環状RNH及びHE(2k+1)を遷移金属触媒の存在下で接触させ、HE(2k+1)と環状RNHとのモル比が約0.2:1〜約5:1である反応混合物を形成することと、
    b)任意に溶媒を前記反応混合物に添加することと、
    c)前記反応混合物を約0℃〜約300℃の温度に維持することと、
    d)反応を進行させて、環状(RN)−E(2(k+1)−n) を形成することと、
    e)前記環状(RN)−E(2(k+1)−n)を前記反応混合物から分離することと、
    を含み、反応温度は合成中に変動し得るが、反応中の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
  13. 前記触媒がRu、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及びAuからなる群から選択される、請求項12に記載の方法。
  14. ハロゲンの検出量が5ppm未満である、式(Pr)NSiを有するハロゲン無含有及びアミノハロゲン化物無含有の化合物。
  15. 式RNSi(式中、Rはエチル、イソプロピル及びt−ブチルの群から選択され、RはH、メチル及びイソプロピルの群から選択され、Rがエチルである場合にRはHに相当しない)を有する化合物。
  16. ハロゲンの検出量が5ppm未満である、式(SiNを有する請求項1に記載のハロゲン無含有及びアミノハロゲン化物無含有の化合物。
  17. ハロゲンの検出量が5ppm未満である、式RNSiNR(式中、Rはメチル、エチル、イソプロピル及びt−ブチルの群から選択され、RはH、メチル、エチル及びイソプロピルの群から選択され、RがHに相当する場合にRはエチルではなく、RNは同じ又は異なるSi原子上にある)を有する請求項1に記載のハロゲン無含有及びアミノハロゲン化物無含有の化合物。
  18. ジイソプロピルアミノジシランを合成する方法であって、
    a)ジイソプロピルアミン及びジシランを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
    b)任意に溶媒を前記反応混合物に添加することと、
    c)前記反応混合物を約0℃〜約300℃の温度に維持することと、
    d)反応を進行させてジイソプロピルアミノジシランを形成することと、
    e)前記ジイソプロピルアミノジシランを前記反応混合物から分離することと、
    を含む、方法。
  19. トリスジシリルアミンを合成する方法であって、
    a)遷移金属触媒、アンモニア及びジシランを反応槽に添加することと、
    a)アンモニア及びジシランを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
    b)任意に溶媒を前記反応混合物に添加することと、
    c)前記反応混合物を約0℃〜約300℃の温度に維持することと、
    d)反応を進行させて、トリスジシリルアミンを形成することと、
    e)前記トリスジシリルアミンを前記反応混合物から分離することと、
    を含む、方法。
  20. 式:
    Figure 2016536276
    [(RN(CR)=NR)(SiHSi2n+1)]
    (式中、n=1〜5であり、R、R及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択される)を有する化合物。
  21. 請求項20に記載の化合物を作製する方法であって、
    a)反応物RHN(CR)=NR(アミジン)及びSiHSi2n+1を遷移金属触媒の存在下で接触させ、SiHSi2n+1とRHN(CR)=NRとのモル比が少なくとも1:1である反応混合物を形成することと、
    b)任意に溶媒を前記反応混合物に添加することと、
    c)前記反応混合物を約0℃〜約300℃の温度に維持することと、
    d)反応を進行させて、[(RN(CR)=NR)(SiHSi2n+1)]を形成することと、
    e)生成物[(RN(CR)=NR)(SiHSi2n+1)]を前記反応混合物から分離することと、
    を含み、反応温度は合成中に変動し得るが、前記反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
  22. 式:
    Figure 2016536276
    [(RN(CR)=NR)(RN(CR)=NR)(SiHSi2nSiH)]
    (式中、n=0〜4であり、R、R、R、R、R、Rは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択される)を有する化合物。
  23. 請求項22に記載の化合物を作製する方法であって、
    a)反応物RHN(CR)=NR(アミジン)及びSiHSi2nSiHを遷移金属触媒の存在下で接触させ、RHN(CR)=NRとSiHSi2nSiHとのモル比が約1:1〜約5:1である反応混合物を形成することと、
    b)任意に溶媒を前記反応混合物に添加することと、
    c)前記反応混合物を約0℃〜約300℃の温度に維持することと、
    d)反応を進行させて、[(RN(CR)=NR)(SiHSi2nSiH)]を形成することと、
    e)RHN(CR)=NRを前記反応混合物に添加することと、
    f)前記反応混合物を約0℃〜約300℃の温度に維持することと、
    g)反応を進行させて、[(RN(CR)=NR)(RN(CR)=NR)(SiHSi2nSiH)]を形成することと、
    h)生成物[(RN(CR)=NR)(RN(CR)=NR)(SiHSi2nSiH)]を前記反応混合物から分離することと、
    を含み、反応温度は合成中に変動し得るが、前記反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
  24. 式:
    Figure 2016536276
    N(CHNREHE2k+1 化合物C
    (式中、Eの一方が1つの窒素に付加し、k=0〜6であり、m=1〜6であり、R及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルからなる群から選択され、EはSi又はGeからなる群から選択されるIVb族元素である)を有し、k=1であり、m=2であり、E=Siであり、R、Rが独立してPr、Buである化合物、k=0であり、m=2であり、E=Siであり、R及びR=Hである化合物が除外される、化合物。
  25. 請求項24に記載の化合物を作製する方法であって、
    a)反応物RNH(CHNHR及びHE(2k+1)を遷移金属触媒の存在下で接触させ、HE(2k+1)とRNH(CHNHRとのモル比が少なくとも1:1である反応混合物を形成することと、
    b)任意に溶媒を前記反応混合物に添加することと、
    c)前記反応混合物を約0℃〜約300℃の温度に維持することと、
    d)反応を進行させて、RNH(CHNR2(E(2k+1))を形成することと、
    e)生成物RNH(CHNR2(E(2k+1))を前記反応混合物から分離することと、
    を含み、反応温度は合成中に変動し得るが、前記反応混合物の温度が約0℃を下回らず、約300℃を超えないように維持される、方法。
JP2016517351A 2013-09-27 2014-09-25 触媒脱水素カップリングによるアミノシランの無ハロゲン合成 Active JP6529184B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361883452P 2013-09-27 2013-09-27
US61/883,452 2013-09-27
US14/491,581 US9382269B2 (en) 2013-09-27 2014-09-19 Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US14/491,581 2014-09-19
PCT/US2014/057377 WO2015048237A2 (en) 2013-09-27 2014-09-25 Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling

Publications (2)

Publication Number Publication Date
JP2016536276A true JP2016536276A (ja) 2016-11-24
JP6529184B2 JP6529184B2 (ja) 2019-06-12

Family

ID=52740773

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016517387A Active JP6500014B2 (ja) 2013-09-27 2014-09-19 蒸着用前駆体およびその作製方法
JP2016517351A Active JP6529184B2 (ja) 2013-09-27 2014-09-25 触媒脱水素カップリングによるアミノシランの無ハロゲン合成

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016517387A Active JP6500014B2 (ja) 2013-09-27 2014-09-19 蒸着用前駆体およびその作製方法

Country Status (8)

Country Link
US (8) US9382269B2 (ja)
EP (2) EP3049499B1 (ja)
JP (2) JP6500014B2 (ja)
KR (2) KR102326396B1 (ja)
CN (3) CN105849221B (ja)
SG (3) SG11201602301WA (ja)
TW (2) TWI657092B (ja)
WO (2) WO2015047914A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016537305A (ja) * 2013-09-27 2016-12-01 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アミン置換トリシリルアミン化合物及びトリジシリルアミン化合物
JP2019203005A (ja) * 2014-05-30 2019-11-28 ダウ シリコーンズ コーポレーション ジアミノシラン化合物
JP2020514274A (ja) * 2016-12-27 2020-05-21 ダウ シリコーンズ コーポレーション アミンとシランとの間の脱水素カップリング反応の触媒作用

Families Citing this family (239)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3149010A4 (en) * 2014-05-30 2017-11-08 Dow Corning Corporation Monoaminosilane compounds
US10006122B2 (en) 2014-10-02 2018-06-26 American Air Liquide, Inc. Organodisilane precursors for ALD/CVD silicon-containing film applications
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201703195QA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108431013B (zh) * 2015-12-18 2021-03-16 美国陶氏有机硅公司 二硅烷基胺和聚硅烷基胺的合成
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI753794B (zh) * 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI784022B (zh) 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP7143124B2 (ja) * 2017-08-09 2022-09-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ge含有Co膜形成材料、Ge含有Co膜およびその成膜方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
KR20200119852A (ko) * 2018-02-21 2020-10-20 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 산화물 막을 형성하는 방법
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
WO2019195658A1 (en) 2018-04-05 2019-10-10 Dana-Farber Cancer Institute, Inc. Sting levels as a biomarker for cancer immunotherapy
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20210015823A (ko) * 2018-05-23 2021-02-10 다우 실리콘즈 코포레이션 유기아미노실란의 제조 방법
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11273432B2 (en) 2018-05-31 2022-03-15 Arizona Board Of Regents On Behalf Of Arizona State University Beta-diketiminate manganese catalysts for hydrosilylation, hydroboration, and dehydrogenative pnictogen-silicon and pnictogen-boron bond formation
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109739070B (zh) * 2019-03-07 2021-11-30 中山职业技术学院 一种高分辨率高透光度半导体用3d打印式正性光刻胶
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) * 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
WO2021041532A1 (en) 2019-08-26 2021-03-04 Dana-Farber Cancer Institute, Inc. Use of heparin to promote type 1 interferon signaling
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20220107024A (ko) * 2020-02-27 2022-08-01 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TWI797640B (zh) * 2020-06-18 2023-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 基於矽之自組裝單層組成物及使用該組成物之表面製備
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0211587A (ja) * 1988-06-29 1990-01-16 Shin Etsu Chem Co Ltd シリル化方法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
WO1998010463A1 (en) * 1996-09-05 1998-03-12 Regents Of The University Of Michigan Germanes and doping with germanes
JP2003318285A (ja) * 2002-04-23 2003-11-07 Hynix Semiconductor Inc 二層誘電体膜構造を有した半導体素子のコンデンサ及びその製造方法
JP2004308007A (ja) * 2003-04-05 2004-11-04 Rohm & Haas Electronic Materials Llc 有機金属化合物
JP2007051363A (ja) * 2005-05-16 2007-03-01 Air Products & Chemicals Inc Cvd炭窒化ケイ素膜用前駆体
JP2008545061A (ja) * 2005-06-29 2008-12-11 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 3成分膜の新規な堆積方法
JP2010514918A (ja) * 2006-11-02 2010-05-06 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属薄膜のcvd/aldに有用なアンチモンおよびゲルマニウム錯体
JP2012248844A (ja) * 2011-05-24 2012-12-13 Air Products & Chemicals Inc 有機アミノシラン前駆体、並びにその製造方法及び使用方法
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2907785A (en) 1957-10-07 1959-10-06 Du Pont Organic compounds of silicon and phosphorus and their preparation
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
GB1006803A (en) 1963-05-10 1965-10-06 Standard Telephones Cables Ltd Improvements in or relating to semiconductor devices
US3532728A (en) 1965-01-27 1970-10-06 Monsanto Co Process for preparing high temperature resistant 1,3 - diaza-2-sila-cycloalkane derivatives
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US4675424A (en) * 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US4882256A (en) 1986-10-14 1989-11-21 Minolta Camera Kabushiki Kaisha Photosensitive member having an overcoat layer comprising amorphous carbon
US5211888A (en) 1991-07-26 1993-05-18 Fmc Corporation Catalyzed hydrocarbyllithium process
US5340507A (en) 1991-07-26 1994-08-23 Fmc Corporation Catalyzed hydrocarbyllithium process
JP2551901B2 (ja) 1991-07-26 1996-11-06 エフ エム シー コーポレーション 接触アルキル化方法
EP0551771B1 (en) 1992-01-08 1997-07-30 Nippon Oil Co. Ltd. Process for producing polysilanes
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
FR2708924B1 (fr) 1993-08-12 1995-10-20 Saint Gobain Vitrage Int Procédé de dépôt d'une couche de nitrure métallique sur un substrat transparent.
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5663398A (en) 1996-05-17 1997-09-02 Fmc Corporation Processes for preparing functionalized alkyllithium compounds
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
AU3964199A (en) 1998-04-07 1999-10-25 Euv Limited Liability Corporation Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6645884B1 (en) 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
US7049308B2 (en) 2000-10-26 2006-05-23 Duke University C-nitroso compounds and use thereof
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
KR20040097265A (ko) 2002-04-04 2004-11-17 데구사 아게 두자리 리간드로서의 비스포스핀
US7091159B2 (en) * 2002-09-06 2006-08-15 Halliburton Energy Services, Inc. Compositions for and methods of stabilizing subterranean formations containing clays
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
JP2004179196A (ja) * 2002-11-22 2004-06-24 L'air Liquide Sa Pour L'etude & L'exploitation Des Procedes Georges Claude 化学気相成長法によるシリコン窒化物系絶縁膜の製造方法および製造装置
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4265409B2 (ja) 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
TW200526804A (en) 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US20070049766A1 (en) * 2005-06-06 2007-03-01 Belot John A Synthesis of tetrakis(dialkylamino)silanes
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4554446B2 (ja) * 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
EP1904493B1 (en) 2005-07-08 2013-03-27 Shangai Institute of Materia Medica, Chinese Academy of Sciences Tetrahydroprotoberberine compounds, the synthetic method and the use thereof
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7943721B2 (en) 2005-10-05 2011-05-17 Kovio, Inc. Linear and cross-linked high molecular weight polysilanes, polygermanes, and copolymers thereof, compositions containing the same, and methods of making and using such compounds and compositions
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US8153832B2 (en) 2006-04-03 2012-04-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Pentakis(dimethylamino) disilane precursor comprising compound and method for the preparation thereof
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) * 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
WO2008129508A2 (en) 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7605092B2 (en) 2007-06-29 2009-10-20 Silicon Storage Technology, Inc. Passive elements, articles, packages, semiconductor composites, and methods of manufacturing same
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US20090162973A1 (en) 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
WO2009142663A1 (en) * 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic liquids and methods for using same
US20090291874A1 (en) * 2008-05-21 2009-11-26 Bara Jason E Ionic liquids and methods for using the same
US8101237B2 (en) 2008-05-29 2012-01-24 L'Air Liquide SociétéAnonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
KR101120065B1 (ko) * 2009-01-08 2012-03-23 솔브레인 주식회사 신규의 아미딘 유도체를 가지는 게르마늄 화합물 및 이의 제조 방법
JP5671711B2 (ja) 2009-06-04 2015-02-18 レール リキッド, ソシエテ アノニム プール レチュード エ レクスプロイタシオン デ プロセデ ジョルジュ クロード トリシリルアミンを生成するための装置および方法
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
EP2363512A1 (en) * 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
WO2013052673A2 (en) 2011-10-07 2013-04-11 Voltaix, Inc. Apparatus and method for the condensed phase production of trisilylamine
CN103748150B (zh) 2011-10-20 2016-03-30 东丽电池隔膜株式会社 多孔膜的制备方法以及该多孔膜、电池用隔膜及电池
KR20140105503A (ko) 2011-12-02 2014-09-01 사빅 글로벌 테크놀러지스 비.브이. 코팅된 중합체 필름
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US9382269B2 (en) * 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
KR101720017B1 (ko) 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
WO2017070192A1 (en) 2015-10-22 2017-04-27 Applied Materials, Inc. METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN
SG11201807211XA (en) 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0211587A (ja) * 1988-06-29 1990-01-16 Shin Etsu Chem Co Ltd シリル化方法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
WO1998010463A1 (en) * 1996-09-05 1998-03-12 Regents Of The University Of Michigan Germanes and doping with germanes
JP2003318285A (ja) * 2002-04-23 2003-11-07 Hynix Semiconductor Inc 二層誘電体膜構造を有した半導体素子のコンデンサ及びその製造方法
JP2004308007A (ja) * 2003-04-05 2004-11-04 Rohm & Haas Electronic Materials Llc 有機金属化合物
JP2007051363A (ja) * 2005-05-16 2007-03-01 Air Products & Chemicals Inc Cvd炭窒化ケイ素膜用前駆体
JP2008545061A (ja) * 2005-06-29 2008-12-11 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 3成分膜の新規な堆積方法
JP2010514918A (ja) * 2006-11-02 2010-05-06 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属薄膜のcvd/aldに有用なアンチモンおよびゲルマニウム錯体
JP2012248844A (ja) * 2011-05-24 2012-12-13 Air Products & Chemicals Inc 有機アミノシラン前駆体、並びにその製造方法及び使用方法
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films

Non-Patent Citations (17)

* Cited by examiner, † Cited by third party
Title
CHEMICAL COMMUNICATIONS, vol. 49, no. 15, JPN7018001713, 2013, pages 1506 - 1508, ISSN: 0003955599 *
DATABASE REGISTRY (STN) RN 1260486-29-0, [ONLINE], JPN7018001705, 26 January 2011 (2011-01-26), ISSN: 0003803008 *
DATABASE REGISTRY (STN) RN 15435-78-6, [ONLINE], JPN7018001711, 16 November 1984 (1984-11-16), ISSN: 0003803014 *
DATABASE REGISTRY (STN) RN 28967-70-6, [ONLINE], JPN7018001709, 16 November 1984 (1984-11-16), ISSN: 0003803012 *
DATABASE REGISTRY (STN) RN 33448-86-1, [ONLINE], JPN7018001708, 16 November 1984 (1984-11-16), ISSN: 0003803011 *
DATABASE REGISTRY (STN) RN 4746-74-1, [ONLINE], JPN7018001707, 16 November 1984 (1984-11-16), ISSN: 0003803010 *
DATABASE REGISTRY (STN) RN 5695-53-4, [ONLINE], JPN7018001710, 16 November 1984 (1984-11-16), ISSN: 0003803013 *
DATABASE REGISTRY (STN) RN 856643-75-9, [ONLINE], JPN7018001706, 22 July 2005 (2005-07-22), ISSN: 0003803009 *
INORGANIC CHEMISTRY, vol. 18, no. 6, JPN7018001698, 1979, pages 1594 - 1597, ISSN: 0003803001 *
INORGANIC CHEMISTRY, vol. 36, no. 9, JPN7018001699, 1997, pages 1758 - 1763, ISSN: 0003955596 *
INORGANIC CHEMISTRY, vol. 42, no. 15, JPN7018001701, 2003, pages 4579 - 4584, ISSN: 0003803004 *
JOURNAL OF ORGANOMETALLIC CHEMISTRY, vol. 547, no. 2, JPN7018001700, 1997, pages 227 - 233, ISSN: 0003803003 *
JOURNAL OF PHYSICAL CHEMISTRY A, vol. 113, no. 16, JPN7018001704, 2009, pages 3876 - 3880, ISSN: 0003803007 *
NANO LETTERS, vol. 11, no. 2, JPN7018001702, 2011, pages 740 - 745, ISSN: 0003803005 *
ORGANOMETALLICS, vol. 10, no. 7, JPN7018001714, 1991, pages 2222 - 2227, ISSN: 0003955600 *
SYNTHESIS, JPN7018001712, 2005, pages 2677 - 2682, ISSN: 0003955598 *
ZEITSCHRIFT FUR ANORGANISCHE UND ALLGEMEINE CHEMIE, vol. 619, no. 8, JPN7018001703, 1993, pages 1347 - 1352, ISSN: 0003955597 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016537305A (ja) * 2013-09-27 2016-12-01 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アミン置換トリシリルアミン化合物及びトリジシリルアミン化合物
JP2019203005A (ja) * 2014-05-30 2019-11-28 ダウ シリコーンズ コーポレーション ジアミノシラン化合物
JP2020514274A (ja) * 2016-12-27 2020-05-21 ダウ シリコーンズ コーポレーション アミンとシランとの間の脱水素カップリング反応の触媒作用
JP7100036B2 (ja) 2016-12-27 2022-07-12 ナタ セミコンダクター マテリアルズ カンパニー リミテッド アミンとシランとの間の脱水素カップリング反応の触媒作用

Also Published As

Publication number Publication date
CN108766872A (zh) 2018-11-06
US10501484B2 (en) 2019-12-10
JP6529184B2 (ja) 2019-06-12
US9453035B2 (en) 2016-09-27
JP6500014B2 (ja) 2019-04-10
CN105793270B (zh) 2019-09-27
US20160362429A1 (en) 2016-12-15
EP3049421A2 (en) 2016-08-03
US20180162883A1 (en) 2018-06-14
EP3049421B1 (en) 2020-07-01
SG11201602190PA (en) 2016-04-28
US20150094470A1 (en) 2015-04-02
KR20160062145A (ko) 2016-06-01
CN105849221B (zh) 2019-06-18
US20160215003A1 (en) 2016-07-28
US20180230171A1 (en) 2018-08-16
JP2016537305A (ja) 2016-12-01
TW201522355A (zh) 2015-06-16
EP3049499B1 (en) 2020-07-22
US11274112B2 (en) 2022-03-15
US20200040013A1 (en) 2020-02-06
US20160237099A1 (en) 2016-08-18
WO2015048237A2 (en) 2015-04-02
TW201522356A (zh) 2015-06-16
US9920077B2 (en) 2018-03-20
US20220153762A1 (en) 2022-05-19
TWI657092B (zh) 2019-04-21
US11780859B2 (en) 2023-10-10
WO2015048237A3 (en) 2015-11-05
EP3049499A1 (en) 2016-08-03
US9382269B2 (en) 2016-07-05
EP3049421A4 (en) 2017-05-03
CN105793270A (zh) 2016-07-20
CN105849221A (zh) 2016-08-10
EP3049499A4 (en) 2017-05-31
KR20160071402A (ko) 2016-06-21
US10494387B2 (en) 2019-12-03
KR102291427B1 (ko) 2021-08-18
SG10201804678TA (en) 2018-07-30
US9920078B2 (en) 2018-03-20
TWI658044B (zh) 2019-05-01
KR102326396B1 (ko) 2021-11-12
CN108766872B (zh) 2022-11-01
WO2015047914A1 (en) 2015-04-02
SG11201602301WA (en) 2016-04-28

Similar Documents

Publication Publication Date Title
JP6529184B2 (ja) 触媒脱水素カップリングによるアミノシランの無ハロゲン合成
JP6993474B2 (ja) Si含有膜形成組成物
TW201509799A (zh) 用於ald/cvd含矽薄膜應用之六配位含矽前驅物

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170707

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190415

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190508

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190513

R150 Certificate of patent or registration of utility model

Ref document number: 6529184

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250