KR102291427B1 - 촉매적 탈수소화 커플링에 의한 아미노실란의 무-할로겐 합성 - Google Patents

촉매적 탈수소화 커플링에 의한 아미노실란의 무-할로겐 합성 Download PDF

Info

Publication number
KR102291427B1
KR102291427B1 KR1020167011267A KR20167011267A KR102291427B1 KR 102291427 B1 KR102291427 B1 KR 102291427B1 KR 1020167011267 A KR1020167011267 A KR 1020167011267A KR 20167011267 A KR20167011267 A KR 20167011267A KR 102291427 B1 KR102291427 B1 KR 102291427B1
Authority
KR
South Korea
Prior art keywords
linear
branched
free
reaction mixture
alkyl
Prior art date
Application number
KR1020167011267A
Other languages
English (en)
Other versions
KR20160071402A (ko
Inventor
안토니오 산체스
겐나디 이토브
펑 장
매튜 데미안 스티븐스
마니쉬 칸델왈
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20160071402A publication Critical patent/KR20160071402A/ko
Application granted granted Critical
Publication of KR102291427B1 publication Critical patent/KR102291427B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/16Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of arsenic, antimony, bismuth, vanadium, niobium, tantalum, polonium, chromium, molybdenum, tungsten, manganese, technetium or rhenium
    • B01J23/24Chromium, molybdenum or tungsten
    • B01J23/26Chromium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/38Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals
    • B01J23/40Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals of the platinum group metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/38Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals
    • B01J23/40Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals of the platinum group metals
    • B01J23/46Ruthenium, rhodium, osmium or iridium
    • B01J23/462Ruthenium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/70Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the iron group metals or copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/1616Coordination complexes, e.g. organometallic complexes, immobilised on an inorganic support, e.g. ship-in-a-bottle type catalysts
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/442Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using fluidised bed process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)
  • Catalysts (AREA)

Abstract

금속성 촉매에 의해 촉매 작용된 상응하는 비치환 실란 및 아민 (암모니아 포함) 또는 포스핀 사이의 탈수소화 커플링을 통한 Si-X 및 Ge-X 화합물 (X = N, P, As 및 Sb) 의 화합물 및 이의 제조 방법이 기재된다. 이러한 신규한 접근은, Si-X 함유 화합물 및 수소 기체 (X = N, P, As 및 Sb) 를 형성하기 위한 Si-H 및 X-H 잔기의 촉매적 탈수소화 커플링을 기반으로 한다. 방법은 전이 금속 비균질 촉매 예컨대 Ru(0)/C, Pd(O)/MgO 및 균질 촉매로서 작용하는 전이 금속 유기금속성 착물에 의해 촉매 작용될 수 있다. 탈수소화 커플링에 의해 제조된 -Si-X 생성물은 본질적으로 무-할로겐이다. 상기 화합물은 Si-함유 필름의 화학적 증착 또는 원자 층 침착에 의한 박막의 침착에 유용할 수 있다.

Description

촉매적 탈수소화 커플링에 의한 아미노실란의 무-할로겐 합성 {HALOGEN FREE SYNTHESES OF AMINOSILANES BY CATALYTIC DEHYDROGENATIVE COUPLING}
본 출원은 2013 년 9 월 27 일에 출원된 미국 가특허 출원 번호 61/883,452 로부터의 우선권을 주장한다. 우선권 미국 가특허 출원이 청구된다.
규소-헤테로원자 및 게르마늄 헤테로원자 결합을 형성하기 위한 문헌에 밝혀진 방법 대부분은 클로로실란 및 친핵체 (아민, 포스핀 등) 의 반응을 포함한다. 이러한 반응은 여과될 필요가 있는 다량의 염을 형성하는, 염기에 의해 스캐빈지 (scavange) 되는 것이 필요한 수소 할라이드의 한 등가물을 형성하는 네트 탈수소할로겐화 (net dehydrohalogenation) 를 기반으로 한다. 이러한 사실은 또한 베이스-상용성 기판에 대한 반응의 범주를 제한하고, 할로겐 예컨대 염소 및 아미노할로겐에 의해 오염된 생성물을 산출한다.
실란 화합물 예컨대 모노실란, 디실란 및 트리실란은 다양한 적용물에서 사용된다. 반도체 분야에서, 실란 화합물은 흔히 예를 들어 규소 질화물, 규소 산화물 또는 규소 옥시니트라이드의 규소-기반 유전체 막의 화학적 증착 (CVD) 에 의한 제조용 출발 물질 (전구체) 로서 사용된다. 더 구체적으로는, 실란 화합물은 질소-함유 반응 기체 예컨대 암모니아와의 반응에 의해 규소 니트라이드, 산소-함유 기체 예컨대 산소와의 반응에 의해 규소 산화물, 및 질소-함유 기체 및 산소-함유 기체와의 반응에 의해 규소 옥시니트라이드를 생성할 수 있다.
현재 CVD 에 의한 규소 니트라이드 필름의 표준 제조 방법은 암모니아 기체 또는 기타 아민 (아미노 화합물) 및 할로실란 예컨대 클로로실란 (실란 화합물) 사이의 반응을 유도하는 것을 포함하지만; 암모늄 클로라이드 또는 아민 히드로클로라이드는 이러한 반응에 의한 부산물로서 제조된다. 암모늄 클로라이드는 백색 고체이고, 그 자체가 CVD 반응 장치의 배기 라인에 축적되고 이를 막히게 한다. 아민 히드로클로라이드 염은 전기 적용물에 사용된 아미노실란에서 매우 원치 않는 오염물인데, 이는 이것이 CVD 챔버에서 금속과 반응하고 반도체 물질의 전기 특성을 저하시킬 수 있거나 다른 결함 유형의 발생을 야기하기 때문이다. 그보다 더욱, 이러한 염은 HCl 를 생성하는 분해-재조합 공정에 의해 승화하는 것으로 공지되어 있다. 염화수소는 CVD 챔버에서 이루어지는 임의의 공정 및 챔버 그 자체를 손상시킬 수 있는 부식성 기체이다. 이로부터 또는 임의의 다른 공급원으로부터의 반응성 염소는 이러한 해로운 효과를 야기할 수 있다. 할로겐 함유 반응물질을 사용하지 않고서 (이에 따라 할로겐 및 아미노할로겐이 없음) 합성된 실란 화합물이 매우 바람직하다.
CVD 방법에서, 이에 따라 무-할로겐인 전구체 화합물을 갖는 것이 바람직하다.
도면의 간략한 설명
도 1 은 반응 용기가 교반기가 장착되고, 진공 및 반응물질을 제공할 수 있는 매니폴드에 연결된 오토클레이브 반응기인 전형적 반응기 장치이다.
도 2 는 반응기 용기가 생성물의 제거를 위한 필터를 갖는 침지-튜브 (Dip-tube) 및 수소 통기를 허용하는 컨덴서에 부착되는 전형적 반응기 장치이다.
할로겐 부산물의 형성이 없는 규소-헤테로원자 (X) 결합 또는 게르마늄 헤테로원자 결합을 갖는 화합물의 합성 방법이 개발되었다. 본원에 기재된 탈수소화 커플링 합성 방법을 위한 출발 물질은 할로겐 함유 화합물이 아니다. 본원에서 기재 및 청구된 탈수소화 커플링 합성에 의해 제조된 화합물 모두는 추가 정제 없이 "무-할로겐" 이고, 용어 "무-할로겐" 은 본원에 정의된다. 할로겐이 전구체 화합물에 존재하는 경우, 이러한 화합물은 덜 안정한 것으로 여겨진다. 본 발명의 화합물은 두 가지 형태로 청구된다. 첫 번째로 신규 화합물로서이고, 두 번째로 할로겐을 제거하기 위한 추가 정제 없이 제조된 무-할로겐인 화합물로서이다. 규소 및 게르마늄은 IVb 족 원소이다. 이러한 접근은 수소 기체를 방출하는 헤테로원자와 규소 또는 게르마늄의 촉매적 탈수소커플링을 기반으로 한다. Si-X 또는 Ge-X 결합이 형성되고, 여기서 X 는 질소 (N), 인 (P), 비소 (As) 및 안티몬 (Sb) 로 이루어지는 군으로부터 선택되는 Vb 족 원소이다. 공정은 전이 금속 촉매에 의해 촉매 작용된다. 촉매는 비균질 또는 균질일 수 있다. 아민에 관한 일반적 반응의 설명은 식 1 에 주어져 있다. Vb 족 헤테로원자 N, P, As 또는 Sb 및 IVb 족 원소에 관한 일반적 반응의 설명은 식 1A 에 주어져 있다. 반응은 용매 중에 또는 용매 없이 수행될 수 있다. 반응은 배치식 또는 연속식 흐름 반응기에서 수행될 수 있다.
Figure 112016040744156-pct00001
식 중에서, X = N, P, As 또는 Sb 이고; n = 1, 2 또는 3 이고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소이고; X 는 N, P, As 또는 Sb 로 이루어지는 군으로부터 선택되는 헤테로원자이고; R1 = H, H3E-, H5E2-; H7E3-; H9E4-; H11E5- 이고; R2 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고; R3 은 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이다. 상기 식 1 에서, 아민 R2R3NH 는 디아민, 트리아민, 테트라 아민, 실라잔 및 시클릭 2차 아민에 의해 대체될 수 있다. 디아민의 비제한적 예는 에틸렌 디아민, 1,2-프로필렌 디아민 및 유사한 디아민을 포함한다. 트리아민의 비제한적 예는 디에틸렌 트리아민 및 유사한 화합물을 포함한다. 테트라 아민의 비제한적인 예는 트리에틸렌테트라아민 및 유사한 화합물을 포함한다. 실라잔의 비제한적인 예는 헥사메틸 디실라잔을 포함한다. 시클릭 2차 아민의 비제한적인 예는 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌 또는 시클릭 2차 아민 및 유사한 화합물의 임의의 C-치환 유도체를 포함한다. 시클릭 2차 아민의 C-치환 유도체의 비제한적인 목록은 시클릭 2차 아민의 임의의 알킬 치환 유도체 예컨대 2-메틸 피페리딘, 3-메틸 피페리딘, 4-메틸 피페리딘, 2-메틸 피롤리딘, 3-메틸 피롤리딘, 2-메틸 피롤, 3-메틸 피롤, 2-메틸 인돌 및 3-메틸 인돌을 포함한다. 2차 시클릭 아민은 백본 사슬에 여러 탄소 원자 및 하나 이상의 N 기를 함유하는 헤테로사이클 (고리) 이다. 예를 들어 피페리딘은 6각형 고리 구조에 5 개의 탄소 및 1 개의 질소를 함유한다. 각각의 탄소는 2 개의 펜던트 수소에 부착되고, 질소는 하나의 펜던트 수소에 부착된다. 탄소-치환 헤테로시클릭 2차 아민은 고리를 구성하는 하나 이상의 탄소 원자에 부착된 수소 이외의 펜던트 치환 기를 갖는 헤테로시클릭 고리 구조를 함유한다. 전형적인 펜던트 치환 기는 하기를 포함한다: 제한 없이 알킬, 알케닐, 알키닐, 아릴, 알킬 에테르, 실릴, 트리메틸 실릴 또는 알킬-치환 실릴. 식 1A 에서 X 가 P, As 또는 Sb 인 경우 R1 = H3E-, H5E2-; H7E3-; H9E4-; H11E5- 이고; R2 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고; R3 은 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이다. 상기 화합물은 R1 이 H3E 이고, R2 및 R3 이 독립적으로 C1 또는 C2 알킬인 화합물을 배제한다.
알킬 치환기 군의 구성원의 비제한적 목록은 하기를 포함한다: 메틸, 에틸, 프로필, 이소-프로필, 부틸, tert-부틸, sec-부틸, 이소-부틸, 펜틸, 네오펜틸, 이소펜틸, 헥실, 이소헥실. 아릴 치환기 군의 구성원의 비제한적 목록은 하기를 포함한다: 페닐, 톨릴, 자일릴, 나프틸, 피리딜.
알케닐은 하나의 수소 원자의 제거에 의해 알켄으로부터 유래된 임의의 일가 지방족 탄화수소 라디칼 C n H2 n -1 (예컨대 2-부테닐 CH3CH:CHCH2-) 로서 정의된다. 여기서 n 은 2 내지 8 이다.
알키닐은 탄소-탄소 삼중 결합 및 화학식 CnH2n - 2 을 갖는 일련의 개방 사슬 탄화수소 중 임의의 것으로서 정의된다. 여기서 n 은 2 내지 8 이다.
헤테로원자 화합물의 구조 및 Si 또는 Ge 화합물의 구조 및 E 대 X 의 몰 비율에 따라, E-X 결합을 함유하는 분자가 다소 형성될 수 있다. E-X 결합을 함유하는 이러한 분자는 선형, 분지형, 시클릭 또는 이의 조합일 수 있다. 선형, 분지형 및 시클릭의 예 및 조합 및 각각을 합성하는 방법이 기재된다.
하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00002
[식 중, n1 = 1 내지 (2(k+1)-n2 이고; n2 = 0 내지 (2(k + 1)-n1) 이고; k = 2 내지 6 이고; R1, R2, R3 및 R4 는 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]. 무-할로겐이 아닌 하기 화합물은 할로겐 함유 반응 물질을 포함하는 방법에 의해 제조될 수 있고, 본원에 포함된 물질 조성물 청구항으로부터 배제되지만 본원에 포함된 합성 방법 청구항으로부터 배제되지 않는다. 배제된 화합물은 하기: [(R1R2N)3-xHxSi-Si(NR3R4)3-yHy] (식 중 R1, R2, R3 및 R4 는 독립적으로 임의의 치환 또는 비치환 선형, 분지형 또는 시클릭 알킬 기이고, x,y = 0, 1 또는 2 임), (R1R2N)n-SiH(3-n)SiH3 (식 중, R1 은 선형 또는 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끌개 기, C3 내지 C10 시클릭 알킬 기, 및 C6 내지 C10 아릴 기이고; R2 는 H, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C3 내지 C10 시클릭 알킬 기, C6 내지 C10 아릴 기, 전자 끌개 기 및 C4 내지 C10 아릴 기로부터 선택되고; n= 1 또는 2 이고; R1 및 R2 는 함께 연결되어 치환 또는 비치환 방향족 고리 또는 치환 또는 비치환 지방족 고리로부터 선택되는 고리를 형성하고; n=2 인 경우, R1 및 또는 R2 또는 R1 모두 또는 R2 모두는 함께 연결되어 고리를 형성함), ((R)HN)3-Si-Si-NH(R))3 (각각의 R 은 독립적으로 C1 내지 C4 히드로카르빌로부터 선택됨), (Et2N)SiH2-SiH2(NEt2), (Et2N)SiH2-SiH2-SiH2(NEt2), SiH3-SiH(NEt2)-SiH(NEt2)- SiH3, [(CH3)3Si-)2N]-SiH2-SiH2-SiH2-[N(-Si(CH3)3)2], [(CH3)3Si-)2N]-SiH2-SiH2-SiH2- SiH2-[N(-Si(CH3)3)2],
Figure 112016040744156-pct00003
Figure 112016040744156-pct00004
(TMS= 트리메틸실란) 를 포함하고, 또한 무-할로겐인 것으로 보고된 H3SiNEt2 을 배제함.
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1R2NH 및 R3R4NH 및 EkH2(k+1) 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응이 진행되게 하여 (R1R2N)n1 (R3R4N)n2 EkH(2(k+1)-n1-n2) 를 형성하는 단계;
e) 반응 혼합물로부터 (R1R2N)n1 (R3R4N)n2 EkH(2(k+1)-n1-n2) 를 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화할 수 있고, 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지된다.
k = 3 이고; R1 = R2= 이소프로필이고; n1 = 1; n2 = 0 인 구조식.
Figure 112016040744156-pct00005
하기 단계를 포함하는, 하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00006
[식 중, n = 1 내지 6 이고; m = 1 내지 6 이고; k = 1 내지 6 이고; R1 및 R2 는 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1NH(CH2)nNH(CH2)mNHR2 및 EkH(2k+2) 를 접촉시키는 단계; 여기서 HEkH(2k+1) 대 R1NH(CH2)nNH(CH2)mNHR2 의 몰비는 적어도 3:1 임;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1N(CH2)nN(CH2)mNR2 3(EkH(2k+1)) 를 형성하는 단계;
e) 반응 혼합물로부터 생성물 R1N(CH2)nN(CH2)mNR2 3(EkH(2k+1)) 를 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고, 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락되는 것을 허용하지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
k = 3 이고; R1 = 에틸이고; R2 = 에틸이고; n = 1 이고; m =1 인 구조:
Figure 112016040744156-pct00007
하기 단계를 포함하는, 하기 화학식을 갖는 화합물을 제조하는 방법:
Figure 112016040744156-pct00008
[식 중, 하나의 E 는 3 개의 질소에 부착되고; n = 1 내지 6 이고; m = 1 내지 6 이고; R1 및 R2 는 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1NH(CH2)nNH(CH2)mNHR2 및 HE3H5 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1N(CH2)nN(CH2)mNR2 3EH5 을 형성하는 단계;
e) 반응 혼합물로부터 생성물 R1N(CH2)nN(CH2)mNR2 3EH5 를 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
k = 3 이고; R1 = 에틸이고; R2 = 에틸이고; n = 1; m =1 인 샘플 구조:
Figure 112016040744156-pct00009
하기 단계를 포함하는, 하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00010
[식 중, n = 1 내지 6 이고; m = 1 내지 6 이고; k = 1 내지 6 이고; R1 및 R2 는 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임];
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1NH(CH2)nNH(CH2)mNHR2 및 HE2H4EkH(2k+1) 를 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1N(CH2)nN(CH2)mNR2 E2H3(EkH2k+1) 를 형성하는 단계;
e) 반응 혼합물로부터 R1N(CH2)nN(CH2)mNR2 E2H3(EkH2k+1) 를 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고, 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
k = 3 이고; R1 = 에틸이고; R2 = 에틸이고; n = 1 이고; m =1 인 샘플 구조:
Figure 112016040744156-pct00011
하기 단계를 포함하는, 하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00012
[식 중, 하나의 E 는 하나의 질소에 부착되고; n = 1 내지 6 이고; m = 1 내지 6 이고; k = 1 내지 6 이고; R1 및 R2 는 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1NH(CH2)nNH(CH2)mNHR2 및 HE2H4EkH(2k+1) 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1N(CH2)nN(CH2)mNR2 E2H4EkH(2k+1) 을 형성하는 단계;
e) 반응 혼합물로부터 R1N(CH2)nN(CH2)mNR2 E2H4EkH(2k+1) 를 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
k = 1 이고; R1 = 에틸이고; R2 = 에틸이고; n = 1 이고; m =1 인 구조:
Figure 112016040744156-pct00013
하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00014
상기 시클릭 2차 아민 구조를 갖는 조성물은 "시클릭R1R2N-" 으로 나타난다.
식 중, n = 1 또는 2 이고; k = 2 내지 6 이고; R1 및 R2 는 독립적으로 -CHR'-; -CHR'-CHR"-; -CHR'-CHR"-CHR"'-; =CH-; -CR'=CR"-; -CR'=N-CR"=; =CH-; -CHR'= CHR"- 로 이루어지는 군으로부터 선택되고; R', R" 및 R"' 은 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]. 무-할로겐이 아닌 하기 화합물은 할로겐 함유 반응물질을 포함하는 방법에 의해 제조될 수 있고 본원에 포함된 물질 조성물 청구항으로부터 배제되지만 본원에 포함된 합성 방법 청구항으로부터 배제되지 않는다. 배제된 화합물은
Figure 112016040744156-pct00015
를 포함하고 여기서 R=CH3, Ph 이다.
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 시클릭 R1R2NH 및 HEkH(2k+1) 을 접촉시키는 단계; 여기서 HEkH(2k+1) 대 시클릭 R1R2NH 의 몰비는 적어도 3:1 임;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 시클릭 R1R2N-EkH(2k+1) 을 형성하는 단계;
e) 반응 혼합물로부터 시클릭 R1R2N-EkH(2k+1) 를 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
k = 3 이고; 시클릭 R1R2NH = 피롤리딘이고; R1 및 R2 = -CHR'-CHR"- 이고; R', R" = H 인 구조:
Figure 112016040744156-pct00016
하기 화학식을 갖는 화합물의 합성 방법:
Figure 112016040744156-pct00017
[식 중: n = 1 또는 2 이고; k = 1 내지 6 이고; R1 및 R2 는 독립적으로 -CHR'-; -CHR'-CHR"-; -CHR'-CHR"-CHR"'-; =CH-; -CR'=CR"-; -CR'=N-CR"=; =CH-; -CHR'=CHR"- 로 이루어지는 군으로부터 선택되고; R', R" 및 R"' 은 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]. 상기 화합물은 n = 2 이고; k=1 이고; E=Si 이고; R1,R2 이 모두 -CR'=CR"- 이고, 여기서 R' 및 R" 이 모두 H 인 하기 무-할로겐 화합물을 배제한다.
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 시클릭 R1R2NH 및 HEkH(2k+1) 을 접촉시키는 단계; 여기서 HEkH(2k+1) 대 시클릭 R1R2NH 의 몰비는 약 1:1 내지 약 1:5 임.
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 시클릭 R1R2N-EkH(2k)-N R1R2 시클릭을 형성하는 단계;
e) 반응 혼합물로부터 시클릭 R1R2N-EkH(2k)-N R1R2 시클릭을 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고, 반응 동안 온도가 약 0 ℃ 미만으로 하락되는 것을 허용하지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
바람직하게는, 2차 시클릭 아민은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌 또는 시클릭 2차 아민의 임의의 C-치환 유도체로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소이다.
용어 무-염소, 무-할라이드, 무-할로겐 및 무-아미노염소 및 무-아미노할로겐은 5 ppm 미만의 할로겐, 바람직하게는 3 ppm 미만의 할로겐, 더 바람직하게는 1 ppm 미만의 할로겐을 함유하는 화합물을 정의하도록 본원에서 사용된다. 용어 할로겐은 불소, 염소, 브롬 및 요오드를 포함한다. 무-할로겐 생성물을 달성하기 위해, 출발 반응물질 및 본 발명의 촉매는 무-할로겐이다. 용어 아미노할라이드 및 아미노할로겐은 제한 없이 암모니아 및 할로겐과 회합된 유기 아민을 포함하는 임의의 아민을 나타낸다. 이러한 회합은 염, 착물 또는 화학 결합일 수 있다. 용어 "반응 용기" 및 "반응기" 는 동일한 장비를 나타내고, 동일한 의미를 갖고, 본원에서 상호 교환적으로 사용된다. 반응기는 배치식 합성용 용기 또는 연속식 합성을 용이하게 하기 위한 관류 용기일 수 있다. 용어 "반응 혼합물" 은 반응 물질, 촉매 및 임의로 용매의 조합을 나타내고, 여기서 반응이 이루어져 생성물을 형성한다. 본 개시내용 및 청구항에 사용된 용어 "무-할로겐" 은 모든 공급원 예컨대 제한 없이 할로겐 이온, 결합 할로겐 및 아미노할로겐으로부터 존재하는 할로겐의 수준을 나타낸다.
하기 화학식을 갖는 화합물:
Figure 112016040744156-pct00018
[식 중, X = P, As, Sb 이고; 여기서 n1 = 1 이고; n2 = 0 내지 (2(k + 2) n1) 이고; k = 1 내지 6 이고; R1, R2, R3 및 R4 는 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임].
하기 단계를 포함하는, 구조 (R1R2X)n1 (R3R4X)n2EkH(2(k+2)-n1-n2) 를 갖는 화합물의 제조 방법:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1R2XH 및 R3R4XH 및 EkH2(k+1) 를 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 (R1R2X)n1 (R3R4X)n2 EkH(2(k+1)-n1-n2) 를 형성하는 단계;
e) 반응 혼합물로부터 (R1R2X)n1 (R3R4X)n2 EkH(2(k+1)-n1-n2) 를 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 화학식을 갖는 화합물:
Figure 112016040744156-pct00019
[식 중, X = P, As, Sb 이고; 여기서 n = 1 내지 6 이고; m = 1 내지 6 이고; k = 1 내지 6 이고; R1 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고; R2 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임].
하기 단계를 포함하는, 구조 R1X(CH2)nX(CH2)mXR2 3(EkH(2k+1)) 를 갖는 화합물의 제조 방법:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1XH(CH2)nXH(CH2)mXH R2 및 HEkH(2k+1) 을 접촉시키는 단계; 여기서 HEkH(2k+1) 대 R1XH(CH2)nXH(CH2)mXH R2 의 몰비는 적어도 3:1 임;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1X(CH2)nX(CH2)mX R2 3(EkH(2k+1)) 를 형성하는 단계;
e) 반응 혼합물로부터 생성물 R1X(CH2)nX(CH2)mX R2 3(EkH(2k+1)) 를 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 화학식을 갖는 화합물:
Figure 112016040744156-pct00020
[식 중, X = P, As, Sb 이고; n = 1 내지 6 이고; m = 1 내지 6 이고; k = 3 내지 6 이고; R1 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고; R2 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임].
하기 단계를 포함하는, 구조 R1X(CH2)nX(CH2)mXR2 3EH5 를 갖는 화합물의 제조 방법:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1XH(CH2)nXH(CH2)mXH R2 및 HE3H5 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1X(CH2)nX(CH2)mX R2 3EH5 를 형성하는 단계;
e) 반응 혼합물로부터 생성물 R1X(CH2)nX(CH2)mX R2 3EH5 을 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 화학식을 갖는 화합물:
Figure 112016040744156-pct00021
Figure 112016040744156-pct00022
[식 중, X = P, As, Sb 이고; n = 1 내지 6 이고; m = 1 내지 6 이고; k = 2 내지 6 이고; R1 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고; R2 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임].
하기 단계를 포함하는, 구조 R1X(CH2)nX(CH2)mX R2 E2H3EkH(2k+1) 를 갖는 화합물의 제조 방법:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1XH(CH2)nXH(CH2)mXH R2 및 HE2H3EkH2k 를 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1X(CH2)nX(CH2)mX R2 E2H3EkH(2k+1) 를 형성하는 단계;
e) 반응 혼합물로부터 R1X(CH2)nX(CH2)mX R2 E2H3EkH(2k+1) 를 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 화학식을 갖는 화합물:
Figure 112016040744156-pct00023
[식 중, X = P, As, Sb 이고; n = 1 내지 6 이고; m = 1 내지 6 이고; k = 1 내지 6 이고; R1 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴; R2 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임].
하기 단계를 포함하는, 구조 R1X(CH2)nX(CH2)mX R2EkH(2k+1) 를 갖는 화합물의 제조 방법:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1XH(CH2)nXH(CH2)mXH R2 및 HEkH(2k+1) 를 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1X(CH2)nX(CH2)mX R2EkH(2k+1) 를 형성하는 단계;
e) 반응 혼합물로부터 R1X(CH2)nX(CH2)mXR2EkH(2ki+1) 를 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고, 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 화학식을 갖는 화합물:
Figure 112016040744156-pct00024
[식 중, n = 1 이고; k = 1 내지 6 이고; X = P, As, Sb 이고; R1 및 R2 는 독립적으로 -CHR'-; -CHR'-CHR"-; -CHR'-CHR"-CHR"'-; =CH-; -CR'=CR"-; =CH-; -CHR'=CHR"- 로 이루어지는 군으로부터 선택되고; R', R", 및 R"' 은 독립적으로 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임].
하기 단계를 포함하는, 구조 시클릭 R1R2X-EkH(2k+1) 을 갖는 화합물의 제조 방법:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 시클릭 R1R2XH 및 HEkH(2k+1) 를 접촉시키는 단계; 여기서 HEkH(2k+1) 대 시클릭 R1R2XH 의 몰비는 적어도 3:1 임;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 시클릭 R1R2X-EkH(2k+1) 를 형성하는 단계;
e) 반응 혼합물로부터 시클릭 R1R2X-EkH(2k+1) 를 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고, 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 화학식을 갖는 화합물:
Figure 112016040744156-pct00025
[식 중: X = P, As, Sb 이고; n = 1 또는 2 이고; k = 1 내지 6 이고; R1 및 R2 은 독립적으로 -CHR'-; -CHR'-CHR"-; -CHR'-CHR"-CHR"'-; =CH-; -CR'=CR"-; =CH-; -CHR'=CHR"- 로 이루어지는 군으로부터 선택되고, R', R", 및 R"' 은 독립적으로 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임].
하기 단계를 포함하는, 하기 구조를 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00026
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 시클릭 R1R2XH 및 HEkH(2k+1) 를 접촉시키는 단계; 여기서 HEkH(2k+1) 대 시클릭 R1R2XH 의 몰비는 약 1:2 임;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 시클릭 R1R2X-EkH(2k1-2)-X R1R2 시클릭을 형성하는 단계;
e) 반응 혼합물로부터 시클릭 R1R2X-EkH(2k1-2)-X R1R2 시클릭을 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고, 반응 동안 온도가 약 0 ℃ 미만으로 하락하는 것이 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 방법은 하기 단계를 포함하는 아미노실란의 합성을 기재한다:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 아민 및 실란 반응물질을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 생성물을 형성하는 단계;
e) 반응 혼합물로부터 생성물을 분리하는 단계.
하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00027
[식 중, 하나의 E 는 하나의 질소에 부착되고; m = 1 내지 6 이고; k = 1 내지 6 이고; R1 및 R2 는 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1NH(CH2)mNHR2 및 HEkH(2k+1) 를 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1NH(CH2)mNR2 EkH(2k+1) 를 형성하는 단계;
e) 반응 혼합물로부터 R1NH(CH2)mNR2 EkH(2k+1) 를 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00028
[식 중, 하나의 E 는 2 개의 질소에 부착되고; m = 1 내지 6 이고; R1 및 R2 는 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에, 반응물질 R1N (CH2)mNHR2 및 HE2H3EkH2k+1 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1N (CH2)mNR2 2EH3EkH2k+1 을 형성하는 단계;
e) 반응 혼합물로부터 생성물 R1N (CH2)mNR2 2EH3EkH2k+1 을 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00029
[식 중, 하나의 E 는 1 개의 질소에 부착되고; m = 1 내지 6 이고; R1 및 R2 는 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1N (CH2)mNHR2 및 HEH2EkH2k+1 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1N (CH2)mNR2 EHEkH2k+1 을 형성하는 단계;
e) 반응 혼합물로부터 생성물 R1N (CH2)mNR2 EHEkH2k+1 을 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 단계를 포함하는, 하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00030
[식 중, m = 1 내지 6 이고; k = 1 내지 6 이고; R1 및 R2 는 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1NH(CH2)mNHR2 및 HEkH(2k+1) 를 접촉시키는 단계; 여기서 HEkH(2k+1) 대 R1NH(CH2)nNH(CH2)mNHR2 의 몰비는 적어도 3:1 임;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1NH(CH2)mNR2 2(EkH(2k+1)) 를 형성하는 단계;
e) 반응 혼합물로부터 생성물 R1NH(CH2)mNR2 2(EkH(2k+1)) 를 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
일치환 화합물 A, B 및 C 의 형성은 EkH2k+2/디아민 비율을 감소시킴으로서 비스-치환 화합물 D 의 형성보다 선호된다. 그러나, 화합물 A, B 및 C 의 형성은 유사할 수 있고 3 개의 화합물의 상이한 몰비를 갖는 혼합물이 합성될 것이다. A/B/C 몰 비율은 R1 및 R2 기의 성질 및 -CH2- 사슬의 길이 (m 의 값) 및 반응 조건 예컨대 온도, 반응 시간 또는 촉매에 따라 변화할 것이다. 더 벌키한 R 기 및 더 긴 사슬은 A 의 형성을 선호할 것으로 예상되는 한편, m = 1 내지 3 을 갖는 사슬은 화합물 B 및 C 의 형성을 선호하는 것으로 예상된다.
하기 단계를 포함하는, 하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00031
[식 중, X = P, As, Sb 이고; m = 1 내지 6 이고; k = 1 내지 6 이고; R1, R2 및 R3 은 독립적으로 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1R3X(CH2)mXHR2 및 HEkH(2k+1) 을 접촉시키는 단계:
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1R3X (CH2)mXR2 EkH(2k+1) 을 형성하는 단계;
e) 반응 혼합물로부터 R1R3X(CH2)mXR2 EkH(2k+1) 을 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고, 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 단계를 포함하는, 하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00032
[식 중, X = P, As, Sb 이고; m = 1 내지 6 이고; k = 3 내지 6 이고; R1 은 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; R2 는 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고; E 는 Si 또는 Ge 로 이루어지는 군으로부터 선택되는 IVb 족 원소임]:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에, 반응물질 R1X (CH2)mXHR2 및 H3EH2E-EkH2k+1 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 [R1X (CH2)mXR2][EHEH2EkH2k+1] 을 형성하는 단계;
e) 반응 혼합물로부터 생성물 [R1X(CH2)mXR2][EHEH2EkH2k+1] 을 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고, 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 단계를 포함하는, 하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00033
[식 중, X = P, As, Sb 이고; m = 1 내지 5 이고; R1 및 R2 은 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택됨]:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1X (CH2)mXHR2 및 HEH2EkH2k+1 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1X (CH2)mXR2 EHEkH2k+1 를 형성하는 단계;
e) 반응 혼합물로부터 생성물 R1X (CH2)mXR2 EHEkH2k+1 을 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
하기 단계를 포함하는, 하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00034
[식 중, X = P, As, Sb 이고; m = 1 내지 5 이고; R1, R2 및 R3 은 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택됨]:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1R3X (CH2)mXHR2 및 HEH2EkH2k+1 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 R1R3X (CH2)mXHR2 EH2EkH2k+1 을 형성하는 단계;
e) 반응 혼합물로부터 생성물 R1R3X (CH2)mXHR2 EH2EkH2k+1 을 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
용어 본원에서 사용된 "... 온도를 유지하는" 은 명시된 최소 및 최대 온도 내의 온도를 산출하는데 필요한 가열 또는 냉각을 의미한다. 반응 용기에 대한 아민 및 실란의 첨가 정도는, 아민 먼저이거나 실란 먼저일 수 있다. 출발 물질이 무-할로겐인 경우, 생성물은 무-할로겐 및 무-아미노할로겐일 것이다.
하기 방법은 하기 단계를 포함하는 디이소프로필아미노디실란의 합성 방법을 기재하고 있다:
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 디이소프로필아민 및 디실란을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 75 ℃ 내지 약 200 ℃ 의 온도로 반응 혼합물을 가열하는 단계;
d) 반응이 진행되게 하는 단계;
e) 반응 혼합물로부터 디이소프로필아미노디실란을 분리하는 단계.
본 발명에서 적합한 비균질 촉매는 전이 금속 촉매 및 희토류 원소를 포함한다. 촉매는 하기로 이루어지는 군으로부터 선택된다: Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Y, Zr, Nb, Mo, Tc, Ru, Rh, Pd, Ag, Cd, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Yb 및 U. 바람직한 촉매는 Ru, Pd, Rh, Ir, Fe, Ni, Pt, Cr, Cu 및 Au 로 이루어지는 군으로부터 선택된다. 더 바람직한 촉매는 Rh, Pd, Ru 및 Pt 로 이루어지는 군으로부터 선택된다. 가장 바람직한 촉매는 Ru 및 Ru/C 이다. 추가 바람직한 촉매는 Pd/MgO 이다.
본 발명의 촉매는 바람직하게는 지지체에 고정된다. 지지체는 높은 표면적을 갖는 고체이다. 전형적인 지지 물질은 제한 없이 하기를 포함한다: 알루미나, MgO, 제올라이트, 탄소, 모노리스 코디어라이트 (Monolith cordierite), 규조토, 실리카 겔, 실리카/알루미나, ZrO 및 TiO2. 바람직한 지지체는 탄소, 알루미나, 실리카 및 MgO 이다. 더 바람직한 지지체는 탄소이다. 지지체는 약 1 m2/g 내지 약 3,000 m2/g 범위의 BET 표면적을 갖는다. 바람직한 범위는 약 100 m2/g 내지 약 2,000 m2/g 이다. 촉매의 금속 로딩은 약 0.01 중량% 내지 약 50 중량% 범위이다. 바람직한 범위는 약 0.5 중량% 내지 약 20 중량% 이다. 더 바람직한 범위는 약 0.5 중량% 내지 약 10 중량% 이다. 활성화를 필요로 하는 촉매는 수많은 공지된 방법에 의해 활성화될 수 있다. 진공 하에 촉매를 가열하는 것은 바람직한 방법이다. 촉매는 반응 용기에 대한 첨가 전에, 또는 반응물질을 첨가하기 이전의 반응 용기에서 활성화될 수 있다.
촉매는 촉진제를 함유할 수 있다. 촉진제는 그 자체가 촉매가 아닌 성분이지만 소량으로 활성 촉매와 혼합될 때 이의 효능 (활성 및 선택성) 을 증가시킨다. 촉진제는 일반적으로 금속 예컨대 Mn, Ce, Mo, Li, Re, Ga, Cu, Ru, Pd, Rh, Ir, Fe, Ni, Pt, Cr, Cu 및 Au 및/또는 이의 산화물이다. 이는 반응기 용기에 별도로 첨가될 수 있거나 이는 촉매의 일부 그 자체일 수 있다. 예를 들어 Ru/Mn/C (망간에 의해 촉진된 탄소 상의 루테늄) 또는 Pt/CeO2/Ir/SiO2 (산화세륨 및 이리듐에 의해 촉진된 실리카 상의 백금). 일부 촉진제는 그 자체에 의해 촉매로서 작용할 수 있지만, 주요 촉매와의 조합으로의 이의 사용은 주요 촉매의 활성을 개선시킬 수 있다. 촉매는 다른 촉매를 위한 촉진제로서 작용할 수 있다. 이러한 맥락에서, 촉매는 바이메탈릭 (또는 폴리메탈릭) 촉매일 수 있다. 예를 들어, Ru/Rh/C 는 소위 탄소 상의 루테늄 및 로듐 바이메탈릭 촉매 또는 로듐에 의해 촉진된 탄소 상의 루테늄일 수 있다. 활성 촉매는 특정 화학 반응에서 촉매로서 작용하는 물질이다.
촉매는 전형적으로 진공 및 상승된 온도 하에 수행되는 활성화를 필요로 할 수 있다. 전형적으로 촉매는 약 1 Torr 인 약 -14 psig 및 약 125 ℃ 에서 활성화된다. 활성화 조건은 선택된 촉매에 의해 다소 바뀔 것이다. 다양한 촉매를 활성화시키는 조건은 업계에 공지되어 있다. 활성화 촉매는 미래의 사용을 위해 저장될 수 있다. 본 발명의 촉매는 할로겐을 포함하지 않는다.
용매가 본 발명에서 사용되는 경우, 반응물질과 비반응성인 용매가 선택된다. 용매는 무수이고 촉매를 탈활성화시키지 않는다 (악영향을 주지 않음). 상기 용매의 비제한적 목록은 하기를 포함한다: 알칸 예컨대 C5 내지 C20 선형, 분지형 또는 시클릭 알칸 및 이의 혼합물; 알켄 예컨대 1-옥타데센, 시클로옥타디엔 및 시클로헥센; 클로로알칸 예컨대 메틸렌 클로라이드 및 에틸렌 클로라이드; 아렌 예컨대 톨루엔, 자일렌, 메시틸렌 및 나프탈렌 및 헤테로사이클 예컨대 퀴놀린 및 피리딘 및 이의 혼합물. 바람직한 용매는 n-옥타데칸이다. 바람직하게는, 용매는 이의 비점이 약 10 ℃ 로 생성 화합물의 비점과 상이하도록 선택되어야 한다.
본 발명에 사용된 불활성 기체는 반응 조건 하에 반응성이 아니다. 불활성 기체의 비제한적 목록은 하기를 포함한다: 헬륨, 아르곤 및 질소. 바람직한 기체는 헬륨이다.
오토클레이브 예컨대 기계적 교반이 장착된 Parr 오토클레이브가 적합한 반응 용기이다. 일치환 실란 또는 게르만 (germane) 의 경우, 반응 시작시에 헤테로화합물 대 실란 또는 게르만의 몰비는 약 2 내지 약 0.2, 바람직하게는 약 1 내지 약 0.3 범위 이내이다. 비스-이치환 실란 또는 게르만의 경우, 반응의 시작시에 헤테로화합물 대 실란 또는 게르만의 몰비는 약 5 내지 약 2 의 범위 이내이다.
실시예 1 에서 무-할로겐 및 무-아미노할로겐 디이소프로필아미노디실란의 합성 방법은 하기를 포함한다:
a) 반응 용기에 촉매를 첨가하는 단계;
b) 반응 용기에 동적 진공을 적용하는 단계;
c) 반응 용기를 가열하여 촉매를 활성화하는 단계;
d) 촉매가 활성화될 때까지 진공 및 온도를 유지하는 단계;
e) 반응 용기를 실온으로 냉각시키는 단계;
f) 약 1 대기압의 압력까지 불활성 기체로 반응 용기를 충전하는 단계;
g) 반응 용기에 디이소프로필아민을 첨가하는 단계;
h) 반응 용기에 용매를 첨가하는 단계;
i) 반응 용기를 약 -78 ℃ 내지 약 -140 ℃ 의 온도로 냉각시키는 단계;
j) 디실란을 반응기에 첨가하여 반응 혼합물을 형성하는 단계;
k) 약 75 ℃ 내지 약 200 ℃ 의 온도로 반응기를 가열하는 단계;
l) 가열된 반응 혼합물을 교반하는 단계;
m) 압력이 증가되는 것을 멈출 때까지 반응기 용기 내의 압력을 모니터링하는 단계;
n) 반응기를 대략 실온으로 냉각시키는 단계;
o) 약 -140 ℃ 내지 약 -196 ℃ 의 온도로 냉각된 크리오트랩 (cryotrap) 중에 휘발물을 포획하는 단계;
p) 압력이 하락되는 것을 멈출 때까지 반응기 용기 내의 압력을 모니터링하는 단계; 및
q) 반응기 용기로부터 디이소프로필아미노디실란을 회수하는 단계.
단계 b 내지 e 는 단계 a) 의 촉매가 활성화되거나 활성화를 필요로 하지 않는 경우에는 생략된다.
디이소프로필아미노디실란의 회수는 반응기 용기로부터 직접 증류에 의해 수행될 수 있다. 촉매는 후속 배치에 재순환될 수 있다.
용어 크리오트랩핑 (cryotrapping) 은 크리오트랩에서 기체 물질을 응축시키는 것을 의미한다.
실시예 1. 디이소프로필아미노디실란 "DIPADS" 의 합성:
Si2H6 + (iPr)2NH = (iPr)2NSi2H5 + H2
DIPADS (N,N-디이소프로필, N-디실릴아민으로 또한 공지된 디이소프로필아미노디실란) 은 용매로서 n-옥타데칸 중에서 시판되는 루테늄/탄소에 의해 촉매 작용된 디실란과 디이소프로필아민 사이의 반응에 의해 가압 반응기 용기 중에서 합성되었다: 기계적 교반기, 열전대, 압력 게이지 및 압력 변환기 및 3 개의 계량 밸브가 장착된 0.3 L 오토클레이브 (반응 용기) (도 1) 는 5 중량% 루테늄/탄소 촉매 6 g (0.003 mmol 의 루테늄) 으로 충전되었다. 반응기는 이후 3 시간 동안 125 ℃ 에서 동적 진공 하에 가열되어, 촉매를 활성화하였다. 실온으로 냉각된 이후, 반응기는 1 대기압 ("atm") 압력으로 헬륨에 의해 충전되고, 밀봉되고, 매니폴드로부터 단절되었다. 글러브 박스 내에서, 20.7 g (0.205 mol) 의 디이소프로필아민 및 75 g 의 n-옥타데칸은 반응기에 첨가되었다. 이후, 반응기는 글러브 박스로부터 꺼내졌고, 매니폴드에 재연결되고, 이는 액체 질소 배쓰에서 -130 ℃ 로 냉각되었다. 30 g (0.453 mol) 의 디실란은 매니폴드를 통해 반응기에 수송되었다. 반응기는 이후 150 ℃ 까지 가열되었다. 27 시간 동안 400 rpm 로 교반된 이후, 압력을 약 160 psi 로 증가시켰다. 이후, 반응기는 RT 로 냉각되었다. 휘발물은 스테인레스 스틸 렉처 병 ("SSLB") 에 크리오트랩핑되었다. 반응 용기 압력은 45 Torr 로 하락되었다. 디이소프로피오아미노디실란은 반응 용기로부터 회수되었다. 생성된 용액은 10% (9.9 g) 의 DIPADS 를 함유하였다. 단리되지 않은 수율은 30% 이었다.
용어 "단리되지 않은 수율" 은 슈율이 반응 미정제물을 칭량하고 이의 크로마토그램에 의해 생성물의 양을 추정함으로써 측정됨을 의미한다. 용어 "단리된 수율" 은 생성물이 정제되고, 나타낸 이론적인 칭량된 양의 백분율에 의해 측정되는 백분율 수율로 칭량된다.
실시예 2 를 위한 무-염소 디이소프로필아미노 디실란의 무-용매 합성 방법은 하기 단계를 포함한다:
a) 반응 용기에 촉매를 첨가하는 단계;
b) 반응 용기에 동적 진공을 적용하는 단계;
c) 반응 용기를 가열하여, 촉매를 활성화하는 단계;
d) 촉매가 활성화될 때까지 진공 및 활성화 온도를 유지하는 단계;
e) 반응 용기를 대략 실온으로 냉각시키는 단계;
f) 약 1 대기압으로 불활상 기체에 의해 반응 용기를 충전하는 단계;
g) 반응 용기에 디이소프로필아민을 첨가하는 단계;
h) 약 -78 ℃ 내지 약 -140 ℃ 의 온도로 반응 용기를 냉각시키는 단계;
i) 디실란을 반응 용기에 첨가하여 반응 혼합물을 형성하는 단계;
j) 반응 용기를 약 75 ℃ 내지 약 200 ℃ 의 온도로 가열하는 단계;
k) 가열된 반응 혼합물을 교반하는 단계;
l) 압력이 증가되는 것을 중단할 때까지 반응 용기 내의 압력을 모니터링하는 단계;
m) 반응 용기를 대략 실온으로 냉각하는 단계;
n) 약 140 ℃ 내지 약 -196 ℃ 의 온도로 냉각된 크리오트랩에 휘발물을 포획하는 단계;
o) 압력이 하락되기를 중단할 때까지 반응기 용기 내의 압력을 모니터링하는 단계; 및
p) 반응기 용기로부터 디이소프로피오아미노디실란을 회수하는 단계.
단계 b 내지 e 는 단계 a) 의 촉매가 활성화되는 경우 생략된다.
실시예 2. 시판되는 루테늄/탄소에 의해 촉매 작용된 디실란 및 디이소프로필아민으로부터 가압 반응기 내에서의 무-염소 및 무-아미노염소 DIPADS 의 무-용매 합성. 기계적 교반기, 열전대, 압력 게이지 및 압력 변환기 및 3 개의 계량 밸브가 장착된 0.3 L 오토클레이브는 5 중량% 루테늄/탄소 촉매 6 g (0.003 mmol 의 루테늄) 으로 충전되었다. 반응기는 이후 3 시간 동안 125 ℃ 에서 동적 진공 하에 가열되었다. 실온으로 냉각된 이후, 반응기는 1 atm 의 헬륨으로 충전되고, 밀봉되고, 매니폴드로부터 단절되었다. 글러브 박스 내에서, 20.7 (0.205 mol) 의 디이소프로필아민이 첨가되었다. 이후, 반응기는 글러브 박스로부터 꺼내졌고, 매니폴드에 재연결되고, 이는 액체 질소 배쓰에서 -130 ℃ 로 냉각되었다. 30 g 의 디실란 (0.453 mol) 은 매니폴드를 통해 반응기에 수송되었다. 반응기는 이후 150 ℃ 까지 가열되었다. 24 시간 동안 400 rpm 에서 교반된 이후, 압력을 약 100 psi 로 증가시켰다. 이후, 반응기는 RT 로 냉각되었다. 휘발물은 SSLB 에서 크리오트랩핑되었다. 반응 용기 압력은 45 Torr 로 하락되었다. 반응기 용기 중 생성된 용액은 65% (17 g) 의 DIPADS 를 함유하였다. 디이소프로피오아미노디실란은 반응기 용기로부터 회수되었다. 비-단리된 수율은 52% 이었다.
생성물에 의한 할로겐 염의 형성 없이 규소-헤테로원자 결합을 갖는 화합물의 합성을 위한 하기 방법이 개발되었다. 반응물질 예컨대 실란 및 포스핀은 촉매의 존재 하에 조합되고 가열되어 무-할로겐 트리실릴포스핀을 생성한다. 일반적 반응이 하기 식에 주어져 있다:
PH3 + 3SiH4 = P(SiH3)3 + 3H2
반응은 용매 중에 또는 용매 없이 수행될 수 있다.
실시예 3 트리실릴포스핀의 합성: PH3 + 3SiH4 = P(SiH3)3 + 3H2
실시예 3 을 위한 트리실릴포스핀의 합성 방법은 하기 단계를 포함한다:
a) 촉매를 반응 용기에 첨가하는 단계;
b) 반응 용기에 동적 진공을 적용하는 단계;
c) 반응 용기를 가열하여 촉매를 활성화하는 단계;
d) 촉매가 활성화될 때까지 진공 및 활성화 온도를 유지하는 단계;
e) 반응 용기를 대략 실온로 냉각시키는 단계;
f) 대략 1 대기압의 압력으로 불활성 기체에 의해 반응 용기를 충전하는 단계;
g) 약 -78 ℃ 내지 약 -140 ℃ 의 온도로 반응 용기를 냉각하는 단계;
h) 반응 용기에 포스핀을 첨가하는 단계;
i) 반응기에 실란을 첨가하는 단계;
j) 약 75 ℃ 내지 약 200 ℃ 의 온도로 반응기를 가열하는 단계;
k) 가열된 반응 혼합물을 교반하는 단계;
l) 압력이 증가하는 것을 중단할 때까지 반응기 용기 내의 압력을 모니터링하는 단계;
m) 반응기를 대략 실온으로 냉각시키는 단계;
n) 약 -140 ℃ 내지 약 -196 ℃ 의 온도로 냉각된 크리오트랩에 휘발물을 포획하는 단계;
o) 압력이 하락하는 것을 중단할 때까지 반응기 용기 내의 압력을 모니터링하는 단계; 및
p) 반응기 용기로부터 트리실릴포스핀을 회수하는 단계.
단계 b 내지 e 는 단계 a) 의 촉매가 활성화되는 경우에 생략된다.
트리실릴포스핀의 회수는 반응기 용기로부터 직접 증류에 의해 수행된다. 촉매는 후속 배치에 재순환될 수 있다.
실시예 3. 시판되는 루테늄/탄소에 의해 촉매 작용되는 실란 및 포스핀으로부터 가압 반응기에서 무-염소 트리실릴포스핀을 합성하는 방법은 하기를 포함할 것이다. 기계적 교반기, 열전대, 압력 게이지 및 압력 변환기 및 3 개의 계량 밸브가 장착된 0.3 L 오토클레이브는 5 중량% 루테늄/탄소 촉매 10 g (0.005 mol 의 루테늄) 으로 충전된다. 반응기는 이후 3 시간 동안 125 ℃ 에서 동적 진공 하에 가열된다. 실온으로 냉각된 이후, 반응기는 1 atm 의 헬륨으로 충전되고, 이후 액체 질소 배쓰에서 -130 ℃ 로 냉각된다. 15 g (0.44 mol) 의 포스핀 및 50 g (1.6 mol) 의 실란은 매니폴드를 통해 반응기에 수송된다. 반응기는 이후 150 ℃ 까지 가열된다. 23 시간 동안 400 rpm 으로 교반된 이후, 반응기는 RT 로 냉각된다. 휘발물은 SSLB 에서 크리오트랩핑된다. 반응 용기 압력은 약 45 Torr 로 하락할 것이다. 트리실릴포스핀은 반응기 용기로부터 회수된다.
반응의 출발시에 포스핀 대 실란의 몰비는 약 1:3 내지 약 1:9 범위 이내이다.
실시예 4 를 위한 무-할로겐 트리스-디실릴아민, (Si2H5)3N 의 합성 방법은 하기 단계를 포함한다:
a) 촉매를 반응 용기에 첨가하는 단계;
b) 반응 용기에 동적 진공을 적용하는 단계;
c) 반응 용기를 가열하여 촉매를 활성화하는 단계;
d) 촉매가 활성화될 때까지 진공 및 활성화 온도를 유지하는 단계;
e) 반응 용기를 대략 실온으로 냉각하는 단계;
f) 약 1 대기압의 압력까지 불활성 기체에 의해 반응 용기를 충전하는 단계;
g) 약 -78 ℃ 내지 약 -140 ℃ 의 온도로 반응 용기를 냉각하는 단계;
h) 암모니아를 반응 용기에 첨가하는 단계;
i) 디실란을 반응기에 첨가하는 단계;
j) 약 75 ℃ 내지 약 200 ℃ 의 온도로 반응기를 가열하는 단계;
k) 가열된 반응 혼합물을 교반하는 단계;
l) 압력이 증가하는 것을 멈출 때까지 반응기 용기 내의 압력을 모니터링하는 단계;
m) 대략 실온으로 반응기를 냉각하는 단계;
n) 약 -140 ℃ 내지 약 -196 ℃ 의 온도로 냉각된 크리오트랩에 휘발물을 포획하는 단계;
o) 압력이 하락되는 것을 중단할 때까지 반응기 용기 내의 압력을 모니터링하는 단계; 및
p) 반응기 용기로부터 트리스-디실릴아민을 회수하는 단계.
단계 b 내지 e 는 단계 a 의 촉매가 활성화되는 경우 생략된다.
실시예 4. 무-할로겐 트리스-디실릴아민의 합성, 3Si2H6 + NH3 = (Si2H5)3N + 3H2:
시판되는 루테늄/탄소에 의해 촉매 작용된 디실란 및 암모니아로부터의 가압 반응기에서 무-할로겐 트리스-디실릴아민 (Si2H5)3N 의 합성 방법은 하기를 포함할 것이다. 기계적 교반기, 열전대, 압력 게이지 및 압력 변환기 및 3 개의 계량 밸브가 장착된 0.3 L 오토클레이브는 5 중량% 루테늄/탄소 촉매 17 g (0.0085 mol 의 루테늄) 으로 충전된다. 반응기는 이후 3 시간 동안 125 ℃ 에서 동적 진공 하에 가열된다. 실온으로 냉각된 이후, 반응기는 1 atm. 의 헬륨으로 충전된 후 액체 질소 배쓰에서 -130 ℃ 로 냉각된다. 10 g (0.588 mol) 의 암모니아 및 150 g (2.41 mol) 의 디실란은 매니폴드를 통해 반응기에 수송된다. 반응기는 이후 150 ℃ 까지 가열된다. 23 시간 동안 400 rpm 으로 교반된 이후, 반응기는 RT 로 냉각된다. 휘발물은 SSLB 에서 크리오트랩핑된다. 반응 용기 압력은 약 45 Torr 로 하락될 것이다. 트리스-디실릴아민은 반응기 용기로부터 회수된다.
반응의 시작시에 아민 대 디실란의 몰비는 약 1:3 내지 약 1:5 범위 이내이다.
실시예 5 를 위한 무-할로겐 디이소프로필아미노트리실란 (DIPATS) 의 무-용매 합성 방법은 하기 단계를 포함한다:
a) 촉매를 반응 용기에 첨가하는 단계;
b) 반응 용기에 동적 진공을 적용하는 단계;
c) 반응 용기를 가열하여 촉매를 활성화하는 단계;
d) 촉매가 활성화될 때까지 진공 및 활성화 온도를 유지하는 단계;
e) 반응 용기를 대략 실온으로 냉각하는 단계;
f) 대략 1 대기압의 압력으로 불활성 기체에 의해 반응 용기를 충전하는 단계;
g) 디이소프로필아민을 반응 용기에 첨가하는 단계;
h) 약 -78 ℃ 내지 약 -140 ℃ 의 온도로 반응 용기를 냉각하는 단계;
i) 반응기에 트리실란을 첨가하는 단계;
j) 약 75 ℃ 내지 약 200 ℃ 의 온도로 반응기를 가열하는 단계;
k) 가열된 반응 혼합물을 교반하는 단계;
l) 압력이 증가되기를 중단할 때까지 반응기 용기 내의 압력을 모니터링하는 단계;
m) 반응기를 대략 실온으로 냉각하는 단계;
n) 약 -140 ℃ 내지 약 -196 ℃ 의 온도로 냉각된 크리오트랩에 휘발물을 포획하는 단계;
o) 압력이 하락되는 것을 중단할 때까지 반응기 용기 내의 압력을 모니터링하는 단계; 및
p) 반응기 용기로부터 디이소프로피오아미노트리실란을 회수하는 단계.
단계 b 내지 e 는 단계 a) 의 촉매가 활성화되는 경우 생략된다.
실시예 5. Si3H8 + (iPr)2NH = (iPr)2NSi3H7 + H2 의 합성
시판되는 루테늄/탄소 에 의해 촉매 작용된 트리실란 및 디이소프로필아민으로부터 가압 반응기에서 무-할로겐 디이소프로필아미노트리실란 (DIPATS) 을 합성하는 방법은 하기를 포함한다. 기계적 교반기, 열전대, 압력 게이지 및 압력 변환기 및 3 개의 계량 밸브가 장착된 0.3 L 오토클레이브는 5 중량% 루테늄/탄소 촉매 6 g (0.003 mmol 의 루테늄) 으로 충전되었다. 반응기는 이후 3 시간 동안 125 ℃ 에서 동적 진공 하에 가열되었다. 실온으로 냉각된 이후, 반응기는 1 atm. 의 헬륨으로 충전되고, 밀봉되고 매니폴드로부터 단절되고, 글러브 박스에 설치되었다. 글러브 박스 내에서, 20.7 (0.205 mol) 의 디이소프로필아민이 첨가되었다. 이후, 반응기는 글러브 박스로부터 꺼내지고 매니폴드에 재연결되고 액체 질소 배쓰에서 -130 ℃ 로 냉각되었다. 40 g 의 트리실란 (0.433 mol) 은 매니폴드를 통해 반응기에 수송되었다. 반응기는 이후 100 ℃ 까지 가열되었다. 23 시간 동안 400 rpm 에서 교반된 이후, 반응기는 RT (실온) 으로 냉각되었다. 휘발물은 SSLB (스테인레스 스틸 렉처 병) 에서 크리오트랩핑되었다. 반응 용기 압력은 20 Torr 로 하락되었다. 디이소프로필아미노트리실란은 반응기 용기로부터 회수되었다. 반응 용액은 11.49 g 의 DIPATS 를 함유하였다. 비-단리된 수율은 29% 이었다.
일치환 및 이치환 헤테로시클릭 아미노트리실란은 본원에 기재된 방법에 의해 제조될 수 있다. 식 2 는 일치환 헤테로시클릭 아미노실란을 나타내고, 식 3 은 이치환 헤테로시클릭 아미노실란을 나타낸다.
2. Si3H8 + RANH = RANSi3H7 + H2
3. Si3H8 + 2RANH = (RAN)2Si3H6 + 2H2
식 중에서, RA 는 시클릭 2차 아민 예컨대 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸 및 인돌이다.
상기 식 2 및 3 은 각각 일치환 및 이치환 헤테로시클릭 트리실란을 형성하기 위한 반응을 기재한다. 일치환 화합물은 표 1 에 나타나 있다. 이치환 트리실란은 표 1 의 이치환 예에서와 같이 제 3 Si 원자에 결합된 제 2 아미노헤테로시클릭 기를 가질 것이다.
이치환 아미노트리실란은 식 4 에 나타낸 바와 같이 형성된다.
4. R1Si3H7 + 2R2R3NH = R2R3NSi3H6N R2R3 + 2H2
식 중에서 R1 = H 이고, R2 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고, R3 은 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이다. 아미노트리실란의 비제한적인 예는 아래 표 1 에 나타나 있다.
표 1 아미노트리실란
Figure 112016040744156-pct00035
실시예 6 을 위한 무-염소 디이소프로필아미노실란의 무-용매 합성 방법은 하기 단계를 포함한다:
a) 반응 용기에 촉매를 첨가하는 단계;
b) 반응 용기에 동적 진공을 적용하는 단계;
c) 반응 용기를 가열하여 촉매를 활성화하는 단계;
d) 촉매가 활성화될 때까지 진공 및 활성화 온도를 유지하는 단계;
e) 반응 용기를 대략 실온으로 냉각하는 단계;
f) 약 1 대기압의 압력으로 불활성 기체에 의해 반응 용기를 충전하는 단계;
g) 디이소프로필아민을 반응 용기에 첨가하는 단계;
h) 약 -78 ℃ 내지 약 -140 ℃ 의 온도로 반응 용기를 냉각하는 단계;
i) 트리실란을 반응기에 첨가하는 단계;
j) 약 75 ℃ 내지 약 200 ℃ 의 온도로 반응기를 가열하는 단계;
k) 가열된 반응 혼합물을 교반하는 단계;
l) 압력이 증가되기를 중단할 때까지 반응기 용기 내의 압력을 모니터링하는 단계;
m) 반응기를 대략 실온으로 냉각하는 단계;
n) 약 -140 ℃ 내지 약 -196 ℃ 의 온도로 냉각된 크리오트랩에 휘발물을 포획하는 단계;
o) 압력이 하락하는 것이 중단될 때까지 반응기 용기 내의 압력을 모니터링하는 단계; 및
p) 반응기 용기로부터 디이소프로필아미노실란을 회수하는 단계.
단계 b 내지 e 는 단계 a) 의 촉매가 활성화되거나 활성화를 필요로 하지 않는 경우 생략된다.
실시예 6. 디이소프로필아미노실란의 합성 (iPr)2NSiH3
(iPr)2NH + SiH4 = (iPr)2NSiH3 + H2
시판되는 루테늄/탄소에 의해 촉매 작용된 실란 및 디이소프로필아민으로부터 가압 반응기 중에 무-염소 디이소프로필아미노실란 (DIPAS) 을 합성하는 방법은 하기를 포함할 것이다. 기계적 교반기, 열전대, 압력 게이지 및 압력 변환기 및 3 개의 계량 밸브가 장착된 0.3 L 오토클레이브는 5 중량% 루테늄/탄소 촉매 6 g (0.003 mmol 의 루테늄) 으로 충전된다. 반응기는 이후 3 시간 동안 125 ℃ 에서 동적 진공 하에 가열된다. 실온으로 냉각된 이후, 반응기는 1 atm. 의 헬륨으로 충전되고, 밀봉되고, 매니폴드로부터 단절되고, 글러브 박스에 설치된다. 글러브 박스 내에서, 20.7 (0.205 mol) 의 디이소프로필아민이 첨가된다. 이후, 반응기는 글러브 박스로부터 꺼내지고, 매니폴드에 재연결되고, 이는 액체 질소 배쓰에서 -130 ℃ 로 냉각된다. 20 g 의 트리실란 (0.625 mol) 은 매니폴드를 통해 반응기에 수송된다. 반응기는 이후 150 ℃ 까지 가열된다. 23 시간 동안 400 rpm 에서 교반된 이후, 반응기는 RT 로 냉각된다. 휘발물은 SSLB 에 크리오트랩핑된다. 반응 용기 압력은 약 45 Torr 로 하락할 것이다. 디이소프로피오아미노실란은 반응기 용기로부터 회수된다.
하기 단계를 포함하는, 비스(디이소프로필아미노)디실란의 합성 방법:
a) 활성화 촉매, 디이소프로필아민 및 디실란을 반응 용기에 첨가하는 단계;
b) 임의로 용매를 반응 용기에 첨가하는 단계;
c) 반응 용기를 약 25 ℃ 내지 약 300 ℃ 의 온도로 가열하는 단계;
d) 반응이 진행되게 하는 단계;
e) 비스(디이소프로필아미노)디실란으로부터 미반응 물질, 부산물 및 수소를 분리하는 단계; 및
f) 비스(디이소프로필아미노)디실란을 회수하는 단계.
(R2R3N)m SiR4 2-m-SiR5 2-m(NR2R3) (식 중, R2 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬이고, R3= H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬이고, R4 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬이고, R5 = H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬이고, m = 0, 1 또는 2 임) 의 합성 방법은 하기 단계를 포함한다:
a) 활성화 촉매, R2R3NH 및 HSiR4 2-m-SiR5 2-mH 를 반응 용기에 첨가하는 단계;
b) 임의로 용매를 반응 용기에 첨가하는 단계;
c) 반응 용기를 약 25 ℃ 내지 약 300 ℃ 의 온도로 가열하는 단계;
d) 반응이 진행되게 하는 단계;
e) 생성물로부터 미반응 물질, 부산물 및 수소를 분리하는 단계; 및
f) 생성물을 회수하는 단계.
생성물에 의한 할로겐 염의 형성 없이 게르마늄-헤테로원자 결합을 갖는 화합물의 합성 방법이 개발되었다. 반응 물질 예컨대 게르만 및 포스핀은 활성화 촉매의 존재 하에 조합되고, 가열하여 무-할로겐 트리게르난포스핀 (trigernanephosphine) 을 생성한다. 일반적인 반응은 하기 식에 주어져있다:
PH3 + 3GeH4 = P(GeH3)3 + 3H2
반응은 용매 중에서 또는 용매 없이 수행될 수 있다.
트리게르만포스핀 P(GeH3)3 의 합성은 하기에 의해 나타난다.
실시예 8 트리실릴포스핀의 합성
PH3 + 3GeH4 = P(GeH3)3 + 3H2
하기 단계를 포함하는 트리게르만포스핀의 합성 방법:
a) 활성화 촉매, 포스핀 및 게르만을 반응 용기에 첨가하는 단계;
b) 임의로 용매를 반응 용기에 첨가하는 단계;
c) 반응 용기를 약 25 ℃ 내지 약 300 ℃ 의 온도로 유지하는 단계;
d) 반응이 진행되게 하는 단계;
e) 트리게르만포스핀으로부터 미반응 물질, 부산물 및 수소를 분리하는 단계; 및
f) 트리게르만포스핀을 회수하는 단계.
하기 단계를 포함하는, 디이소프로피오아미노게르만의 합성 방법:
a) 활성화 촉매, 이소프로필아민 및 게르만을 반응 용기에 첨가하는 단계;
b) 임의로 용매를 반응 용기에 첨가하는 단계;
c) 반응 용기를 약 25 ℃ 내지 약 300 ℃ 의 온도로 유지하는 단계;
d) 반응이 진행되게 하는 단계;
e) 디이소프로피오아미노게르만으로부터 미반응 물질, 부산물 및 수소를 분리하는 단계; 및
f) 디이소프로피오아미노게르만을 회수하는 단계.
실릴아미디네이트
하기 단계를 포함하는, 하기 화학식을 갖는 화합물을 제조하는 방법:
Figure 112016040744156-pct00036
[식 중, n = 1 내지 5 이고; R1, R2 및 R3 은 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택됨]
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1HN(CR3)=NR2 (아미딘) 및 SiH3SinH2n+1 을 접촉시키는 단계; 여기서 SiH3SinH2n+1 내지 R1HN(CR3)=NR2 의 몰비는 적어도 1:1 임;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 [(R1N(CR3)=NR2)(SiH2SinH2n+1)] 을 형성하는 단계;
e) 반응 혼합물로부터 생성물 [(R1N(CR3)=NR2)(SiH2SinH2n+1)] 을 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고, 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
실시예 7 시판되는 루테늄/탄소에 의해 촉매 작용되는 디실란 및 N,N'-비스(이소프로필)에탄이미드아미드로부터 가압 반응기에서 무-염소 및 무-아미노염소 N,N'-비스(이소프로필)에탄이미드아미데이토디실란의 무-용매 합성.
기계적 교반기, 열전대, 압력 게이지 및 압력 변환기 및 3 개의 계량 밸브가 장착된 0.3 L 오토클레이브는 6 g (0.003 mmol 의 루테늄) 의 5 중량% 루테늄/탄소 촉매로 충전된다. 반응기는 이후 3 시간 동안 125 ℃ 에서 동적 진공 하에 가열된다. 실온으로 냉각된 이후, 반응기는 1 atm. 의 헬륨으로 충전되고, 밀봉되고, 매니폴드로부터 단절된다. 글러브 박스 내에서, 29.1 g (0.205 mol) 의 N,N'-비스(이소프로필)에탄이미드아미드가 첨가된다. 이후, 반응기는 글러브 박스로부터 꺼내지고, 매니폴드에 재연결되고, 이는 액체 질소 배쓰에서 -130 ℃ 로 냉각된다. 30 g 의 디실란 (0.453 mol) 은 매니폴드를 통해 반응기에 수송된다. 반응기는 이후 100-150 ℃ 까지 가열된다. 반응 혼합물은 약 2-24 시간 동안 약 400 rpm 에서 교반되고, 압력은 약 100 psi 로 증가시킨다. 이후, 반응기는 RT 로 냉각된다. 휘발물은 SSLB 에서 크리오트랩핑된다. 반응 용기 압력은 약 45 Torr 로 하락한다. N,N'-비스(이소프로필)에탄이미드아미데이토실란은 반응기 용기로부터 회수된다.
하기 화학식을 갖는 화합물의 제조 방법:
Figure 112016040744156-pct00037
[식 중, n = 0 내지 4 이고; R1, R2, R3, R4, R5, R6 은 독립적으로 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택됨]
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1HN(CR3)=NR2 (아미딘) 및 SiH3SinH2nSiH3 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 [(R1N(CR3)=NR2)(SiH2SinH2nSiH3)] 을 형성하는 단계;
e) 반응 혼합물에 R4HN(CR6)=NR5 을 첨가하는 단계;
f) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
g) 반응을 진행시켜 [(R1N(CR3)=NR2)(R4N(CR6)=NR5)(SiH2SinH2nSiH2)] 을 형성하는 단계;
h) 반응 혼합물로부터 생성물 [(R1N(CR3)=NR2)(R4N(CR6)=NR5)(SiH2SinH2nSiH2)] 을 분리하는 단계;
여기서 반응 온도는 합성 동안 변화할 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
R1HN(CR3)=NR2 은 R4HN(CR6)=NR5 와 동일하고, 생성물은 [(R1N(CR3)=NR2)2(SiH2SinH2nSiH2)] 일 것이다.
아미딘의 부가 정도는 기 R1, R2, R3, R4, R5 및 R6 의 성질에 따라 변화할 수 있다. 제 2 아미딘의 부가는 별도로 수행될 수 있으므로, 실릴아미디네이트 [(R1N(CR3)=NR2)(SiH2SinH2nSiH3)] 는 단리 및/또는 정제되고, 이후 전이 금속 촉매의 존재 하에 R4HN(CR6)=NR5 와 접촉되어 [(R1N(CR3)=NR2)(R4N(CR6)=NR5)(SiH2SinH2nSiH2)] 를 형성할 수 있다.
하기 단계를 포함하는, 하기 화학식을 갖는 2 개의 상이한 아민을 갖는 아미노실란의 합성을 위한 순차적 아민 부가:
Figure 112016040744156-pct00038
a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1R2NH 및 EkH(2(k+1) 을 접촉시키는 단계;
b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
c) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
d) 반응을 진행시켜 (R1R2N)n1EkH(2(k+1)-n1) 를 형성하는 단계;
e) 반응 혼합물에 R3R4NH 을 첨가하는 단계;
f) 약 0 ℃ 내지 약 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
g) 반응을 진행시켜 (R1R2N)n1 (R3R4N)n2 EkH(2(k+1)-n1-n2) 을 형성하는 단계;
h) 반응 혼합물로부터 (R1R2N)n1 (R3R4N)n2 EkH(2(k+1)-n1-n2) 을 분리하는 단계;
여기서 반응 혼합물 온도는 합성 동안 변화될 수 있고 반응 혼합물의 온도가 약 0 ℃ 미만으로 하락하도록 허용되지 않고 약 300 ℃ 를 초과하지 않도록 유지됨.
아민의 부가 정도는 기 R1, R2, R3 및 R4 의 성질에 따라 변화할 수 있다. 제 2 아민의 부가는 별도로 수행될 수 있으므로, 아미노실란 (R1R2N)n1EkH(2(k+1)-n1) 은 단리 및/또는 정제되고, 이후 전이 금속 촉매의 존재 하에 R3R4NH 와 접촉되어 (R1R2N)n1(R3R4N)n2EkH(2(k+1)-n1-n2) 을 형성할 수 있다.
실시예 9. 디이소프로필아미노디에틸아미노디실란 합성:
Figure 112016040744156-pct00039
디이소프로필아미노디에틸아미노디실란은 시판되는 루테늄/탄소에 의해 촉매 작용된 디실란, 디이소프로필아민 및 디에틸아민 사이의 반응에 의해 가압 반응기 용기에서 합성된다: 도 1 에 예시된 바와 같은 기계적 교반기, 열전대, 압력 게이지 및 압력 변환기 및 3 개의 계량 밸브가 장착된 0.3 L 오토클레이브 (반응 용기) 는 6 g (0.003 mmol 의 루테늄) 의 5 중량% 루테늄/탄소 촉매에 의해 충전된다. 반응기는 이후 3 시간 동안 125 ℃ 에서 동적 진공 하에 가열되어 촉매를 활성화한다. 실온으로 냉각된 이후, 반응기는 1 대기압 ("atm") 압력으로 헬륨으로 충전되고, 밀봉되고, 매니폴드로부터 단절된다. 글러브 박스 내에서, 20.7 g (0.205 mol) 의 디이소프로필아민은 반응기에 첨가된다. 반응기는 글러브 박스에서 꺼내지고, 매니폴드에 재연결되고, 이는 액체 질소 배쓰에서 -130 ℃ 로 냉각된다. 30 g (0.453 mol) 의 디실란은 매니폴드를 통해 반응기에 수송된다. 반응기는 약 150 ℃ 로 가열된다. 반응기 내용물은 약 5 시간 동안 약 400 rpm 으로 교반되고, 반응기 내 압력은 약 160 psi 로 증가시킨다. 반응기는 RT 로 냉각된다. 휘발물은 스테인레스 스틸 렉처 병 ("SSLB") 에서 크리오트랩핑된다. 반응 용기 압력은 약 45 Torr 로 하락한다. 반응기는 글러브 박스에 다시 넣어지고 개방된다. 15.0 g (0.205 mol) 의 디에틸아민은 혼합물에 첨가된다. 반응기는 이후 약 30 ℃ 로부터 약 150 ℃ 로 변화하는 온도로 가열된다. 반응기는 약 2-24 시간 동안 약 400 rpm 에서 교반되고, 압력은 약 160 psi 로 증가한다. 휘발물은 스테인레스 스틸 렉처 병 ("SSLB") 에서 크리오트랩핑된다. 반응 용기 압력은 약 25-45 Torr 로 하락한다. 디이소프로필아미노디에틸아미노-디실란은 반응 용기로부터 회수된다.
아민 부가 정도는 역전될 수 있다.
본 발명의 아미노실란은 증착 방법을 위한 전구체로서 사용된다. 본원에 개시된 것은 증착 방법을 위한 개시된 전구체를 사용하는 방법이다. 개시된 방법은 규소-함유 필름의 침착을 위한 전구체의 용도를 제공한다. 개시된 방법은 반도체, 광발전, LCD-TFT 또는 평판형 소자의 제조에서 유용할 수 있다. 방법은 하기를 포함한다: 개시된 전구체의 증기를 그 안에 배치된 하나 이상의 기판을 갖는 반응기에 도입하는 단계; 및 기판에 개시된 전구체 중 일부 이상을 침착시키는 증착 공정을 사용하여 Si-함유 층을 형성하는 단계.
개시된 방법은 또한 증착 방법을 사용하여 기판에 바이메탈 함유 층을 형성하는 것 및 더욱 특히 SiMNx 및 SiMOx 필름 (식 중, x 는 0-4 임) 및 SiMOxNy 필름 (식 중, x+y 는 0 내지 4 이고, M 은 Ta, Hf, Zr, Ti, Ni, Mn, Ge, B, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족 (예컨대 Er), 또는 이의 조합의 군으로부터의 금속임) 의 침착을 제공한다. 식 SiMOx, SiMOx 또는 SiMOxNy 용어는 Si 의 다양한 상대적 농도를 포함하고 Si/(Si + M) 의 범위에서 M 은 약 5% 내지 약 95% 이다.
개시된 기판 상의 규소-함유 층의 형성 방법은 반도체, 광발전, LCD-TFT 또는 평판 유형 소자의 제조에서 유용할 수 있다. 개시된 전구체는 업계에 공지된 임의의 증착 방법을 사용하여 Si-함유 필름을 침착시킬 수 있다. 적합한 증착 방법의 예는 화학적 증착 (CVD) 또는 원자 층 침착 (ALD) 을 포함한다. 예시적 CVD 방법은 열적 CVD, 플라즈마 강화 CVD (PECVD), 펄스 CVD (PCVD), 저압 CVD (LPCVD), 부기압 CVD (SACVD) 또는 대기압 CVD (APCVD), 가열-와이어 CVD (HWCVD, 또한 cat-CVD 로 공지됨) (여기서 가열 와이어는 침착 공정을 위한 에너지원으로서 역할함), 원격 플라즈마 CVD (RP-CVD) UV 보조 CVD, 흐름성 CVD (FCVD)), 라디칼 혼입 CVD 및 이의 조합을 포함한다. 예시적 ALD 방법은 열적 ALD, 플라즈마 강화 ALD (PEALD), 공간 단리 ALD, 뜨거운-와이어 ALD (HWALD), 라디칼 혼입 ALD, UV 보조 ALD 및 이의 조합을 포함한다. 초임계 유체 침착이 또한 사용될 수 있다. 개시된 방법은 또한 미국 특허 출원 공개 번호 2014/0051264 (Applied Materials, Inc.) 에 기재된 흐름성 PECVD 침착 공정에서 사용될 수 있고, 이의 내용은 본원에서 그 전체가 인용된다. 침착 방법은 바람직하게는 ALD, 공간 ALD, PE-ALD 또는 흐름성 CVD (F-CVD) 이다.
전구체의 증기는 하나 이상의 기판을 함유하는 반응 챔버에 도입된다. 반응 챔버 내의 온도 및 압력 및 기판의 온도는 기판에 전구체 중 일부 이상을 증착하는데 적합한 조건에서 이루어진다. 다른 말로, 챔버에의 증기화 전구체의 도입 이후 챔버 내의 조건은 증기화 전구체의 일부 이상이 기판에 침착되어 규소-함유 필름을 형성하는 정도이다. 공동-반응물질은 또한 Si-함유 층의 형성을 돕는데 사용될 수 있다. 공동-반응물질은 전구체로부터 순차적으로 별도로 또는 동시에 도입될 수 있고, O2 , O3 , O 라디칼 및 이온, NO, N2O, H2O, H2O2, CO2, CO, 카르복실산, 포르말린, 알코올, 디올, NH3, 히드라진 (치환 또는 비치환, 예컨대 IDMH, 터부틸히드라진, 아민 (예컨대 DMA, TMA, DEA, TEA, TB, NH2), 디아민, N 라디칼 및 이온, H2 및 이의 혼합물로부터 선택된다.
반응 챔버는 침착 방법이 이루어지는 장치의 임의의 동봉물 또는 챔버, 예컨대 제한 없이 평행판 유형 반응기, 냉각-벽 유형 반응기, 뜨거운-벽 유형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기 또는 다른 상기 유형의 침착 시스템 예컨대 공간 ALD 챔버, 롤 투 롤 ALD 챔버 (roll to roll ALD chamber) 일 수 있다. 이러한 예시적 반응 챔버 모두는 ALD 반응 챔버로서 역할할 수 있다. 반응 챔버는 약 1 mTorr 내지 약 760 Torr 범위의 압력에서 유지될 수 있다. 또한, 반응 챔버 내의 온도는 약 20 ℃ 내지 약 600 ℃ 범위일 수 있다. 당업자는 온도가 원하는 결과를 달성하기 위한 단순한 실험을 통해 최적화될 수 있음을 인식할 것이다.
반응기의 온도는 기판 홀더의 온도를 제어하거나, 반응기 벽의 온도를 제어하거나, 기판 자체의 온도를 제어함으로써 조절될 수 있다. 기판을 가열하는데 사용된 장치는 업계에 공지되어 있다. 반응기 벽은 충분한 온도로 가열되어 충분한 성장 속도로 및 원하는 물리적 상태 및 조성으로 원하는 필름을 얻기에 충분한 온도로 가열된다. 반응기 벽이 가열될 수 있는 비제한적 예시적 온도는 약 20 ℃ 내지 약 600 ℃ 를 포함한다. 플라즈마 침착 방법이 이용되는 경우, 침착 온도는 약 20 ℃ 내지 약 550 ℃ 범위일 수 있다. 대안적으로, 열적 공정이 수행되는 경우, 침착 온도는 약 200 ℃ 내지 약 600 ℃ 범위일 수 있다.
대안적으로, 기판은 충분한 성장 속도 및 원하는 물리적 상태 및 조성으로 원하는 규소-함유 필름을 수득하기에 충분한 온도로 가열될 수 있다. 기판이 가열될 수 있는 비제한적 예시적 온도 범위는 150 ℃ 내지 600 ℃ 를 포함한다. 바람직하게는, 기판의 온도는 500 ℃ 이하로 유지된다.
규소-함유 필름이 침착될 기판의 유형은 의도된 최종 용도에 따라 변화할 것이다. 기판은 일반적으로 공정이 수행되는 물질로서 정의된다. 기판은 제조되는 반도체, 광발전, 평판 또는 LCD-TFT 장치에서 사용된 임의의 적합한 기판일 수 있다. 적합한 기판의 예는 웨이퍼, 예컨대 규소, 실리카, 유리, Ge 또는 GaAs 웨이퍼를 포함한다. 웨이퍼는 이전 제조 단계로부터 그 위에 침착되는 물질을 변화시킨 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소층 (결정질, 비정질, 다공성 등), 규소 산화물 층, 규소 니트라이드 층, 규소 옥시 니트라이드 층, 탄소 도핑된 규소 산화물 (SiCOH) 층, 다공성 탄소 도핑 규소 산화물 층, 규소 카르보-나트라이드, 수소화 규소 카르바이드 또는 이의 조합물을 포함할 수 있다. 또한, 웨이퍼는 구리 층, 텅스텐 층 또는 금속 층 (예를 들어 백금, 팔라듐, 니켈, 로듐, 금, 코발트, 게르마늄, 안티몬, 텔루륨, 주석, 루테늄 및 이의 합금) 을 포함할 수 있다. 웨이퍼는 장벽 층, 예컨대 망간, 망간 산화물, Ta, W, Ti, V, Zr, Hg, Nb, Mo, Mn 및 Ru 의 니트라이드를 포함할 수 있다. 니트라이드는 C-도핑된 니트라이드일 수 있다. 플라스틱 층, 예컨대 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌술포네이트) [PEDOT:PSS] 가 또한 사용될 수 있다. 필름은 유기 필름, 예컨대 포토레지스트 층, 비정질 탄소 층 또는 폴리이미드 필름 위에 침착될 수 있다. 층은 평면 또는 팬턴화될 수 있다. 일부 구현예에서, 기판은 MIM, DRAM, RERAM, 상 변화 RAM 또는 FeRam 기술 (예를 들어 Zr, Hg, Ti, Nb, Mo, Al, Ta, 란탄족, 희토류 및 이의 혼합된 삼원 또는 이원산화물) 에서 유전체 물질로서 사용되는 산화물의 층 또는 구리와 저-k 층 사이의 접착 장벽으로서 사용되는 니트라이드-기반 필름 (예를 들어 TaN) 을 포함할 수 있다. 개시된 공정은 웨이퍼의 상부에 층 중 하나 이상 (패턴화된 층이 기판을 형성하는 경우) 상에 직접 또는 웨이퍼 상에 직접 규소-함유 층을 침착시킬 수 있다. 또한, 당업자는 본원에서 사용된 용어 "필름" 또는 "층" 이 표면 위에 칠해지거나 그 위에 펼쳐진 소정 두께의 일부 물질을 나타내고 표면이 3D 패턴 또는 마이크로구조 예컨대 정공 및 트렌치 또는 선을 가질 수 있음을 인식할 것이다. 침착은 기판 위의 특정 영역에 대해 선택적이거나 특정 노출 물질에 선택적일 수 있다. 예를 들어, 성장은 자체 정렬된 단층 ("SAM") 으로 피복된 기판의 특정 부분에서 저해될 수 있다. 본 명세서 및 청구항 전반에서, 웨이퍼 및 이에 대한 임의의 관련된 층은 기판으로 나타내어진다.
개시된 전구체는 적합한 용매, 예컨대 톨루엔, 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄, 3차 아민, 테트라히드로푸란, 에틸메틸케톤, 데칼린 또는 기타와의 배합으로 또는 순수한 형태로 공급될 수 있다. 개시된 전구체는 용매 중에 변화되는 농도로 존재할 수 있다. 예를 들어 생성된 농도는 약 0.05 M 내지 약 2 M 범위일 수 있다.
순수 또는 배합 전구체는 통상적인 수단, 예컨대 배관 및/또는 유량계에 의해 증기 형태로 반응기에 도입된다. 증기 형태의 전구체는 통상적인 증기화 단계 예컨대 버블링, 증기 취출을 통해 순수 또는 배합 전구체 용액을 증기화함으로써 또는 PCT 공개 WO2009/087609 (Xu 등) 에 개시된 것과 같은 승화기를 사용함으로써 제조될 수 있다. 순수 또는 배합 전구체는 이것이 반응기에 도입되기 전에 증기화되는 증기화기에 액체 상태로 공급될 수 있다 (직접 액체 주입). 존재하는 경우, 캐리어 기체는 제한 없이 Ar, He, N2 또는 H2 및 이의 혼합물을 포함할 수 있다. 캐리어 기체 및 전구체는 이후 반응기에 증기로서 도입된다.
필요한 경우, 용기는 전구체가 그 액체 또는 고체 상에 있고 충분한 증기압을 갖는 것을 허용하는 온도로 가열될 수 있다. 용기는 예를 들어 0-150 ℃ 범위의 온도에서 유지될 수 있다. 당업자는 용기의 온도가 증기화된 전구체의 증기압 및 공정 챔버에서의 농도를 제어하기 위한 공지된 방식으로 조절될 수 있음을 인식한다.
증착 방법에 의해 수득된 필름은 또한 다양한 방법 예컨대 어닐링, 반응성 어닐링, UV 경화, e-빔 경화 및 라디칼 어닐링에 의해 추가로 처리될 수 있다. 필름 조성 및 구조는 이러한 단계에 의해 상당히 영향을 받을 수 있다.
본 발명의 성질을 설명하기 위해 본원에서 기재 및 예시되는 부분의 상세한 사항, 물질, 단계 및 배열의 많은 추가적인 변화가 당업자에 의해 이론 및 첨부된 청구항에 설명된 본 발명의 범주 내에서 이루어질 수 있음이 이해될 것이다. 따라서, 본 발명은 상기 주어진 실시예 및/또는 첨부된 도면의 특정 구현예에 제한되는 것으로 의도되지 않는다.
상기 상세한 설명이 훨씬 구체적이기는 하지만, 이는 본 발명의 범주를 제한하는 것으로 이해되지 않아야 하고, 단지 본 발명의 현재 바람직한 구현예 중 일부의 설명을 제공하는 것으로 이해되어야 한다. 다양한 기타 구현예 및 분지가 그 범주 내에서 가능하다. 본 발명의 성질을 설명하기 위해 본원에 기재 및 예시된 부분의 상세한 사항, 물질, 단계 및 배열의 많은 추가적 변화가 이론 및 첨부된 청구항에 설명된 본 발명의 범주 이내에서 당업자에 의해 이루어질 수 있음이 이해될 것이다.

Claims (25)

  1. 하기 화학식을 갖는 무-할로겐 및 무-아미노 할라이드 전구체 화합물:
    Figure 112021025860895-pct00057

    (R1R2N)n1(R3R4N)n2EkH(2(k+1)-n1-n2)
    [식 중, E = Si 이고, n1 = 1 내지 (2(k+1)-n2) 이고; n2 = 0 내지 (2(k + 1)-n1) 이고; k = 2 내지 6 이고;
    R1 은 선형 또는 분지형 C4 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고;
    R2 는 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴이고;
    R3 및 R4 은 독립적으로 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고;
    아미노기(들) n1 및 n2 는 임의의 E 원자에 결합될 수 있음].
  2. 제 1 항에 있어서, n1 = 1 이고, R1 = C4 이고, R2 = H 또는 C4 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  3. 제 1 항에 있어서, n2 = 1 이고, R3 = C4 이고, R4 = H 또는 C4 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  4. 제 2 항 또는 제 3 항에 있어서, R2 = H 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  5. 제 2 항 또는 제 3 항에 있어서, R2 = C4 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  6. 제 3 항에 있어서, R4 = H 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  7. 제 3 항에 있어서, R4 = C4 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  8. 제 4 항에 있어서, R4 = C4 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  9. 제 5 항에 있어서, R4 = C4 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  10. 제 4 항에 있어서, R4 = H 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  11. 제 5 항에 있어서, R4 = H 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  12. 제 1 항에 있어서, k = 3 내지 6 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  13. 제 1 항에 있어서, k = 4 내지 6 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.
  14. 하기 화학식을 갖는 무-할로겐 및 무-아미노 할라이드 전구체 화합물:
    Figure 112021025860895-pct00058

    (R1R2N)n1(R3R4N)n2EkH(2(k+1)-n1-n2)
    [식 중, E = Si 이고, n1 = 1 이고, n2 = 1 이고, k = 1 이고;
    R1 는 실릴이고;
    R2 는 실릴이고;
    R3 및 R4 은 독립적으로 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택되고;
    아미노기(들) n1 및 n2 는 임의의 E 원자에 결합될 수 있음].
  15. 하기 화학식을 갖는 무-할로겐 및 무-아미노 할라이드 전구체 화합물:
    R1R2NSi3H7
    [식 중, R1 은 에틸, 이소-프로필 및 t-부틸로 이루어진 군으로부터 선택되고; R2 는 H, 메틸 및 이소-프로필로 이루어진 군으로부터 선택되고; R1 이 에틸인 경우 R2 는 H 일 수 없음].
  16. 하기 화학식을 갖는 무-할로겐 및 무-아미노 할라이드 전구체 화합물:
    Figure 112021025860895-pct00059

    [(R1N(CR3)=NR2)(SiH2SinH2n+1)]
    [식 중, n = 1 내지 5 이고; R1, R2 및 R3 은 독립적으로 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택됨].
  17. 하기 단계를 포함하는, 제 16 항의 무-할로겐 및 무-아미노 할라이드 전구체 화합물의 제조 방법:
    a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1HN(CR3)=NR2 (아미딘) 및 SiH3SinH2n+1 을 접촉시키는 단계; 여기서 SiH3SinH2n+1 대 R1HN(CR3)=NR2 의 몰비는 적어도 1:1 임;
    b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
    c) 0 ℃ 내지 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
    d) 반응을 진행시켜 [(R1N(CR3)=NR2)(SiH2SinH2n+1)] 을 형성하는 단계;
    e) 반응 혼합물로부터 생성물 [(R1N(CR3)=NR2)(SiH2SinH2n+1)] 을 분리하는 단계;
    여기서 반응 온도는 합성 동안 변화할 수 있고 반응 혼합물의 온도가 0 ℃ 미만으로 하락하도록 허용되지 않고 300 ℃ 를 초과하지 않도록 유지됨.
  18. 하기 화학식을 갖는 무-할로겐 및 무-아미노 할라이드 전구체 화합물:
    Figure 112021025860895-pct00060

    [(R1N(CR3)=NR2)(R4N(CR6)=NR5)(SiH2SinH2nSiH2)]
    [식 중, n = 0 내지 4 이고; R1, R2, R3, R4, R5, R6 은 독립적으로 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환 실릴로 이루어지는 군으로부터 선택됨].
  19. 하기 단계를 포함하는, 제 18 항에 따른 무-할로겐 및 무-아미노 할라이드 전구체 화합물의 제조 방법:
    a) 반응 혼합물을 형성하는 전이 금속 촉매의 존재 하에 반응물질 R1HN(CR3)=NR2 (아미딘) 및 SiH3SinH2nSiH3 을 접촉하는 단계, 여기서 R1HN(CR3)=NR2 대 SiH3SinH2nSiH3 의 몰비는 1:1 내지 5:1 임;
    b) 임의로 반응 혼합물에 용매를 첨가하는 단계;
    c) 0 ℃ 내지 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
    d) 반응을 진행시켜 [(R1N(CR3)=NR2)(SiH2SinH2nSiH3)] 을 형성하는 단계;
    e) R4HN(CR6)=NR5 을 반응 혼합물에 첨가하는 단계
    f) 0 ℃ 내지 300 ℃ 의 온도에서 반응 혼합물을 유지하는 단계;
    g) 반응을 진행시켜 [(R1N(CR3)=NR2)(R4N(CR6)=NR5)(SiH2SinH2nSiH2)] 을 형성하는 단계;
    h) 반응 혼합물로부터 생성물 [(R1N(CR3)=NR2)(R4N(CR6)=NR5)(SiH2SinH2nSiH2)] 을 분리하는 단계;
    여기서 반응 온도는 합성 동안 변화할 수 있고 반응 혼합물의 온도가 0 ℃ 미만으로 하락하도록 허용되지 않고 300 ℃ 를 초과하지 않도록 유지됨.
  20. 제 1 항에 있어서, k = 3 이고, n1 = 1 이고, n2 = 0 이고, R1 = C4 이고, R2 = C4 인 무-할로겐 및 무-아미노 할라이드 전구체 화합물.

  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
KR1020167011267A 2013-09-27 2014-09-25 촉매적 탈수소화 커플링에 의한 아미노실란의 무-할로겐 합성 KR102291427B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361883452P 2013-09-27 2013-09-27
US61/883,452 2013-09-27
US14/491,581 2014-09-19
US14/491,581 US9382269B2 (en) 2013-09-27 2014-09-19 Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
PCT/US2014/057377 WO2015048237A2 (en) 2013-09-27 2014-09-25 Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling

Publications (2)

Publication Number Publication Date
KR20160071402A KR20160071402A (ko) 2016-06-21
KR102291427B1 true KR102291427B1 (ko) 2021-08-18

Family

ID=52740773

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167011263A KR102326396B1 (ko) 2013-09-27 2014-09-19 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물
KR1020167011267A KR102291427B1 (ko) 2013-09-27 2014-09-25 촉매적 탈수소화 커플링에 의한 아미노실란의 무-할로겐 합성

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020167011263A KR102326396B1 (ko) 2013-09-27 2014-09-19 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물

Country Status (8)

Country Link
US (8) US9920077B2 (ko)
EP (2) EP3049499B1 (ko)
JP (2) JP6500014B2 (ko)
KR (2) KR102326396B1 (ko)
CN (3) CN105849221B (ko)
SG (3) SG11201602301WA (ko)
TW (2) TWI657092B (ko)
WO (2) WO2015047914A1 (ko)

Families Citing this family (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6500014B2 (ja) * 2013-09-27 2019-04-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 蒸着用前駆体およびその作製方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101956587B1 (ko) * 2014-05-30 2019-03-11 다우 실리콘즈 코포레이션 다이아미노실란 화합물
WO2015184201A1 (en) * 2014-05-30 2015-12-03 Dow Corning Corporation Monoaminosilane compounds
KR102461078B1 (ko) 2014-10-02 2022-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG10202000545RA (en) * 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20180095561A (ko) * 2015-12-18 2018-08-27 다우 실리콘즈 코포레이션 오가노아미노실란의 제조 방법 및 오가노아미노실란으로부터 실릴아민을 제조하는 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI724141B (zh) * 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
EP3562829B1 (en) * 2016-12-27 2021-11-03 Nata Semiconductor Materials Co., Ltd. Catalysis of dehydrocoupling reactions between amines and silanes
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI784022B (zh) 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP7143124B2 (ja) * 2017-08-09 2022-09-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ge含有Co膜形成材料、Ge含有Co膜およびその成膜方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11739220B2 (en) 2018-02-21 2023-08-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Perhydropolysilazane compositions and methods for forming oxide films using same
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11874276B2 (en) 2018-04-05 2024-01-16 Dana-Farber Cancer Institute, Inc. STING levels as a biomarker for cancer immunotherapy
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
CN112041323B (zh) * 2018-05-23 2024-01-05 美国陶氏有机硅公司 制备有机氨基硅烷的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11273432B2 (en) 2018-05-31 2022-03-15 Arizona Board Of Regents On Behalf Of Arizona State University Beta-diketiminate manganese catalysts for hydrosilylation, hydroboration, and dehydrogenative pnictogen-silicon and pnictogen-boron bond formation
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109739070B (zh) * 2019-03-07 2021-11-30 中山职业技术学院 一种高分辨率高透光度半导体用3d打印式正性光刻胶
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) * 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
WO2021041532A1 (en) 2019-08-26 2021-03-04 Dana-Farber Cancer Institute, Inc. Use of heparin to promote type 1 interferon signaling
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021171466A1 (ja) * 2020-02-27 2021-09-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TWI797640B (zh) * 2020-06-18 2023-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 基於矽之自組裝單層組成物及使用該組成物之表面製備
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2907785A (en) 1957-10-07 1959-10-06 Du Pont Organic compounds of silicon and phosphorus and their preparation
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
GB1006803A (en) 1963-05-10 1965-10-06 Standard Telephones Cables Ltd Improvements in or relating to semiconductor devices
US3532728A (en) 1965-01-27 1970-10-06 Monsanto Co Process for preparing high temperature resistant 1,3 - diaza-2-sila-cycloalkane derivatives
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
EP0264104B1 (en) 1986-10-14 1995-12-27 Minolta Co., Ltd. Electrophotographic photosensitive member having an overcoat layer
JPH0211587A (ja) * 1988-06-29 1990-01-16 Shin Etsu Chem Co Ltd シリル化方法
US5211888A (en) 1991-07-26 1993-05-18 Fmc Corporation Catalyzed hydrocarbyllithium process
JP2551901B2 (ja) 1991-07-26 1996-11-06 エフ エム シー コーポレーション 接触アルキル化方法
US5340507A (en) 1991-07-26 1994-08-23 Fmc Corporation Catalyzed hydrocarbyllithium process
EP0551771B1 (en) 1992-01-08 1997-07-30 Nippon Oil Co. Ltd. Process for producing polysilanes
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
FR2708924B1 (fr) 1993-08-12 1995-10-20 Saint Gobain Vitrage Int Procédé de dépôt d'une couche de nitrure métallique sur un substrat transparent.
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5663398A (en) 1996-05-17 1997-09-02 Fmc Corporation Processes for preparing functionalized alkyllithium compounds
WO1998010463A1 (en) * 1996-09-05 1998-03-12 Regents Of The University Of Michigan Germanes and doping with germanes
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
AU3964199A (en) 1998-04-07 1999-10-25 Euv Limited Liability Corporation Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6645884B1 (en) 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
US7049308B2 (en) 2000-10-26 2006-05-23 Duke University C-nitroso compounds and use thereof
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
WO2003084971A1 (en) 2002-04-04 2003-10-16 Degussa Ag Bisphosphines as bidentate ligands
KR100464649B1 (ko) * 2002-04-23 2005-01-03 주식회사 하이닉스반도체 이중 유전막 구조를 가진 반도체소자의 캐패시터 및 그제조방법
US7091159B2 (en) * 2002-09-06 2006-08-15 Halliburton Energy Services, Inc. Compositions for and methods of stabilizing subterranean formations containing clays
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
JP2004179196A (ja) * 2002-11-22 2004-06-24 L'air Liquide Sa Pour L'etude & L'exploitation Des Procedes Georges Claude 化学気相成長法によるシリコン窒化物系絶縁膜の製造方法および製造装置
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4265409B2 (ja) 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
TW200526804A (en) 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20070049766A1 (en) * 2005-06-06 2007-03-01 Belot John A Synthesis of tetrakis(dialkylamino)silanes
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US8501762B2 (en) 2005-07-08 2013-08-06 Shanghai Institute Of Materia Medica, Chinese Academy Of Sciences Tetrahydroprotoberberine compounds, the synthetic method and the use thereof
KR20080028963A (ko) 2005-07-08 2008-04-02 에비자 테크놀로지, 인크. 실리콘 함유 필름의 증착 방법
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
JP5888831B2 (ja) 2005-10-05 2016-03-22 シン フィルム エレクトロニクス エーエスエー 架橋済みポリマー及びその製造方法
DE602006019499D1 (de) 2006-04-03 2011-02-17 Air Liquide Eine pentakis(dimethylamino)disilanvorstufe enthaltende verbindung, und verfahren zu deren herstellung
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
KR20120118060A (ko) 2006-11-02 2012-10-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7605092B2 (en) 2007-06-29 2009-10-20 Silicon Storage Technology, Inc. Passive elements, articles, packages, semiconductor composites, and methods of manufacturing same
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US20090162973A1 (en) 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
JP2011521778A (ja) 2008-05-21 2011-07-28 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイト イオン液体およびその使用方法
WO2009142663A1 (en) * 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic liquids and methods for using same
WO2010055423A2 (en) 2008-05-29 2010-05-20 L'air Liquide - Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
KR101120065B1 (ko) * 2009-01-08 2012-03-23 솔브레인 주식회사 신규의 아미딘 유도체를 가지는 게르마늄 화합물 및 이의 제조 방법
EP2437880B1 (en) 2009-06-04 2018-09-12 Air Liquide Advanced Materials LLC Method for the production of silylamines
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US9315896B2 (en) 2009-10-26 2016-04-19 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of group VA element containing thin films
EP2363512A1 (en) * 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
KR101970850B1 (ko) 2011-10-07 2019-04-19 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 트리실릴아민의 응축상 제조 장치 및 방법
JP6044545B2 (ja) 2011-10-20 2016-12-14 東レバッテリーセパレータフィルム株式会社 多孔質膜の製造方法及びその多孔質膜、電池用セパレーター及び電池
US20130143018A1 (en) * 2011-12-02 2013-06-06 Sabic Innovative Plastics Ip B.V. Coated Polymer Films
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
JP6500014B2 (ja) * 2013-09-27 2019-04-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 蒸着用前駆体およびその作製方法
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
KR101720017B1 (ko) 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
SG10202000545RA (en) 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP6929279B2 (ja) 2015-10-22 2021-09-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated SiOおよびSiNを含む流動性膜を堆積させる方法
CN109072426B (zh) 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Inorg. Chem. 1997, 36, 1758-1763, 1부.*
Organometallics 1991, 10, pp. 2222-2227, 1부.*
SYNTHESIS, 2005, No. 16, pp 2677-2682, 1부.*
Z. anorg. allg, Chem. 619 (1993) 1347-1352, 1부.*
Z. Naturforsch. 1990, 45b, 1679-1683, 1부.*

Also Published As

Publication number Publication date
JP2016536276A (ja) 2016-11-24
US20160362429A1 (en) 2016-12-15
WO2015048237A2 (en) 2015-04-02
US10494387B2 (en) 2019-12-03
SG10201804678TA (en) 2018-07-30
CN108766872A (zh) 2018-11-06
WO2015047914A1 (en) 2015-04-02
WO2015048237A3 (en) 2015-11-05
KR102326396B1 (ko) 2021-11-12
TW201522355A (zh) 2015-06-16
US20220153762A1 (en) 2022-05-19
EP3049421A2 (en) 2016-08-03
TWI657092B (zh) 2019-04-21
US20180162883A1 (en) 2018-06-14
KR20160071402A (ko) 2016-06-21
CN105849221B (zh) 2019-06-18
TW201522356A (zh) 2015-06-16
US9453035B2 (en) 2016-09-27
US20150094470A1 (en) 2015-04-02
EP3049421B1 (en) 2020-07-01
SG11201602301WA (en) 2016-04-28
JP2016537305A (ja) 2016-12-01
EP3049499A1 (en) 2016-08-03
US11780859B2 (en) 2023-10-10
CN108766872B (zh) 2022-11-01
CN105849221A (zh) 2016-08-10
US9920077B2 (en) 2018-03-20
US20160237099A1 (en) 2016-08-18
US9920078B2 (en) 2018-03-20
JP6529184B2 (ja) 2019-06-12
CN105793270B (zh) 2019-09-27
US20160215003A1 (en) 2016-07-28
US11274112B2 (en) 2022-03-15
US9382269B2 (en) 2016-07-05
KR20160062145A (ko) 2016-06-01
SG11201602190PA (en) 2016-04-28
US10501484B2 (en) 2019-12-10
TWI658044B (zh) 2019-05-01
EP3049421A4 (en) 2017-05-03
EP3049499A4 (en) 2017-05-31
US20200040013A1 (en) 2020-02-06
EP3049499B1 (en) 2020-07-22
CN105793270A (zh) 2016-07-20
US20180230171A1 (en) 2018-08-16
JP6500014B2 (ja) 2019-04-10

Similar Documents

Publication Publication Date Title
KR102291427B1 (ko) 촉매적 탈수소화 커플링에 의한 아미노실란의 무-할로겐 합성
US20150004317A1 (en) Organosilane precursors for ald/cvd silicon-containing film applications
TWI716333B (zh) 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
TW201509799A (zh) 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
KR102603851B1 (ko) 이성질체 풍부 고급 실란의 제조 방법

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant