KR20080028963A - 실리콘 함유 필름의 증착 방법 - Google Patents

실리콘 함유 필름의 증착 방법 Download PDF

Info

Publication number
KR20080028963A
KR20080028963A KR1020087001945A KR20087001945A KR20080028963A KR 20080028963 A KR20080028963 A KR 20080028963A KR 1020087001945 A KR1020087001945 A KR 1020087001945A KR 20087001945 A KR20087001945 A KR 20087001945A KR 20080028963 A KR20080028963 A KR 20080028963A
Authority
KR
South Korea
Prior art keywords
substrates
processing chamber
forming
silicon
film
Prior art date
Application number
KR1020087001945A
Other languages
English (en)
Inventor
요시카즈 오쿠야마
존 에스. 오우양
헬무쓰 트레이첼
Original Assignee
에비자 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에비자 테크놀로지, 인크. filed Critical 에비자 테크놀로지, 인크.
Publication of KR20080028963A publication Critical patent/KR20080028963A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Abstract

실릴아민 성분을 사용하여 실리콘 함유 필름을 형성하는 방법이 설명되어 있다. 몇몇 실시예에서, 실릴아민 성분은 550 ℃ 미만의 온도에서 실리콘-질소, 실리콘-산소, 또는 실리콘-질소-산소 재료를 증착하는데 사용된다. 몇몇 실시예에서, 이러한 방법은 단일 기판을 포함하는 처리 챔버 뿐만 아니라 복수의 기판을 포함하는 처리 챔버 내에서도 실시되며, 여기서 실릴아민은 교차류 방식으로 챔버로 이송된다.

Description

실리콘 함유 필름의 증착 방법 {METHOD FOR DEPOSITING SILICON-CONTAINING FILMS}
본 발명은 "ALD를 이용하여 실리콘 함유 필름을 증착시키는 방법"이란 발명의 명칭으로 2005년 7월 8일자로 출원된 미국 가 출원 번호 60/697,763호를 우선권으로 주장하며, 이 출원의 모든 내용은 본 발명에 참조된다.
본 발명은 일반적으로, 기판의 표면 상에 실리콘 함유 필름을 증착하기 위한 방법에 관한 것이다. 그러한 실리콘 함유 필름은 반도체 처리에 사용되는 실리콘-질소, 실리콘-산소, 및 실리콘-질소-산소 유전체 재료를 포함한다. 특히, 본 발명의 실시예들은 저온, 바람직하게 대략 550 ℃ 미만의 온도에서 수행되는 실리콘 함유 필름의 증착에 실릴아민 성분(silylamine moieties)의 사용법을 제공한다.
실리콘 질화물, 실리콘 이산화물, 및 실리콘 산질화물들은 반도체 소자들의 제작에 폭넓게 사용되는 유전체 재료덜이다. 이들 필름은 통상적으로, 실란(SiH4), 디실란(Si2H6), 디클로로실란(DCS)(SiCl2H2)과 같은 실리콘 소오스, 그리고 암모니아(NH3), 산소, 오존, 아산화질소[nitrous oxide:(N2O)], 질소 이산화물(NO2), 산화질소(nitric oxide: NO), 및 소정의 재료 조성에 따른 기타 다른 물질과 같은 다수 의 반응물 소오스를 갖는 다른 기타 물질로 증착된다. 이들 공정의 증착 온도는 통상적으로 600 ℃를 넘는다. 진보된 반도체 소자에 대한 고속 필요 요건은 반도체 소자의 제작과 관련된 전체 열 소모 비용을 낮출 것을 요구한다. 여러 신규한 실리콘 전구체는 저온 유전체 증착에 대한 요구를 해결하도록 발전 되어 왔다. 실리콘 테트라요오드화물(tetraiodide)이 400 ℃ 내지 500 ℃ 범위의 온도에서 실리콘 질화물을 증착하는데 사용될 수 있다. 그러나, 이러한 전구체는 실온에서 고체이며 저온 표면에서 응축되는 NH4I의 부산물을 생성하고 미립자 문제를 유발한다. 헥사클로로디실란(HCD: Si2Cl6)이 600 ℃ 이하에서 실리콘 질화물을 형성하는데 사용되나, 이러한 전구체는 저온 표면에서 응축되는 NH4I의 부산물을 생성하고 미립자 문제를 유발한다. 결국, 비스(t-부틸아미노 실란)(BTBAS)(SiC8N2H22)과 같은 아미노실란 화합물은 단지, 약 550 ℃보다 큰 온동서만 O2, N2O, NH3와 반응하여 흥미로운 다수의 유전체 재료를 형성하는 무-할로겐 전구체이다. 일반적으로, 이러한 전구체로 형성되는 재료들은 반도체 소자의 제작에 폭넓게 사용하기에 충분한 품질을 제공하지 못한다. 이는 상당한 양의 부산물을 형성하는 문제와 바람직하지 않은 성분의 필름에의 결합 문제점이 없이 저온에서 유전체 재료를 증착하기 위한 신규한 전구체와 방법이 요구된다는 것을 알 수 있다.
아미노실란, 실라잔(silazane), 실릴(silyl) 아킬 화합물을 포함하는 신규한 부류의 전구체가 조사되고 있다. 그러나, 이들 전구체는 카본을 증착된 재료에 결 합시켜 필름의 유전체 특성을 열화시키는 카본 성분을 포함한다. 또한, 열적 화학 기상 증착(CVD) 기술에 사용되는 실릴아민을 포함하는 다른 부류의 전구체도 조사되고 있다. 실릴아민이 카본을 함유하지 않지만, 이들의 유전체 특성은 전술한 다수의 아미노실란보다 우수하다. 그러나, CVD 기술은 550 ℃보다 큰 온도에서만 실용적이며 그 결과적인 실리콘 함유 필름의 품질은 빈약하다. 저온(예를 들어, < 550 ℃)에서 유전체 재료를 증착시키는 방법의 발전이 바람직함을 알 수 있다.
일반적으로, 본 발명의 발명자들은 실리콘 함유 유전체 재료의 증착을 제공하기 위한 방법을 발견했다. 유전체 재료는 스페이서, 에칭 스톱, 하드 마스크, 게이트 유전체, 커패시터 유전체, 등과 같은 반도체 구조물의 제작에 사용될 것이다. 상기 방법은 실릴아민 전구체를 저온에서 사용하여 유전체 재료를 증착시키도록 제공된다.
본 발명의 몇몇 실시예들에 있어서, 본 발명자들은 550 ℃ 또는 그 미만의 온도에서 질소 함유 반응물과 실릴아민 전구체를 반응시킴으로써 (실리콘 질화물과 같은)실리콘-질소 유전체 재료를 증착시키는 방법을 발견했다. 상기 방법은 단일 기판을 포함하도록 채택된 처리 챔버뿐만 아니라 복수의 기판들을 포함하도록 채택된 처리 챔버 내에서 실시되며, 화학 기상 증착(CVD) 기술, 대체 실시예에서는 원자층 증착(ALD) 기술을 사용하여 실시된다.
본 발명의 다른 실시예에서, 본 발명자들은 550 ℃ 또는 그 미만의 온도에서 산소 함유 반응물과 실릴아민 전구체를 반응시킴으로써 (실리콘 이산화물과 같은)실리콘-산소 유전체 재료를 증착시키는 방법을 발견했다. 상기 방법은 단일 기판을 포함하도록 채택된 처리 챔버뿐만 아니라 복수의 기판들을 포함하도록 채택된 처리 챔버 내에서 실시되며, 화학 기상 증착(CVD) 기술, 대체 실시예에서는 원자층 증착(ALD) 기술을 사용하여 실시된다.
본 발명의 또 다른 실시예에서, 본 발명자들은 550 ℃ 또는 그 미만의 온도에서 산소 함유 반응물 및 질소 함유 반응물과 실릴아민 전구체를 반응시킴으로써 (실리콘 산질화물과 같은)실리콘-질소-산소 유전체 재료를 증착시키는 방법을 발견했다. 상기 방법은 단일 기판을 포함하도록 채택된 처리 챔버뿐만 아니라 복수의 기판들을 포함하도록 채택된 처리 챔버 내에서 실시되며, 화학 기상 증착(CVD) 기술, 대체 실시예에서는 원자층 증착(ALD) 기술을 사용하여 실시된다.
본 발명의 또 다른 일면에 있어서, 하나 또는 그 이상의 기판들 중의 기판 상에 실리콘 함유 필름을 형성하는 방법이 제공되며, 이러한 방법은 실릴아민 성분과 하나 또는 그 이상의 반응물 전구체가 하나 또는 그 이상의 기판들 중의 상부 표면 상에 필름을 형성시키기 위해 상기 상부 표면을 가로지르도록, 상기 실릴아민 성분과 상기 하나 또는 그 이상의 반응물 전구체를 동시에 또는 연속적으로 유동시킴으로써 처리 챔버 내에서 반응되게 하는 것을 특징으로 한다.
본 발명의 전술한 특징 및 장점과 그 이외의 특징 및 장점들은 이후의 첨부 도면 및 청구의 범위와 관련한 다음의 상세한 설명으로 보다 명확해질 것이다.
도 1은 본 발명의 몇몇 실시예에 따른 방법을 수행하는데 사용될 수 있는 교 차류(across flow) 인젝터 시스템을 갖춘 수직의 배치식 열 처리 시스템의 일 예를 도시하는 횡단면도이며,
도 2는 본 발명의 몇몇 실시예에 따른 웨이퍼와 관련된 배기 슬롯 및 라이너와 관련된 인젝터 오리피스의 위치들을 나타내는, 도 1의 열 처리 시스템의 일부분을 도시하는 횡단면도이며,
도 3은 본 발명의 몇몇 실시예에 따른 제 1 및 제 2 인젝터의 오리피스로부터 웨이퍼를 가로질러 배기 포트로의 가스 흐름을 나타내는, 도 1의 A-A 라인에 따라 취한 도 1의 열 처리 시스템의 일부를 도시하는 평면도이며,
도 4는 본 발명의 다른 실시예들에 따른 제 1 및 제 2 인젝터의 오리피스로부터 웨이퍼를 가로질러 배기 포트로의 가스 흐름을 나타내는, 도 1의 A-A 라인에 따라 취한 도 1의 열 처리 시스템의 일부를 도시하는 평면도이며,
도 5는 본 발명의 또 다른 실시예들에 따른 제 1 및 제 2 인젝터의 오리피스로부터 웨이퍼를 가로질러 배기 포트로의 가스 흐름을 나타내는, 도 1의 A-A 라인에 따라 취한 도 1의 열 처리 시스템의 일부를 도시하는 평면도이며,
도 6은 본 발명의 실시예에 따른 화학 기상 증착에 의해 단일 웨이퍼 열 처리 장치 내에서 증착되는 산화물 필름용 증착 온도의 함수로서 (WIWNU)와 증착률을 나타내는 도면이며,
도 7은 본 발명의 실시예에 따른 화학 기상 증착에 의해 배치식 열 처리 장치 내에서 증착되는 실리콘 산화물 필름용 증착 온도의 함수로서 실리콘 질화물 증착률을 나타내는 도면이다.
일반적으로, 본 발명자들은 실리콘 함유 유전체 재료의 증착을 제공하기 위한 방법을 발견했다. 유전체 재료는 스페이서, 에칭 스톱, 하드 마스크, 게이트 유전체, 커패시터 유전체 등과 같은 반도체 구조물의 제작에 사용될 것이다. 몇몇 실시예에서, 화학 기상 증착(CVD) 방법에 의해 실릴아민을 사용하여 유전체 재료를 증착하는 방법이 제공된다. 대체 실시예에서, 원자층 증착(ALD) 방법이 사용된다. 본 발명의 일 실시예에서, 실릴아민의 제 1 부류는 다음과 같은 일반적인 화학식을 가진다.
HmN(SiH3)n
여기서, n은 1 내지 3의 정수이며 m은 3 - n과 같다. 다른 실시예에서, 다음과 같은 화학식을 갖는 실릴아민 전구체가 제공된다.
HmN(Si2H5)n
여기서, n은 1 내지 3의 정수이며 m은 3 - n과 같다. 본 발명에 있어서, 용어 "실릴아민"은 상기 두 부류의 모든 화합물들을 포함하는 것으로 이해해야 한다.
본 발명의 일반적인 실시예에서, 실릴아민은 기판 상에 실리콘 함유 유전체 필름을 증착하기 위한 전구체로서 사용된다. 몇몇 실시예에서, 실리콘 산화물 필름은 화학 기상 증착 또는 원자층 기상 증착에 의해 상기 화학식의 실릴아민 전구체로 형성되며, 상기 증착 공정은 대략 150 내지 550 ℃ 범위의 증착 온도에서 수행된다. 다른 실시예에서, 증착 온도는 대략 150 내지 450 ℃ 범위이다. 추가의 실시예에서, 증착 온도는 대략 500 내지 520 ℃ 범위이다.
다른 실시예에서, 실리콘 질화물 필름은 화학 기상 증착 또는 원자층 증착에 의해 상기 화학식을 갖는 실릴아민 전구체로 형성되며, 상기 증착 공정은 대략 300 내지 800 ℃ 범위, 바람직하게 550 ℃ 및 그 이하의 증착 온도에서 수행된다. 다른 실시예에서, 증착 온도는 대략 500 내지 520 ℃ 범위이다.
몇몇 실시예에서, 증착은 화학 기상 증착(CVD) 기술을 사용하여 수행된다. 처리 챔버는 적어도 하나 이상의 처리 챔버를 유지하도록 채택된다. 실릴아민은 기판 상에 실리콘 함유 유전체 필름을 증착하기 위한 전구체로서 사용된다. CVD 중에, 실릴아민 및 다른 반응물 전구체가 챔버의 내측으로 분사되어, 전구체가 반응하여 하나 이상의 기판의 표면 상에 소정 재료의 필름 또는 층을 형성한다. 증착 중에 기판은 소정의 온도, 통상적으로 550 ℃ 또는 그 미만의 온도로 제어되며, 처리 챔버 내의 압력은 소정의 압력, 통상적으로 0.01 mTorr 내지 760 Torr 범위의 압력으로 제어된다. 반응물 전구체와 실릴아민의 반응으로 반응물의 화학적 성질에 따라 기판 상에 실리콘-질소, 실리콘-산소, 실리콘-질소-산소 필름 등을 형성한다. 실릴아민 전구체와 반응하는 적합한 반응물 전구체의 예로는 암모니아(NH3), 히드라진(hydrazine: N2H4), 수증기(H2O), 산소(O2), 오존(O3), 아산화질소(N2O), 질소 산화물(NO), 질소 이산화물(NO2) 등이 포함되나, 이에 한정되지 않는다.
다른 실시예에서, 증착은 원자층 증착(ALD) 기술을 사용하여 수행된다. 처리 챔버는 적어도 하나의 기판을 유지하도록 채택된다. 기판은 소정의 온도, 통상 적으로 550 ℃ 또는 그 미만의 온도로 제어되며, 처리 챔버 내의 압력은 소정의 압력, 통상적으로 0.01 mTorr 내지 760 Torr 범위의 압력으로 제어된다. 실릴아민 전구체는 처리 챔버의 내측으로 도입되며 기판의 표면 상에 단층을 형성하게 된다. 과도한 양의 실릴아민은 처리 챔버로부터 제거된다. 그후 하나 이상의 반응물은 순차적으로 또는 연속적으로 처리 챔버의 내측으로 도입되어서 기판 상에 이전에 형성되었던 실릴아민의 단층과 반응하게 된다. 실리콘-질소, 실리콘-산소, 실리콘-질소-산소 필름으로 구성되는 필름이 기판 상에 형성된다. 과도한 양의 반응물은 처리 챔버로부터 제거된다. 이러한 시퀀스는 소정 두께의 유전체 재료가 기판 상에 증착될 때까지 반복된다. 적합한 반응물의 예로는 암모니아(NH3), 히드라진(hydrazine: N2H4), 수증기(H2O), 산소(O2), 오존(O3), 아산화질소(N2O), 질소 산화물(NO), 질소 이산화물(NO2) 등이 포함되나, 이에 한정되지 않는다.
본 발명의 일 실시예에서, "트리실릴아민"(TSA)으로서 지칭되는 N(SiH3)3와 같은 실릴아민이 기판 상에 실리콘-질소 함유 유전체 필름을 증착하기 위한 전구체로서 사용된다. 이러한 실시예에서, 처리 챔버는 단일 기판을 유지하는데 채용된다. 기판은 소정의 온도, 통상적으로 550 ℃ 또는 그 미만, 바람직하게 400 ℃ 또는 그 미만의 온도로 제어된다. 처리 챔버 내의 압력은 소정의 압력, 통상적으로 0.01 mTorr 내지 760 Torr 범위, 가장 바람직하게 10 Torr 미만의 압력으로 제어된다. ALD 실시예에서, TSA 전구체가 처리 챔버의 내측으로 도입되어서 기판의 표면 상에 단층을 형성하게 된다. 과도한 양의 TSA 전구체는 처리 챔버로부터 제거된 다. 질소 함유 반응물이 처리 챔버의 내측으로 이송되어서 기판 상에 이전에 형성되었던 TSA의 단층과 반응하게 된다. 이러한 시퀀스는 소정 두께의 실리콘-질소 유전체 재료가 기판 상에 증착될 때까지 반복된다. CVD 실시예에서, TSA 전구체와 질소 함유 반응물이 처리 챔버로 동시에 이송된다. 적합한 질소 함유 반응물의 예로는 암모니아(NH3), 히드라진(N2H4) 등이 포함된다. TSA와 질소 함유 반응물과의 반응으로 기판 상에 실리콘-질소 유전체 필름을 형성한다.
기판 상에 실리콘-질소 함유 유전체 필름을 증착하기 위한 대체 실시예가 설명된다. 복수의 실리콘 웨이퍼, 바람직하게 300 mm 웨이퍼를 유지하기 위해 수직 노가 사용된다. 통상적으로, 단일 배치식 공정에서의 웨이퍼의 수는 1 내지 100 개 범위이다. 바람직한 수직 노인 열처리 챔버의 하나의 예는 본 발명에 전체적으로 참조된 미국 출원 번호 10/521,619호 및 10/946,849호에 상세히 기술된 바와 같은 "교차류(across-flow)" 기술을 포함한다. 웨이퍼는 노 내에 장전되며 압력은 10,000 mTorr 이하, 바람직하게 500 내지 5000 mTorr 범위로 감소된다. 상기 방법의 이러한 실시예에서는 CVD, 또는 ALD 기술을 사용하여 수행될 수 있다.
CVD 공정을 사용할 때, 증착은 NH3와 같은 질소 함유 반응물과 TSA를 처리 챔버로 이송함으로써 시작된다. TSA의 유동률은 약 1 sccm 내지 100 sccm 범위이고 NH3의 유동률은 대략 50 sccm 내지 10,000 sccm 범위이다. TSA와 NH3는 반응하여 하나 이상의 기판의 표면 상에 실리콘 질화물 층을 형성한다. CVD 공정은 소정 두께의 필름이 얻어질 때까지 수행된다. 이러한 공정 시퀀스는 웨이퍼내 균일도 3.0 %이하 3-시그마, 웨이퍼 대 웨이퍼 균일도 3.0% 3-시그마, 실리콘 대 질소 비율[Si : N] 0.65 내지 0.85 범위, 및 반응 지수 1.9 내지 2.1 범위를 갖는 고질의 실리콘-질소 유전체 필름을 증착하는데 사용될 수 있다.
ALD 공정을 사용할 때, 증착은 "트리실릴아민"(TSA)을 1 sccm 내지 100 sccm 범위로 유동시켜 웨이퍼 상에 단층을 형성하게 함으로써 시작된다. 과잉의 TSA는 N2로 세정함으로써 제거된다. NH3와 같은 질소 함유 반응물은 50 sccm 내지 10,000 sccm의 NH3를 유동시킴으로써 처리 챔버로 도입된다. NH3는 실리콘 함유 유전체 층을 형성하도록 TSA 단층과 반응한다. 과잉의 NH3는 N2로 세정함으로써 제거된다. 통상적으로, 공정 중의 전체 가스 유동은 20,000 sccm 미만이다. 이는 주기당 0.2 내지 5.0 범위의 유효 증착률로 실리콘 함유 유전체 층을 증착하는 결과를 초래한다. 이러한 시퀀스는 소정 두께의 실리콘-질소 유전체 필름이 증착될 때까지 반복된다. 그 후 처리 챔버 내의 압력은 1 기압으로 증가하며 웨이퍼는 처리 챔버로부터 제거된다.
도 1 내지 도 5를 참조하면, 본 발명의 실시예를 수행하는데 사용될 수 있는 수직 배치식 열처리 시스템의 일 실시예가 도시되어 있다. 특정 장점 중의 하나는 상기 시스템(100)이 본 발명의 실시예에 따라 "교차류" 방식으로 전구체를 분배한다는 점이다. 전구체를 교차류 방식으로 기판에 이송하는 것은 일반적으로, 기판의 하나의 주변 영역 근처로 전구체를 분사하는 단계와, 기판의 표면을 가로지르게 전구체를 유동시키는 단계를 포함하며, 여기서 전구체는 기판의 대향 주변 영역으 로 빠져 나간다.
배치식 열처리 시스템(100)은 CVD 또는 ALD 모드로 작동될 수 있으며, 따라서 본 발명의 전술한 두 개의 다른 실시예에 사용될 수 있다. 일반적으로, 시스템(100)은 일반적으로, 내부에 유지된 배치식 웨이퍼(108)를 갖춘 캐리어 또는 보트(106)를 수용하는 지지대(104)를 갖춘 처리 챔버(102)를 형성하는 공간을 에워싸고 있는 용기(101), 및 웨이퍼의 온도를 열처리를 위한 소정의 증착 온도로 상승시키기 위한 (이후 가열 소자(112)로 통칭되는)다수의 가열 소자(112-1,112-2,112-3)를 갖춘 가열원 또는 노(110)를 포함한다. 열처리 시스템(100)은 통상적으로, 가스 또는 증기와 같은 유체를 웨이퍼(108)를 처리 및/또는 내악시키기 위해 처리 챔버(102)의 내측으로 이송하기 위한 하나 이상의 분사기, 및 처리 챔버의 세정 및/또는 웨이퍼의 냉각을 위해 가스를 이송하기 위한 하나 이상의 세정 포트 또는 배출구를 포함한다. 라이너(120)는 웨이퍼가 내부에서 처리되는 임의의 영역 또는 처리 영역 내에 있는 웨이퍼(108) 근처에서의 처리 가스 또는 증기의 농도를 증가시키고 처리 챔버(102)의 내측 표면 상에 형성될 수 있는 증착물의 박리로부터 웨이퍼의 오염을 감소시키는데 사용될 수 있다. 처리 가스 또는 증기는 챔버 라이너(120) 내에 있는 배출 포트 또는 슬롯(182)을 통해 처리 영역을 빠져 나간다.
몇몇 실시예에서, 특정 장점 중의 하나는 분사기(216)가 열처리 시스템(100)에 사용된다는 점이다. 분사기(116)는 반응물 전구체 또는 다른 가스나 증기가 웨웨이퍼(108) 또는 보트(106)의 어느 한쪽에 있는 분사기 개구 또는 오리피스(180)를 통해 도입되어서 반대쪽에 있는 챔버 라인(120) 내의 배기 포트 또는 슬롯(182) 을 빠져 나가도록 층류 형태의 방식으로 웨이퍼의 표면을 가로질러 흐르게 하는 분배식 또는 교차류(X) 방식의 분사기이다.
또한, 교차류 분사기(116)는 웨이퍼(108) 사이에서 강제식 대류 냉각을 위한 냉각용 가스(예를 들어, 헬륨, 질소, 수소)의 분사를 포함한 다른 목적으로도 사용될 수 있다. 교차류 분사기(116)의 사용으로 종전의 상향 흐름 또는 하향 흐름 방식에 비해서, 스택 또는 배치의 바닥 또는 상부에 배열되는 웨이퍼(108)와 중간에 배열되는 웨이퍼와 무관하게 더욱 균일한 냉각의 결과를 초래한다. 바람직하게, 분사기 오리피스(180)는 웨이퍼 전체에 걸쳐서 커다란 온도 구배를 형성하지 않는 방식으로 웨이퍼(108)들 사이에 강제식 대류 냉각을 촉진하는 분사 패턴을 제공하기 위한 크기, 형상 및 위치를 가진다.
도 2는 웨이퍼(108)와 관련한 배기 슬롯(182)과 챔버 라이너(120)와 관련한 분사기 오리피스(180)의 예시적인 부분을 도시하는, 도 1의 열처리 시스템(100)의 일부를 도시하는 횡단면도이다.
도 3은 도 1의 A-A 선을 따라 취한 도 1의 열 처리 장치(100)의 일부분을 도시하는 평면도이다. 이러한 실시예에서, 분사기(116)는 제 1 및 제 2 분사기로 구성된다. 도 3은 각각 제 1 및 제 2 분사기(184,186)의 오리피스(180-1,180-2)로부터 웨이퍼(108) 중의 예시적인 하나를 가로질러 슬롯(182-1,182-2)을 통해 배출되는 층류식 가스 흐름을 도시한다. 도 1에 도시된 바와 같이 배기 슬롯(182)의 위치는 열처리 장치의 하나의 횡면도로 배기 슬롯과 분사기(116)를 도시할 수 있도록 도 3에 도시된 배기 슬롯(182-1,182-2)의 위치로부터 변위된 것이라고 이해해야 한 다. 또한, 웨이퍼(108)와 챔버 라인(120)과 관련한 배기 슬롯(182-1,182-2) 및 분사기(184,186)의 치수도 분사기로부터 배기 슬롯으로의 가스 흐름을 보다 명확하게 도시하기 위해 확대되어 있다고 이해해야 한다.
도 3에 도시한 바와 같이, 처리 가스 또는 증기는 처음에, 라이너(120)를 향해 웨이퍼(108)로부터 이격된 방향으로 공급되어서 공정 가스 또는 증기가 웨이퍼에 도달하기 이전에 이들의 혼합을 촉진시키는 역할을 한다. 오리피스(180-1,180-2)의 이러한 구성은 상이한 반응물이 예를 들어, 다중 성분 필름 또는 층을 형성하기 위해 각각의 제 1 및 제 2 분사기(184,186)로부터 도입되는 공정이나 방식일 때 특히 유리하다.
도 4는 본 발명의 다른 실시예에 따라 제 1 및 제 2 분사기(184,186)의 오리피스(180)로부터 예시적인 웨이퍼(108)를 가로질러 배기 슬롯(182)으로의 대체 가스 흐름 경로를 도시하는 도 1의 A-A 선을 따라 취한 도 1의 열처리 시스템(100)의 일부를 도시하는 다른 평면도이다.
도 5는 본 발명의 또 다른 실시예에 따라 제 1 및 제 2 분사기(184,186)의 오리피스(180)로부터 예시적인 웨이퍼(108)를 가로질러 배기 슬롯(182)으로의 대체 가스 흐름 경로를 도시하는 도 1의 A-A선을 따라 취한 도 1의 열처리 시스템(100)의 일부를 도시하는 또 다른 평면도이다.
따라서, 본 기술 분야의 당업자들에게 이해될 수 있는 바와 같이, 다양한 가스 흐름 통로가 본 발명의 실시예의 사상 내에서 달성될 수 있다. 또한, 분사기(116)가 제 1 및 제 2 분사기(184,186)로 구성되는 반면에, 분사기(116)는 단일 분사 튜브로 구성될 수 있다.
교차류에 대해서는 배치식 수직 노와 관련하여 설명하였지만, 교차류는 단일 웨이퍼 시스템에서도 양호하게 실시될 수 있다고 이해해야 한다. 그러한 시스템에서, 전구체는 단일 기판의 상부 표면 위로 교차류 형태로 이송된다. 단일 웨이퍼 시스템에서 설명된 방법의 실시예는 그러한 교차류 방식으로 수행될 수 있다.
웨이퍼 상에 실리콘-질소 함유 유전체를 증착시키도록 단일 웨이퍼 열처리 시스템에서 수행되는 방법도 있다. 통상적으로, 상기 시스템은 300 mm 기판과 같은 단일 실리콘 웨이퍼를 지지하는데 사용되는 단일 웨이퍼 처리 챔버를 포함한다. 웨이퍼가 처리 챔버 내부에 장전되며 그 압력은 10,000 mTorr 이하로 감소된다. 온도는 100 내지 500 ℃ 범위로 제어된다. 이러한 실시예에서, ALD 공정이 사용되며 1 내지 50 sccm의 트리실릴아민(TSA)을 유동시켜 웨이퍼 상에 단층을 형성하는 것으로 시작된다. 과도한 TSA는 N2로 세정함으로써 제거된다. 암모니아와 같은 질소 함유 반응물이 50 sccm 내지 1000 sccm의 암모니아를 유동시킴으로써 처리 챔버로 도입된다. 암모니아는 TSA의 단층과 반응하여 실리콘 함유 유전체 층을 형성한다. 과도한 암모니아는 질소로 세정함으로써 제거된다. 통상적으로, 공정 전반에 걸친 전체 가스 유동은 20,000 sccm 미만이다. 이는 주기 당 0.2 내지 5.0의 유효 증착률로 실리콘-질소 유전체 층을 증착할 수 있게 한다. 이러한 시퀀스는 소정 두께의 실리콘-질소 유전체 필름이 증착될 때까지 반복된다. 그 후 웨이퍼는 처리챔버로부터 제거된다.
이와는 달리, 전술한 방법은 화학 기상 증착법을 사용하여 수행된다. 이러한 실시예에서, TSA와 암모니아와 같은 질소 함유 반응물 전구체가 함께 챔버로 이송되어서 서로 반응하여 웨이퍼의 표면 상에 소정의 필름을 형성한다. TSA의 유동률은 약 1 sccm 내지 100 sccm 범위이며, 암모니아의 유동률은 50 sccm 내지 10,000 sccm 범위이다. 증착 온도는 통상적으로 약 300 내지 800 ℃, 바람직하게 500 ℃ 또는 그 이하이다. 이러한 공정 시퀀스는 웨이퍼내 균일도 3.0 %이하 3-시그마, 웨이퍼 대 웨이퍼 균일도 3.0% 3-시그마, 실리콘 대 질소 비율[Si : N] 0.65 내지 0.85 범위, 및 반응 지수 1.9 내지 2.1 범위를 갖는 고질의 실리콘-질소 유전체 필름을 증착하는데 사용될 수 있다.
전술한 방법은 어느 하나의 설치 플랫폼, 즉 단일 웨이퍼 열처리 시스템 또는 배치식 열처리 시스템에서 수행될 수 있다.
본 발명의 다른 실시예에 있어서 TSA가 기판 또는 웨이퍼 상에 실리콘-산소 함유 유전체 필름을 증착하는데 사용된다. 증착은 ALD 또는 CVD 기술에 의해 달성될 수 있다. 처리 챔버는 단일 기판을 유지하는데 채용되거나 복수의 기판을 유지하는데 채용될 수 있다. 기판은 소정의 온도, 통상적으로 550 ℃ 또는 그 미만, 가장 바랍직하게 400 ℃ 또는 그 미만으로 제어되며, 몇몇 실시예에서 온도는 약 150 내지 550 ℃ 범위이다. 처리 챔버 내의 압력은 소정의 압력, 통상적으로 0.01 mTorr 내지 760 Torr 범위, 가장 바람직하게 10 Torr 미만으로 제어된다. ALD에 의해 증착될 때, TSA 전구체가 처리 챔버의 내측으로 도입되어서 기판의 표면 상에 단층을 형성한다. 과도한 TSA 전구체는 처리 챔버로부터 제거된다. 산소 함유 반 응물이 처리 챔버의 내측으로 도입되어서 기판 상에 이전에 형성되었던 TSA 단층과 반응한다. 적합한 산소 함유 반응물의 예로는 산소, 오존, 수증기(H2O), 과산화수소(H2O2) 등이 포함된다. TSA와 산소 함유 반응물의 반응으로 기판 상에 실리콘-산소 유전체를 형성한다. 과도한 양의 산소 함유 반응물은 처리 챔버로부터 제거된다. 이러한 시퀀스는 소정 두께의 실리콘-산소 유전체 필름이 증착될 때까지 반복된다. CVD에 의해 실리콘 산화물을 증착할 때, 기판은 증착 온도, 통상적으로 550 ℃ 또는 그 미만, 가장 바랍직하게 400 ℃ 또는 그 미만으로 제어되며, 몇몇 실시예에서 온도는 약 150 내지 550 ℃ 범위이다. TSA 및 산소 함유 반응물 전구체가 챔버로 이송되어서 전구체가 반응하여 기판의 표면 상에 실리콘-산소 필름을 형성한다. 증착은 소정 두께가 달성될 때까지 수행된다.
어느 하나의 실시예에서, 전구체가 기판의 하나의 주변 영역 근처로 분사되어 기판의 표면을 가로질러 흐르는 교차 흐름 방식으로 전구체가 기판으로 이송될 수 있으며, 그 후 전구체는 기판의 대향 주변 영역으로 빠져 나간다.
다른 실시예에서, 300 mm 웨이퍼와 같은 복수의 실리콘 웨이퍼를 유지하도록 구성된 수직 노에서 실리콘-산소 함유 유전체 필름이 증착된다. 통상적으로, 단일 배치식 공정을 위한 웨이퍼의 수는 1 내지 100 개이다. 몇몇 실시예에서, 양호한 수직 노 기술은 전술한 바와 같이 교차류 기술을 포함한다. 웨이퍼는 노의 내측으로 장전되며 그 압력은 10,000 mTorr 이하로 감소된다. 그 온도는 100 내지 500 ℃로 제어된다. CVD에 의해 실리콘 산화물 필름을 증착할 때, TSA 및 오존과 산소 와 같은 산소 함유 반응물 전구체가 동시에 챔버로 이송된다. 전구체가 반응하여 기판의 표면 상에 실리콘-산소 필름을 형성한다. TSA의 유동률은 통상적으로 1 sccm 내지 100 sccm이며, 오존과 산소의 유동률은 약 500 sccm 내지 10,000 sccm 범위이다. 증착은 소정 두께가 달성될 때까지 수행된다. 이러한 공정 시퀀스는 웨이퍼내 균일도 3.0 %이하 3-시그마, 웨이퍼 대 웨이퍼 균일도 3.0% 3-시그마, 실리콘 대 질소 비율[Si : N] 0.25 내지 0.45 범위, 및 반응 지수 1.40 내지 1.50 범위를 갖는 고질의 실리콘-질소 유전체 필름을 증착하는데 사용될 수 있다.
ALD를 사용할 때, 상기 공정은 1 sccm 내지 100 sccm 범위의 트리실릴아민을 유동시켜 웨이퍼 상에 단층을 형성하는 것으로 시작된다. 과도한 TSA는 질소로 세정함으로써 제거된다. 오존과 산소와 같은 산소 함유 반응물이 50 sccm 내지 10,000 sccm의 오존을 유동시킴으로써 처리 챔버로 도입된다. 오존은 TSA의 단층과 반응하여 실리콘-산소 유전체 층을 형성한다. 과도한 양의 오존은 질소의 세정에 의해 제거된다. 통상적으로, 공정 전반에 걸친 전체 가스 유동은 20,000 sccm 미만이다. 이는 주기 당 0.2 내지 5 A의 유효 증착률로 실리콘-산소 유전체 층의 증착을 초래한다. 이러한 시퀀스는 소정 두께의 실리콘-산소 유전체 필름이 증착될 때까지 반복된다. 처리 챔버 내의 압력은 1 기압으로 증가되며 웨이퍼는 처리 챔버로부터 제거된다.
어느 하나의 실시예에서, 전구체가 기판의 하나의 주변 영역 근처로 분사되어 기판의 표면을 가로질러 흐르는 교차 흐름 방식으로 전구체가 기판으로 이송될 수 있으며, 그 후 전구체는 기판의 대향 주변 영역으로 빠져나간다.
또 다른 예에서, 단일 웨이퍼 처리 챔버가 실리콘-산소 함유 유전체 필름을 웨이퍼의 표면 상에 증착시키기 위해 300 mm 웨이퍼와 같은 단일 실리콘 웨이퍼를 유지하는데 사용된다. 웨이퍼가 처리 챔버 내측으로 장전되며 압력은 10,000 mTorr 이하로 감소된다. 온도는 100 내지 500 ℃ 범위로 제어된다. ALD를 사용할 때, 상기 공정은 1 sccm 내지 100 sccm 범위의 트리실릴아민을 유동시켜 웨이퍼 상에 단층을 형성하는 것으로 시작된다. 과도한 TSA는 질소로 세정함으로써 제거된다. 오존과 산소와 같은 산소 함유 반응물이 50 sccm 내지 10,000 sccm의 오존을 유동시킴으로써 처리 챔버로 도입된다. 오존은 TSA의 단층과 반응하여 실리콘-산소 유전체 층을 형성한다. 과도한 양의 오존은 질소의 세정에 의해 제거된다. 통상적으로, 공정 전반에 걸친 전체 가스 유동은 20,000 sccm 미만이다. 이는 주기 당 0.2 내지 5 A의 유효 증착률로 실리콘-산소 유전체 층의 증착을 초래한다. 이러한 시퀀스는 소정 두께의 실리콘-산소 유전체 필름이 증착될 때까지 반복된다. 웨이퍼는 처리 챔버로부터 제거된다. CVD에 의해 실리콘 산화물 필름을 증착할 때, TSA 및 오존과 산소와 같은 산소 함유 반응물 전구체가 동시에 챔버로 이송된다. 전구체가 반응하여 기판의 표면 상에 실리콘-산소 필름을 형성한다. TSA의 유동률은 통상적으로 1 sccm 내지 100 sccm이며, 오존과 산소의 유동률은 약 500 sccm 내지 10,000 sccm 범위이다. 증착은 소정 두께가 달성될 때까지 수행된다. 어느 하나의 공정에 있어서, 전구체가 기판의 하나의 주변 영역 근처로 분사되어 기판의 표면을 가로질러 흐르는 교차 흐름 방식으로 전구체가 기판으로 이송될 수 있으며, 그 후 전구체는 기판의 대향 주변 영역으로 빠져나간다.
본 발명의 또 다른 실시예에서, TSA가 실리콘-질소-산소를 함유하는 유전체 필름을 기판 상에 증착하기 위한 전구체로서 사용된다. 일 실시예에서, 증착은 ALD에 의해 수행될 수 있다. 처리 챔버는 단일 기판을 유지하거나 복수의 기판을 유지하도록 채용될 수 있다. 기판은 소정의 온도, 통상적으로 550 ℃ 또는 그 미만, 가장 바랍직하게 400 ℃ 또는 그 미만으로 제어된다. 처리 챔버 내의 압력은 소정의 압력, 통상적으로 0.01 mTorr 내지 760 Torr 범위, 가장 바람직하게 10 Torr 미만으로 제어된다. CVD에 의해 실리콘-질소-산소 필름을 증착할 때, TSA 및 산소/질소 함유 반응물 전구체는 처리 챔버로 동시에 이송된다. 반응물이 반응하여 기판 표면 상에 필름을 형성한다. 적합한 산소 및 질소 함유 반응물의 예로는 아산화질소(N2O), 질소 산화물(NO), 질소 이산화물(NO2) 등이 포함된다. 이와는 달리, 두 개의 별도의 화합물이 산소와 질소 구성 성분을 제공할 수 있다. 증착은 소정의 필름 두께에 도달할 때까지 수행된다. ALD 실시예에서, TSA 전구체가 처리 챔버의 내측으로 도입되어서 기판의 표면 상에 단층을 형성한다. 과도한 양의 TSA 전구체가 처리 챔버로부터 제거된다. 산소와 질소 함유 반응물이 처리 챔버로 도입되어서 기판 상에 이전에 형성된 TSA의 단층과 반응한다. 적합한 산소 및 질소 함유 반응물의 예로는 아산화질소(N2O), 질소 산화물(NO), 질소 이산화물(NO2) 등이 포함된다. 산소 및 질소 함유 반응물과 TSA와의 반응으로 기판 상에 실리콘-질소-산소 유전체 필름을 형성한다. 과도한 양의 산소 및 질소 함유 반응물은 처리 채버로부터 제거된다. 이러한 시퀀스는 소정 두께의 실리콘-질소-산소 유전체 재 료가 기판 상에 증착될 때까지 반복된다.
다른 실시예에서, 실리콘-질소-산소 함유 유전체 필름을 웨이퍼 상에 형성하기 위해 복수의 실리콘 웨이퍼를 유지하는데 수직 노가 사용된다. 통상적으로, 단일 배치식 공정을 위한 웨이퍼의 수는 1 내지 100 개이다. 몇몇 실시예에서 양호한 수직 노 기술은 전술한 바와 같이 "교차류"의 장점을 제공한다. 웨이퍼는 노의 내측으로 장전되며 그 압력은 10,000 mTorr 이하로 감소된다. 온도는 100 내지 500 ℃ 범위로 제어된다. ALD를 사용하여 필름을 증착할 때, 상기 공정은 1 sccm 내지 100 sccm 범위의 "트리실릴아민"(TSA)을 유동시켜 웨이퍼 상에 단층을 형성하는 것으로 시작된다. 과도한 양의 TSA는 질소에 의해 세정됨으로써 제거된다. N2O와 같은 질소-산소 함유 반응물(또는 NH3 및 O2와 같은 반응물의 혼합물)이 50 sccm 내지 10,000 sccm 범위의 N2O를 유동시킴으로써 처리 챔버로 도입된다. N2O는 실리콘-질소-산소 유전체 층을 형성하도록 TSA의 단층과 반응한다. 과도한 양의 N2O는 질소로 세정함으로써 제거된다. 통상적으로, 공정 전반에 걸친 전체 가스 유동은 20,000 sccm 미만이다. 이는 주기 당 0.2 내지 5 A의 유효 증착률로 실리콘-질소-산소 유전체 층의 증착을 초래한다. 이러한 시퀀스는 소정 두께의 실리콘-질소-산소 유전체 필름이 증착될 때까지 반복된다. 처리 챔버 내의 압력은 1 기압으로 증가하며 웨이퍼는 처리 챔버로부터 제거된다.
이와는 달리, 웨이퍼의 표면 상에 실리콘-질소-산소 함유 유전체 필름을 형성하기 위해 단일 실리콘 웨이퍼를 유지하는데 단일 웨이퍼 처리 챔버가 사용된다. 웨이퍼는 노의 내측으로 장전되며 그 압력은 10,000 mTorr 이하로 감소된다. 온도는 100 내지 500 ℃ 범위로 제어된다. ALD를 사용할 때, 상기 공정은 1 sccm 내지 50 sccm 범위의 "트리실릴아민"(TSA)을 유동시켜 웨이퍼 상에 단층을 형성하는 것으로 시작된다. 과도한 양의 TSA는 질소에 의해 세정됨으로써 제거된다. N2O와 같은 질소-산소 함유 반응물(또는 NH3 및 O2와 같은 반응물의 혼합물)이 50 sccm 내지 1000 sccm 범위의 N2O를 유동시킴으로써 처리 챔버로 도입된다. N2O는 실리콘-질소-산소 유전체 층을 형성하도록 TSA의 단층과 반응한다. 과도한 양의 N2O는 질소로 세정함으로써 제거된다. 통상적으로, 공정 전반에 걸친 전체 가스 유동은 20,000 sccm 미만이다. 이와는 달리, 산소 함유 반응물과 동시에 또는 순차적으로 NH3 및 N2O와 같은 질소 함유 반응물이 50 sccm 내지 1000 sccm 범위의 NH3를 유동시킴으로써 처리 챔버로 도입된다. NH3는 TSA의 단층과 반응하여 실리콘-질소 유전체 층을 형성한다. 과도한 양의 NH3는 질소로 세정함으로써 제거된다. 두 개의 반응물이 순차적으로 도입되면, 산소 함유 또는 질소 함유 반응물이 먼저 도입될 수 있다. 이러한 시퀀스는 소정 두께의 실리콘-질소-산소 함유 유전체 필름이 증착될 때까지 반복된다. 웨이퍼는 처리 챔버로부터 제거된다.
대체 실시예에서 TSA 전구체는 공정이 CVD 또는 ALD에 의해 수행되는 냐에 따라 별도의 산소 함유 반응물과 질소 함유 반응물과 순차적으로 또는 동시에 처리 챔버의 내측으로 도입된다. CVD를 사용할 때, 증착은 처리 챔버로 TSA 및 산소 함 유 반응물과 질소 함유 반응물을 모두 이송하는 것으로 시작된다. 반응물이 모두 반응하여 기판의 표면 상에 실리콘-질소-산소의 층을 형성한다. 적합한 산소 반응물로는 오존이 포함된다. 적합한 질소 반응물로는 H3 및 N2O가 포함된다. 증착은 소정 두께의 필름이 달성될 때까지 계속된다. 이러한 공정 시퀀스는 웨이퍼내 균일도 3.0 %이하 3-시그마, 웨이퍼 대 웨이퍼 균일도 3% 3-시그마, 실리콘 대 질소 대 산소 비율[Si : N : O] 1 : 1 : 1, 및 반응 지수 1.40 내지 1.70 범위를 갖는 고질의 실리콘-질소 유전체 필름을 증착하는데 사용될 수 있다.
적합한 산소 함유 반응물의 예로는 산소(O2), 오존(O3), 수증기(H2O), 아산화질소(N2O), 질소 산화물(NO), 질소 이산화물(NO2) 등이 포함된다. 적합한 질소 함유 반응물의 예로는 예로는 암모니아(NH3), 히드라진(N2H4), 아산화질소(N2O), 질소 산화물(NO), 질소 이산화물(NO2) 등이 포함된다. 이는 소정 두께의 실리콘-질소-산소 유전체 재료가 기판 상에 증착될 때까지 수행된다.
또 하나의 실시예에서, 전구체가 기판의 하나의 주변 영역 근처로 분사되고 기판의 표면을 가로지르게 유동되는 교차류 방식으로 전구체가 기판으로 이송될 수 있으며, 여기서 전구체는 기판의 대향 주변 영역으로 빠져 나간다.
본 발명의 실시예에 따라 증착된 필름들이 어떤 특성을 위해 테스트된다. 도 6은 본 발명의 방법에 대한 몇몇 실시예에 따른 단일 웨이퍼 열처리 장치 내에서 CVD에 의해 증착된 실리콘 산화물 필름에 대한 증착 온도의 함수로서 증착률과 웨이퍼내의 균일도(WIWNU)를 도시하는 그래프이다. 상기 방법은 11 sccm의 TSA 유동률과 200 sccm의 산소 유동률을 사용하여 수행되었다. 압력은 7 Torr로 유지되었다. 데이터에서 볼 수 있는 바와 같이, 180 A/분 보다 큰 높은 증착률이 500 ℃ 이하의 온도에서 달성되는 반면에, 필름은 양질의 균일도를 나타냈다.
도 7은 본 발명의 다른 실시예에 따른 배치식 열처리 장치 내에서 CVD에 의해 증착된 실리콘 질화물에 대한 어떤 특성을 나타내는 그래프이다. 배치식 열처리 장치 내에서 증착된 실리콘 질화물 필름에 대한 증착 온도의 함수로서 실리콘 질화물 증착률이 그래프의 먼 왼쪽에 나타나 있다. 이들 결과는 다른 전구체, 즉 BTBAS, HCD 및 DCS에 의해 수행된 증착에 비교되는 것이다.
본 발명의 특정 실시예의 전술한 설명들은 예시적이고 설명할 목적으로 제시된 것이다. 본 발명은 전술한 것과 동일한 형태에 한정되거나 제한되는 것이 아니라고 이해해야 하며, 다수의 변경예, 실시예 및 대체예가 전술한 설명에 비추어 가능하다고 이해해야 한다. 본 발명의 사상은 다음의 청구의 범위 및 그와 균등한 범위에 의해 정의되어야 한다고 이해해야 한다.

Claims (34)

  1. 하나 이상의 기판의 표면 상에 실리콘 함유 필름을 형성하는 방법에 있어서,
    실릴아민 성분과 하나 이상의 반응물 전구체는 하나 이상의 기판의 상부 표면에 필름을 형성하도록 상기 실릴아민 성분과 하나 이상의 반응물 전구체를 상기 기판의 상부 표면을 가로지르게 유동시킴으로써 처리 챔버 내부에서 반응하는 것을 특징으로 하는,
    하나 이상의 기판의 표면 상에 실리콘 함유 필름을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 방법은 550 ℃ 미만의 증착 온도에서 수행되는 것을 특징으로 하는,
    하나 이상의 기판의 표면 상에 실리콘 함유 필름을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 실릴아민 성분은 다음의 화학식, 즉
    HmN(SiH3)n
    여기서, n은 1 내지 3의 정수이고 m은 3 - n과 같은 화학식으로 이루어지는 것을 특징으로 하는,
    하나 이상의 기판의 표면 상에 실리콘 함유 필름을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 실릴아민 성분은 다음의 화학식, 즉
    HmN(Si2H5)n
    여기서, n은 1 내지 3의 정수이고 m은 3 - n과 같은 화학식으로 이루어지는 것을 특징으로 하는,
    하나 이상의 기판의 표면 상에 실리콘 함유 필름을 형성하는 방법.
  5. 제 1 항에 있어서,
    실리콘 산화물 필름이 상기 기판의 표면 상에 형성되며 상기 방법은 대략 150 내지 550 ℃ 범위의 증착 온도에서 수행되는 것을 특징으로 하는,
    하나 이상의 기판의 표면 상에 실리콘 함유 필름을 형성하는 방법.
  6. 제 1 항에 있어서,
    실리콘 질화물 필름이 상기 기판의 표면 상에 형성되며 상기 방법은 대략 300 내지 800 ℃ 범위의 증착 온도에서 수행되는 것을 특징으로 하는,
    하나 이상의 기판의 표면 상에 실리콘 함유 필름을 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 증착 온도는 대략 500 내지 520 ℃ 범위인 것을 특징으로 하는,
    하나 이상의 기판의 표면 상에 실리콘 함유 필름을 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 실릴아민 성분과 전구체는 동시에 상기 처리 챔버의 내측으로 유동되는 것을 특징으로 하는,
    하나 이상의 기판의 표면 상에 실리콘 함유 필름을 형성하는 방법.
  9. 제 1 항에 있어서,
    상기 실릴아민 성분과 전구체는 순차적으로 상기 처리 챔버의 내측으로 유동되는 것을 특징으로 하는,
    하나 이상의 기판의 표면 상에 실리콘 함유 필름을 형성하는 방법.
  10. 처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법에 있어서,
    상기 하나 이상의 기판의 표면 상에 실리콘-질소 함유 필름을 형성하도록 실릴아민 성분과 질소를 포함하는 하나 이상의 반응물을 포함하는 전구체를 순차적으로 또는 동시에 상기 처리 챔버로 이송하는 단계를 포함하는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  11. 제 10 항에 있어서,
    상기 처리 챔버는 단일 기판을 포함하도록 구성되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  12. 제 10 항에 있어서,
    상기 처리 챔버는 복수의 기판을 포함하도록 구성되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  13. 제 10 항에 있어서,
    상기 방법은,
    대략 300 내지 800 ℃ 범위의 온도,
    0.01 mTorr 내지 760 Torr 범위의 압력, 및
    0 내지 20,000 sccm 범위의 전체 전구체 유동률을 사용하여 수행되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  14. 제 10 항에 있어서,
    상기 실릴아민 성분은 다음의 화학식, 즉
    HmN(SiH3)n
    여기서, n은 1 내지 3의 정수이고 m은 3 - n과 같은 화학식으로 이루어지는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  15. 제 10 항에 있어서,
    상기 실릴아민 성분은 다음의 화학식, 즉
    HmN(Si2H5)n
    여기서, n은 1 내지 3의 정수이고 m은 3 - n과 같은 화학식으로 이루어지는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  16. 제 10 항에 있어서,
    상기 실릴아민 성분과 하나 이상의 반응물 전구체는 상기 하나 이상의 기판의 상부 표면 상에 필름을 형성하도록 상기 기판의 상부 표면을 가로질러 동시에 유동되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  17. 제 10 항에 있어서,
    상기 증착 온도는 대략 500 내지 550 ℃ 범위인 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  18. 처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법에 있어서,
    상기 하나 이상의 기판의 표면 상에 실리콘-산소 함유 필름을 형성하도록 실릴아민 성분과 산소를 함유하는 하나 이상의 반응물을 포함하는 전구체를 순차적으 로 또는 동시에 상기 처리 챔버로 이송하는 단계를 포함하는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  19. 제 18 항에 있어서,
    상기 처리 챔버는 단일 기판을 포함하도록 구성되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  20. 제 18 항에 있어서,
    상기 처리 챔버는 복수의 기판을 포함하도록 구성되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  21. 제 18 항에 있어서,
    상기 방법은,
    550 ℃ 미만의 온도,
    0.01 mTorr 내지 760 Torr 범위의 압력, 및
    0 내지 20,000 sccm 범위의 전체 전구체 유동률을 사용하여 수행되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  22. 제 18 항에 있어서,
    상기 실릴아민 성분은 다음의 화학식, 즉
    HmN(SiH3)n
    여기서, n은 1 내지 3의 정수이고 m은 3 - n과 같은 화학식으로 이루어지는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  23. 제 18 항에 있어서,
    상기 실릴아민 성분은 다음의 화학식, 즉
    HmN(Si2H5)n
    여기서, n은 1 내지 3의 정수이고 m은 3 - n과 같은 화학식으로 이루어지는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  24. 제 18 항에 있어서,
    상기 실릴아민 성분과 하나 이상의 반응물은 상기 하나 이상의 기판의 상부 표면 상에 필름을 형성하도록 상기 기판의 상부 표면을 가로질러 동시에 유동되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  25. 제 18 항에 있어서,
    상기 방법은 대략 150 내지 550 ℃ 범위의 증착 온도에서 수행되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 실리콘 함유 필름을 형성하는 방법.
  26. 처리 챔버 내의 하나 이상의 기판 상에 필름을 형성하는 방법에 있어서,
    상기 기판 상에 제 1 층을 형성하도록 실릴아민 성분을 포함하는 제 1 전구체를 상기 처리 챔버로 이송하는 단계와,
    실리콘-질소-산소 필름을 형성하기 위해 상기 제 1 층과 반응하도록 질소와 산소를 모두 포함하는 제 2 반응물을 이송하는 단계, 및
    소정 두께의 상기 실리콘-질소-산소 필름이 형성될 때까지 상기 단계들을 반복하는 단계를 포함하는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 필름을 형성하는 방법.
  27. 제 26 항에 있어서,
    상기 처리 챔버는 단일 기판을 포함하도록 구성되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 필름을 형성하는 방법.
  28. 제 26 항에 있어서,
    상기 처리 챔버는 복수의 기판을 포함하도록 구성되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 필름을 형성하는 방법.
  29. 제 26 항에 있어서,
    상기 방법은,
    550 ℃ 미만의 온도,
    0.01 mTorr 내지 760 Torr 범위의 압력, 및
    0 내지 20,000 sccm 범위의 전체 전구체 유동률을 사용하여 수행되는 것을 특징으로 하는,
    처리 챔버 내의 하나 이상의 기판 상에 필름을 형성하는 방법.
  30. 실리콘-질소-산소 필름을 형성하도록 실릴아민 성분, 질소를 함유하는 제 2 반응물 및 산소를 포함하는 제 3 반응물을 포함하는 제 1 전구체를 처리 챔버로 순 차적 또는 동시에 이송하는 단계를 포함하는 것을 특징으로 하는,
    방법.
  31. 제 30 항에 있어서,
    상기 처리 챔버는 단일 기판을 포함하도록 구성되는 것을 특징으로 하는,
    방법.
  32. 제 30 항에 있어서,
    상기 처리 챔버는 복수의 기판을 포함하도록 구성되는 것을 특징으로 하는,
    방법.
  33. 제 30 항에 있어서,
    상기 방법은,
    550 ℃ 미만의 온도,
    0.01 mTorr 내지 760 Torr 범위의 압력, 및
    0 내지 20,000 sccm 범위의 전체 전구체 유동률을 사용하여 수행되는 것을 특징으로 하는,
    방법.
  34. 제 30 항에 있어서,
    실릴아민 성분, 질소를 함유하는 제 2 반응물 및 산소를 포함하는 제 3 반응물은 하나 이상의 기판의 상부 표면 상에 필름을 형성하도록 동시에 이송되고 상기 기판의 상부 표면을 가로질러 흐르는 것을 특징으로 하는,
    방법.
KR1020087001945A 2005-07-08 2006-07-07 실리콘 함유 필름의 증착 방법 KR20080028963A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US69776305P 2005-07-08 2005-07-08
US60/697,763 2005-07-08

Publications (1)

Publication Number Publication Date
KR20080028963A true KR20080028963A (ko) 2008-04-02

Family

ID=37637766

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087001945A KR20080028963A (ko) 2005-07-08 2006-07-07 실리콘 함유 필름의 증착 방법

Country Status (6)

Country Link
US (1) US20070031598A1 (ko)
EP (1) EP1907599A2 (ko)
JP (1) JP2009500857A (ko)
KR (1) KR20080028963A (ko)
TW (1) TW200715376A (ko)
WO (1) WO2007008653A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180125928A (ko) * 2015-01-29 2018-11-26 버슘머트리얼즈 유에스, 엘엘씨 3d 소자를 제작하기 위한 장치 및 전구체

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7883746B2 (en) * 2006-07-27 2011-02-08 Panasonic Corporation Insulating film formation method which exhibits improved thickness uniformity and improved composition uniformity
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
WO2011084812A2 (en) * 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
WO2011109148A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US7947551B1 (en) * 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6038043B2 (ja) * 2011-11-21 2016-12-07 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9064694B2 (en) 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
EP2770526B1 (en) 2013-02-22 2018-10-03 IMEC vzw Oxygen monolayer on a semiconductor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
WO2015009811A1 (en) * 2013-07-16 2015-01-22 3M Innovative Properties Company Sheet coating method
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
JP6470468B2 (ja) * 2016-03-18 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200666A (en) * 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4595775A (en) * 1984-04-06 1986-06-17 Petrarch Systems, Inc. N-methylhydridosilazanes, polymers thereof, methods of making same and silicon nitrides produced therefrom
US5008422A (en) * 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
US4719125A (en) * 1985-10-11 1988-01-12 Allied Corporation Cyclosilazane polymers as dielectric films in integrated circuit fabrication technology
US6566281B1 (en) * 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
AU2002306436A1 (en) * 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180125928A (ko) * 2015-01-29 2018-11-26 버슘머트리얼즈 유에스, 엘엘씨 3d 소자를 제작하기 위한 장치 및 전구체

Also Published As

Publication number Publication date
TW200715376A (en) 2007-04-16
US20070031598A1 (en) 2007-02-08
JP2009500857A (ja) 2009-01-08
EP1907599A2 (en) 2008-04-09
WO2007008653A2 (en) 2007-01-18
WO2007008653A3 (en) 2007-11-01

Similar Documents

Publication Publication Date Title
KR20080028963A (ko) 실리콘 함유 필름의 증착 방법
TWI753523B (zh) 高溫熱原子層沉積氮化矽膜
CN1712560B (zh) 使用垂直cvd装置的cvd方法
US6486083B1 (en) Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US7288145B2 (en) Precursors for depositing silicon containing films
EP0964441B1 (en) Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino)silane
US7084076B2 (en) Method for forming silicon dioxide film using siloxane
US7776395B2 (en) Method of depositing catalyst assisted silicates of high-k materials
US20180291505A1 (en) Atomic Layer Deposition Of Films Comprising Silicon, Carbon And Nitrogen Using Halogenated Silicon Precursors
US20060159847A1 (en) Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
EP1630249A2 (en) Process for chemical vapor deposition of silicon nitride.
WO2004010467A2 (en) Low temperature dielectric deposition using aminosilane and ozone
JP2005536055A (ja) 酸化シリコン及び酸窒化シリコンの低温堆積
KR20150121217A (ko) SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
CN101440478A (zh) 通过ald或cvd工艺制备含金属薄膜
US20040105935A1 (en) Method of depositing thin film using hafnium compound
KR20030038396A (ko) 우선적인 화학 기상 증착 장치 및 방법
US20160002782A1 (en) Catalytic Atomic Layer Deposition Of Films Comprising SiOC
US9200365B2 (en) Method of catalytic film deposition
US5766785A (en) Method and apparatus for manufacturing a semiconductor device
KR20050018641A (ko) 아미노실란 및 오존을 이용한 저온 유전체 증착
JP2001156067A (ja) 半導体装置の製造方法
KR20220062111A (ko) 할로겐화된 실릴아미드들을 사용하여 SiCO(N)를 원자층 증착하는 방법들

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid