KR102326396B1 - 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물 - Google Patents

아민 치환된 트리실릴아민 및 트리디실릴아민 화합물 Download PDF

Info

Publication number
KR102326396B1
KR102326396B1 KR1020167011263A KR20167011263A KR102326396B1 KR 102326396 B1 KR102326396 B1 KR 102326396B1 KR 1020167011263 A KR1020167011263 A KR 1020167011263A KR 20167011263 A KR20167011263 A KR 20167011263A KR 102326396 B1 KR102326396 B1 KR 102326396B1
Authority
KR
South Korea
Prior art keywords
branched
linear
alkyl
silyl
group
Prior art date
Application number
KR1020167011263A
Other languages
English (en)
Other versions
KR20160062145A (ko
Inventor
안토니오 산체스
장-마르끄 지라르
겐나디 이토브
마니쉬 칸델왈
매튜 데미안 스티븐스
펑 장
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20160062145A publication Critical patent/KR20160062145A/ko
Application granted granted Critical
Publication of KR102326396B1 publication Critical patent/KR102326396B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/16Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of arsenic, antimony, bismuth, vanadium, niobium, tantalum, polonium, chromium, molybdenum, tungsten, manganese, technetium or rhenium
    • B01J23/24Chromium, molybdenum or tungsten
    • B01J23/26Chromium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/38Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals
    • B01J23/40Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals of the platinum group metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/38Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals
    • B01J23/40Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals of the platinum group metals
    • B01J23/46Ruthenium, rhodium, osmium or iridium
    • B01J23/462Ruthenium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/70Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the iron group metals or copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/1616Coordination complexes, e.g. organometallic complexes, immobilised on an inorganic support, e.g. ship-in-a-bottle type catalysts
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/442Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using fluidised bed process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen

Abstract

무 할로겐 (halogen-free) 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물 및 상응하는 비치환된 트리실릴아민과 아민의 전이 금속 촉매에 의해 촉매화된 탈수소 커플링 (dehydrogenative coupling) 을 통한 상기 화합물의 제조 방법이 기술되었다. 본 새로운 접근은 Si-N 함유 화합물 및 수소 기체를 형성하는 Si-H 및 N-H 성분의 촉매 탈수소커플링 (dehydrocoupling) 을 기반으로 한다. 본 공정은 전이 금속 불균일 촉매, 예컨대 탄소에 지지된 Ru(0), MgO 에 지지된 Pd(0) 뿐만 아니라 균일 촉매로서의 역할을 하는 전이 금속 유기금속 착물에 의해 촉매화될 수 있다. -Si-N 함유 생성물은 무 할라이드 (halide-free) 이다. 이러한 화합물은 Si 함유 필름의 화학 기상 증착 또는 원자 층 증착에 의한 박막 증착에 유용할 수 있다.

Description

아민 치환된 트리실릴아민 및 트리디실릴아민 화합물 {AMINE SUBSTITUTED TRISILYLAMINE AND TRIDISILYLAMINE COMPOUNDS}
본 출원은 2013 년 9 월 27 일에 출원된 미국 가출원 번호 61/883,452 에 대한 우선권을 주장한다. 미국 가출원 우선권이 주장된다.
문헌에서 발견되는 규소-이원자 및 게르마늄-이원자 결합을 형성하기 위한 대부분의 공정은 클로로실란 및 친핵체 (아민, 포스핀, 등) 의 반응을 수반한다. 이 반응은 알짜 탈할로겐화수소 반응 (dehydrohalogenation) 에 기반하므로 염기에 의해 포착되어야 하는 1 당량의 할로겐화 수소, 여과해내야 하는 많은 양의 염을 형성한다. 이 사실은 또한 반응의 범위를 염기-적합한 (base-compatible) 기판에 제한하며 할로겐, 예컨대 염소 및 아미노할로겐으로 오염된 생성물을 산출한다.
실란 화합물, 예컨대 모노실란, 디실란 및 트리실란은 여러가지 응용에서 사용된다. 반도체 분야에서, 실란 화합물은 흔히 규소-기반 유전체 또는 반도체 필름, 예컨대 실리콘 나이트라이드, 실리콘 옥사이드, 또는 실리콘 옥시나이트라이드의 화학 기상 증착 (CVD) 에 의한 제조에서 출발 물질로서 사용된다. 더욱 구체적으로는, 실란 화합물은 암모니아와 같은 질소-함유 반응 기체와의 반응에 의해 실리콘나이트라이드, 산소와 같은 산소-함유 기체와의 반응에 의해 실리콘 옥사이드, 및 질소-함유 기체 및 산소-함유 기체와의 반응에 의해 실리콘 옥시나이트라이드를 제조할 수 있다.
현재 실리콘 나이트라이드 필름의 CVD 에 의한 표준 제조 방법은 암모니아 기체 또는 다른 아민 (아미노 화합물) 및 할로실란 예컨대 클로로실란 (실란 화합물) 의 반응을 유도하는 것을 수반한다; 그러나, 암모늄 클로라이드 또는 아민 하이드로클로라이드가 부산물로서 이 반응에 의해 생성된다. 암모늄 클로라이드는 백색 고체이며 CVD 반응 장치의 배기 라인 내부에 축척되며 이를 막히게 한다. 아민 하이드로클로라이드 염은 CVD 챔버 내 금속과 반응할 수 있으며 반도체 물질의 전기적 특성을 저하시키거나 다른 유형의 결함의 발생을 초래할 수 있기 때문에, 전기적 응용에 사용되는 아미노실란 중 매우 원치 않는 오염물이다. 그보다 더, 이 염은 HCl 을 발생하는 해리-재조합 공정에 의해 승화한다고 알려져 있다. 염화수소는 CVD 챔버에서 일어나는 임의의 공정 뿐만 아니라 챔버 자체에도 손상을 줄 수 있는 부식성 기체이다. 이것 및 임의의 다른 소스 유래의 반응성 염소는 이 유해한 효과를 야기할 수 있다.
CVD 방법에서, 따라서 무 할로겐 (halogen-free) 인 출발 물질이 요구된다.
[도면의 간단한 설명]
도면 1 은 전형적인 반응기 장치이며, 여기에서 반응 용기는 교반기가 장착되어 있으며 진공 및 반응물의 공급이 가능한 매니폴드에 연결된 오토클레이브 반응기이다.
도면 2 는 전형적인 반응기 장치이며, 여기에서 반응 용기는 수소 배기를 가능하게 하는 콘덴서 및 생성물의 제거를 위한 필터가 있는 침적관 (Dip-tube) 에 부착되어 있다.
규소-질소 결합을 가지는 화합물의 할로겐 염 부산물을 형성하지 않는 합성 방법이 개발되었다. 본원에 기술되며 청구된 합성 방법에 의해 제조된 모든 화합물은 본원에 정의된 용어와 같이 "무 할로겐" 이다. 이 접근은 기체 및 질소-규소 결합을 형성하는 수소의 촉매 탈수소커플링 (dehydrocoupling) 에 기반한다. 공정은 전이 금속 촉매에 의해 촉매화된다. 촉매는 불균일하거나 균일할 수 있다. 2 차 아민의 일반적인 반응은 방정식 1 에 주어진다. 일반적인 반응은 방정식 1 에 주어진다. 방정식 1 의 반응은 발열성이다. 반응은 용매 중에서 또는 용매 없이 수행될 수 있다. 반응은 회분식 또는 연속 유동 반응기에서 수행될 수 있다. 회분식 반응기가 사용되는 경우, 반응기는 크라이오트랩에 연결된 콘덴서에 부착될 수 있다 (도 2). 이 조립체에서, 형성된 수소는 반응이 일어나는 동안 배기될 수 있다. 압력은 콘덴서와 크라이오트랩 사이에 부착된 배압 조절기에 의해 제어될 수 있다. 크라이오트랩은 반응 동안 및/또는 반응 후에 수소를 시스템 밖으로 내보내게 하는 배기 라인에 연결될 수 있다. 필터가 있는 침적관 (Dip-tube) 은 용기로부터 생성물의 쉬운 제거를 가능하게 한다. 본 명세서 전반에서 사용되는 용어 "아민" 은 별도의 표시가 없으면 항상 2 차 아민을 나타낸다.
1. (H3Si)3N + nHNR1R2 + mHNR3R4 + kHNR5R6 = (R1R2N)n(R3R4N)m(R5R6N)k(NSi3H(9-n-m-k)) + (n + m + k)H2
[식 중 n = 1 내지 3 이고; m = 0 내지 3 이고; k = 0 내지 3 이고; R1, R3, 및 R5 는 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R1R2N, R3R4N 및 R5R6N 은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌을 포함하는 고리형 2 차 아민 또는 고리형 2 차 아민의 임의의 C-치환된 유도체일 수 있고; R2, R4, 및 R6 은 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고, 고리형 2 차 아민은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌 또는 고리형 2 차 아민의 임의의 C-치환된 유도체를 포함한다].
알킬 치환기 구성 성분의 비-제한적인 목록은 다음을 포함한다: 메틸, 에틸, 프로필, 이소-프로필, 부틸, tert-부틸, sec-부틸, 이소-부틸, 펜틸, 네오펜틸, 이소펜틸, 헥실, 이소헥실. 아릴 치환기 구성 성분의 비-제한적인 목록은 다음을 포함한다: 페닐, 톨릴, 자일릴, 나프틸, 피리딜.
알케닐은 하나의 수소 원자의 제거에 의해 알켄으로부터 유래된 임의의 1 가 지방족 탄화수소 라디칼 CnH2n-1 (예컨대 2-부테닐 CH3CH:CHCH2- 로서) 으로서 정의된다. 식 중, n = 2 내지 8 이다.
알키닐은 탄소-탄소 삼중 결합 및 일반식 CnH2n - 2 을 갖는 임의의 일련의 열린 사슬 탄화수소로서 정의된다. 식 중, n = 2 내지 8 이다.
아민 화합물의 구조 및 Si 화합물의 구조 및 N 에 대한 Si 의 몰 비율에 따라서 Si-N 결합을 함유하는 다수의 분자가 형성될 수 있다. 이 Si-N 결합 함유 분자는 선형 또는 분지형일 수 있다. 선형 및 분지형 조합의 예시 및 각각의 합성 방법이 기술되었다.
하기의 화학식을 가지는 화합물:
Figure 112016040731129-pct00001
[식 중 n = 1 내지 3 이고; m = 0 내지 3 이고; k = 0 내지 3 이고; R1, R3, 및 R5 는 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R2, R4, 및 R6 는 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R1R2N, R3R4N 및 R5R6N 은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌의 군으로부터 독립적으로 선택된 고리형 2 차 아민 또는 고리형 2 차 아민의 임의의 C-치환된 유도체일 수 있다. R1, R3, 또는 R5 가 H 인 경우, 아민은 1 차 아민이다. R1, R3, 또는 R5 가 H 인 경우, 만약 상응하는 R2, R4, 또는 R6 이 선형 또는 분지형 C3 내지 C6 알킬, 선형 또는 분지형 C3 내지 C8 알케닐, 선형 또는 분지형 C3 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C3 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C3 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택된다면, 다중치환은 방지될 수 있다. 고리형 2 차 아민은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌 또는 고리형 2 차 아민의 임의의 C-치환된 유도체를 포함한다].
본 기술은 본원에 기재된 일반 구조에 포함되는 화합물을 기술하고 있다. 모든 이러한 화합물은 본 발명의 청구 범위의 화합물에서 제외된다. Inorganic Chemistry, Vol. 11, No. 12, 3082-3084, 1972 (W. M. Scantlin 및 A. D. Norman) 에서 발견된 다음의 화합물은 제외된다: [(SiH3)2N]2SiH2.
하기의 일반식을 가지는 화합물의 제조 방법:
Figure 112016040731129-pct00002
[식 중 n = 1 내지 3 이고; m = 0 내지 3 이고; k = 0 내지 3 이고; R1, R3, 및 R5 은 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R2, R4, 및 R6 은 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R1R2N, R3R4N 및 R5R6N 은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌의 군으로부터 독립적으로 선택된 고리형 2 차 아민 또는 고리형 2 차 아민의 임의의 C-치환된 유도체일 수 있다. R1, R3, 또는 R5 가 H 인 경우, 아민은 1 차 아민이다. R1, R3, 또는 R5 가 H 인 경우, 만약 상응하는 R2, R4, 또는 R6 이 선형 또는 분지형 C3 내지 C6 알킬, 선형 또는 분지형 C3 내지 C8 알케닐, 선형 또는 분지형 C3 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C3 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C3 내지 C6 알킬-치환돤 실릴의 군으로부터 독립적으로 선택된다면, 다중 치환은 방지될 수 있고, 고리형 2 차 아민은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌 또는 고리형 2 차 아민의 임의의 C-치환된 유도체를 포함한다].
a) 전이 금속 촉매의 존재 하에서 반응물 TSA 및 nR1R2NH 및 mR3R4NH 및 kR5R6NH 를 접촉시켜 반응 혼합물을 형성하고;
b) 임의로 반응 혼합물에 용매를 첨가하고;
c) 반응 혼합물을 약 0 ℃ 내지 약 250 ℃ 사이의 온도로 유지하고;
d) (R1R2N)n(R3R4N)m(R5R6N)k(NSi3H(9-n-m-k)) 를 형성하도록 반응이 진행되게 하고;
e) 반응 혼합물로부터 (R1R2N)n(R3R4N)m(R5R6N)k(NSi3H(9-n-m-k)) 를 분리함;
여기에서 반응 혼합물의 온도는 합성 동안 변할 수 있으며, 반응 혼합물의 온도가 약 0 ℃ 이하로 떨어지지 않게 하며 약 250 ℃ 를 넘지 않게 하는 정도로 유지되었음.
하기의 화학식을 가지는 화합물의 제조 방법:
Figure 112016040731129-pct00003
[식 중 n = 1 내지 3 이고; m = 0 내지 3 이고; k = 0 내지 3 이고; R1, R3, 및 R5 는 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R2, R4, 및 R6 는 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R1R2N, R3R4N 및 R5R6N 은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌을 포함하는 고리형 2 차 아민 또는 고리형 2 차 아민의 임의의 C-치환된 유도체일 수 있다].
a) 전이 금속 촉매의 존재 하에서 반응물 TDSA 및 nR1R2NH 및 mR3R4NH 및 kR5R6NH 를 접촉시켜 반응 혼합물을 형성하고;
b) 임의로 반응 혼합물에 용매를 첨가하고;
c) 반응 혼합물을 약 0 ℃ 내지 약 250 ℃ 사이의 온도로 유지하고;
d) (R1R2N)n(R3R4N)k(R5R6N)m(NSi6H(15-n-m-k)) 를 형성하도록 반응이 진행되게 하고;
e) 반응 혼합물로부터 생성물 (R1R2N)n(R3R4N)k(R5R6N)m(NSi6H(15-n-m-k)) 를 분리함;
여기에서 반응 온도는 합성 동안 변할 수 있으며, 반응 혼합물의 온도가 약 0 ℃ 이하로 떨어지지 않게 하며 약 250 ℃ 를 넘지 않게 하는 정도로 유지되었음.
하기의 화학식을 가지는 화합물의 제조 방법:
Figure 112016040731129-pct00004
[식 중 R1, R2 는 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R1R2N 은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌의 군으로부터 선택된 고리형 2 차 아민 또는 고리형 2 차 아민의 임의의 C-치환된 유도체일 수 있고; R3, R4, 및 R5 는 H 및 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; 고리형 2 차 아민은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌 또는 고리형 2 차 아민의 임의의 C-치환된 유도체의 군으로부터 선택되고; 여기에서 R 은 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르의 군으로부터 선택된다].
a) 전이 금속 촉매의 존재 하에서 반응물 N[(SiH2R3)(SiH2R4)(SiH2R5)] 및 HNR1R2 를 접촉시켜 반응 혼합물을 형성하고;
b) 임의로 반응 혼합물에 용매를 첨가하고;
c) 반응 혼합물을 약 0 ℃ 내지 약 250 ℃ 사이의 온도로 유지하고;
d) N[(SiH2R3)(SiH2R4)(SiHR5 NR1R2)] 를 형성하도록 반응이 진행되게 하고;
e) 반응 혼합물로부터 생성물 N[(SiH2R3)(SiH2R4)(SiHR5 NR1R2)] 를 분리함;
여기에서 반응 혼합물의 온도는 합성 동안 변할 수 있으며, 반응 혼합물의 온도가 약 0 ℃ 이하로 떨어지지 않게 하며 약 250 ℃ 를 넘지 않게 하는 정도로 유지됨.
R1 및 R2 = 에틸이고; R3, R4 및 R5 = H 일 때의 표본 구조.
Figure 112016040731129-pct00005
하기의 화학식을 가지는 화합물의 제조 방법:
Figure 112016040731129-pct00006
a) 전이 금속 촉매의 존재 하에서 반응물 TSA 및 HN(CH2CH3)2 를 접촉시켜 반응 혼합물을 형성하고;
b) 임의로 반응 혼합물에 용매를 첨가하고;
c) 반응 혼합물을 약 0 ℃ 내지 약 250 ℃ 사이의 온도로 유지하고;
d) H8Si3N(CH2CH3)2 를 형성하도록 반응이 진행되게 하고;
e) 반응 혼합물로부터 H8Si3N(CH2CH3)2 를 분리함;
여기에서 반응 온도는 합성 동안 변할 수 있으며, 반응 혼합물의 온도가 약 0 ℃ 이하로 떨어지지 않게 하며 약 250 ℃ 를 넘지 않게 하는 정도로 유지됨.
용어 무 염소, 무 할로겐, 무 아미노클로린 및 무 아미노할로겐은 본원에서 5 ppm 미만의 할로겐, 바람직하게는 3 ppm 미만의 할로겐, 더욱 바람직하게는 1 ppm 미만의 할로겐을 함유하는 화합물을 정의하기 위해 사용된다. 용어 할로겐 및 할라이드는 불소, 염소, 브롬 및 요오드를 포함한다. 무 할로겐 생성물은 본 발명의 출발 반응물, 촉매 및 임의의 용매가 무 할로겐이기 때문에 본 합성에서 달성된다. 용어 아미노할라이드 및 아미노할로겐은 할로겐과 회합한 암모니아 및 유기 아민을 포함한 임의의 아민을 지칭하지만 이에 제한되지 않는다. 이 회합은 염, 착물 또는 화학 결합일 수 있다. 용어 "반응 용기" 및 "반응기" 는 동일한 장비를 지칭하고 동일한 의미를 갖고, 본원에서 호환하여 사용된다. 반응기는 회분식 합성을 위한 용기 또는 연속식 합성을 용이하게 하는 유동성 관통 용기일 수 있다. 용어 "반응 혼합물" 은 반응이 일어나 생성물을 형성하는 반응물, 촉매 및 임의로 용매의 조합을 지칭한다. 본 개시 및 청구항에서 사용된 용어 "무 할라이드" 및 "무 할로겐" 은 모든 소스, 예컨대 할로겐 이온, 결합 할로겐 및 아미노할로겐이나 이에 제한되지 않는 것으로부터 존재하는 할로겐의 수준을 지칭한다.
다음 방법은 하기를 포함하는 아미노-트리실릴아민의 합성을 기술한다:
a) 전이 금속 촉매의 존재 하에서 아민 및 TSA 반응물을 접촉시켜 반응 혼합물을 형성하고;
b) 임의로 반응 혼합물에 용매를 첨가하고;
c) 반응 혼합물을 약 0 ℃ 내지 약 250 ℃ 사이의 온도로 유지하고;
d) 생성물을 형성하도록 반응이 진행되게 하고;
e) 반응 혼합물로부터 생성물을 분리함.
본원에서 사용된 용어 "... 을 온도로 유지" 는 명시된 최소 및 최대 온도 이내의 온도를 생성하기 위해 필요한 만큼 가열함 또는 냉각함을 의미한다. 반응 용기에 아민 및 실란을 첨가하는 순서는 아민이 첫 번째이거나 실란이 첫 번째일 수 있다. 출발 물질이 무 할로겐인 경우, 생성물은 무 할로겐 및 무 아미노할로겐일 것이다.
본 발명에서 적합한 불균일 촉매는 전이 금속 촉매 및 희토류 원소를 포함한다. 촉매는 다음으로 이루어진 군으로부터 선택된다: Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Y, Zr, Nb, Mo, Tc, Ru, Rh, Pd, Ag, Cd, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Yb 및 U. 바람직한 촉매는 Ru, Pd, Rh, Ir, Fe, Ni, Pt, Cr, Cu 및 Au 로 이루어진 군으로부터 선택된다. 더욱 바람직한 촉매는 Rh, Pd, Ru 및 Pt 로 이루어진 군으로부터 선택된다. 가장 바람직한 촉매는 Ru 및 탄소에 지지된 Ru 이다. 추가로 바람직한 촉매는 MgO 에 지지된 Pd 이다.
본 발명의 촉매는 바람직하게는 지지체에 부착되어 있다. 지지체는 높은 표면적을 가지는 고체이다. 전형적인 지지체 물질은 다음을 포함하나 이에 제한되지는 않는다: 알루미나, MgO, 제올라이트, 탄소, 모노리스 코디어라이트 (Monolith cordierite), 규조토, 실리카 겔, 실리카/알루미나, ZrO 및 TiO2. 바람직한 지지체는 탄소, 알루미나, 실리카 및 MgO 이다. 더욱 바람직한 지지체는 탄소이다. 지지체는 약 1 m2/g 내지 약 3,000 m2/g 사이의 범위인 BET 표면적을 가진다. 바람직한 범위는 약 100 m2/g 내지 약 2,000 m2/g 이다. 촉매의 금속 담지량은 약 0.01 중량% 내지 약 50 중량% 사이의 범위이다. 바람직한 범위는 약 0.5 중량% 내지 약 20 중량% 이다. 더욱 바람직한 범위는 약 0.5 중량% 내지 약 10 중량% 이다. 촉매는 수많은 공지된 방법에 의해 활성화될 수 있다. 진공 하에서 촉매를 가열하는 것이 바람직한 방법이다. 촉매는 반응 용기에 첨가 전 또는 반응물을 첨가하기 전에 반응 용기 내에서 활성화될 수 있다.
촉매는 촉진제를 함유할 수 있다. 촉진제는 그 자체로는 촉매가 아니지만, 활성 촉매와 적은 양으로 혼합된 경우에 촉매의 효율 (활성 및/또는 선택성) 을 증가시키는 물질이다. 촉진제는 보통 금속, 예컨대 Mn, Ce, Mo, Li, Re, Ga, Cu, Ru, Pd, Rh, Ir, Fe, Ni, Pt, Cr, Cu 및 Au 및/또는 이들의 옥사이드이다. 이들은 반응 용기에 따로 첨가될 수 있거나 이들 자체가 촉매의 부분일 수 있다. 예를 들어, Ru/Mn/C (탄소에 지지되고 망간에 의해 촉진된 루테늄) 또는 Pt/CeO2/Ir/SiO2 (실리카에 지지되고 세리아 및 이리듐에 의해 촉진된 백금) 이다. 일부 촉진제는 그 자체만으로도 촉매로서 작용하나 주 촉매와 조합으로 촉진제의 사용은 주 촉매의 활성을 향상시킬 수 있다. 촉매는 다른 촉매를 위한 촉진제로서 작용할 수 있다. 본 문맥에서, 촉매는 바이메탈릭 (또는 폴리메탈릭) 촉매라고 할 수 있다. 예를 들어, Ru/Rh/C 는 탄소에 지지된 루테늄 및 로듐 바이메탈릭 촉매 또는 탄소에 지지되고 로듐에 의해 촉진된 루테늄이라고 할 수 있다. 활성 촉매는 특정한 화학 반응에서 촉매로서 작용하는 물질이다.
촉매는 전형적으로 진공 하에서 또는 불활성 기체 또는 수소와 같은 환원성 기체 하에서 또는 헬륨 및 승온에서 수행되는 활성화를 필요로 할 수 있다. 전형적으로 촉매는 약 125 ℃ 에서 및 약 1 Torr 인 약 -14 psig 에서 활성화된다. 본원에 사용된 동적 진공은 약 1 Torr 의 진공을 말한다. 활성화 조건은 선택된 촉매에 따라 약간 다를 것이다. 각종 촉매의 활성화 조건은 해당 기술 분야에 공지되어 있다. 활성화된 촉매는 향후의 사용을 위해 저장될 수 있다.
본 발명에서 용매가 사용되는 경우, 반응물과 비-반응성인 용매가 선택된다. 용매는 무수성이며 촉매를 비활성화 (오염 (poison)) 시키지 않는다. 이러한 용매의 비-제한적인 목록은 다음을 포함한다: 알칸 예컨대 C5 내지 C20 선형, 분지형 또는 고리형 알칸 및 이들의 혼합물; 알켄 예컨대 1-옥타데센, 시클로옥타디엔 및 시클로헥센; 클로로알칸 예컨대 메틸렌 클로라이드 및 에틸렌 클로라이드; 아렌 예컨대 톨루엔, 자일렌, 메시틸렌 및 나프탈렌 및 헤테로고리 예컨대 퀴놀린 및 피리딘 및 이들의 혼합물. 바람직한 용매는 n-옥타데칸이다. 바람직하게는, 용매는 비등점이 생성물 화합물의 비등점과 약 10 ℃ 차이가 나는 것으로 선택되어야 한다.
본 발명에서 사용된 불활성 기체는 반응 조건 하에서 반응성이 아니다. 불활성 기체의 비-제한적인 목록은 다음을 포함한다: 헬륨, 아르곤 및 질소. 바람직한 기체는 헬륨이다.
기계적 교반기를 장착한 Parr 사제 오토클레이브가 적합한 반응 용기이다. 단일치환된 트리실릴아민 및 단일치환된 트리디실릴아민의 합성에 있어서, 반응 초에 아민에 대한 TSA 또는 TDSA 의 몰 비율은 약 0.5 내지 약 5 의 범위 이내, 바람직하게는 약 1 내지 약 3 이다. 다중치환된 TSA 및 다중치환된 TDSA 에 대해서는, 반응 초 아민에 대한 TSA 또는 TDSA 의 몰 비율은 약 0.1 내지 약 0.9 의 범위 이내이다.
용어 크라이오트랩핑 (cryotrapping) 은 기체 물질을 크라이오 트랩에서 응결시키는 것을 의미한다.
실시예 트리실릴아민 (TSA) 과 디에틸아민의 시판되는 탄소에 지지된 루테늄 촉매에 의해 촉매화된 반응에 의한 1-디에틸아미노실릴-비스-디실릴아민의 가압 반응기 내 합성: 기계적 교반기, 써모커플 (thermocouple), 압력계 및 압력 변환기 및 계량 밸브 3 개가 장착된 0.3 L 오토클레이브에 5.3 g (0.0025 mmol 의 루테늄) 의 탄소에 지지된 5 중량% 루테늄을 충전하였다. 그 다음 약 125 ℃ 에서 3 시간 동안 동적 진공 하에서 반응기를 가열하였다. 본원에서 사용된 동적 진공은 약 1 Torr 의 진공을 말한다. 상온으로 냉각 후에, 14.8 g (0.202 mol) 의 디에틸아민을 반응기에 첨가한 다음에 액체 질소 배스에서 약 -130 ℃ 로 냉각하였다. 40 g (0.372 mol) 의 트리실릴아민을 반응기로 이송하였다. 그 다음 반응기를 약 100 ℃ 로 서서히 가열하였다. 약 400 rpm 에서 65 분 동안 교반한 후에, 압력이 약 300 psi 증가했다. 압력 증가는 형성된 수소 (및 생성물) 의 양에 비례하므로, 반응의 규모에 따라 달라질 것이다. 압력이 증가하는 것을 멈출 때 반응이 완료되었다. 반응이 완료되기 전에 반응을 멈추는 것이 바람직할 수 있다. 반응기를 상온 ("RT") 으로 냉각하였다. 휘발성 물질은 액체 질소 온도에서 SSLB 내 크라이오트랩에서 수집되었다. 반응기 압력은 50 Torr 로 내려갔다. 1-디에틸아미노실릴-비스-디실릴아민을 반응 용기로부터 회수하였다. 산출되는 용액은 30 % (11.3 g) 의 디에틸아미노실릴-비스-디실릴아민을 함유했다. 비-단리된 수율은 30 % 였다.
구조: 디에틸아미노실릴-비스-디실릴아민:
Figure 112016040731129-pct00007
TSA 아민 화합물의 일반 합성:
a) 트리실릴아민 (TSA) 과 아민의 전이 금속 촉매에 의해 촉매화된 반응에 의해 아민 치환된 TSA 화합물을 가압 반응기에서 합성하였다: 기계적 교반기, 써모커플, 압력계 및 압력 변환기 및 계량 밸브 3 개가 장착된 오토클레이브 또는 유사한 가압 반응 용기에 전이 금속 촉매를 충전하였다. 그 다음 촉매를 활성화하기 위해 동적 진공 하에서 약 125 ℃ 에서 3 시간 동안 반응기를 가열하였다. 촉매는 반응 용기에 첨가 전에 또는 반응물 첨가 전에 반응 용기에서 활성화될 수 있다. 만약 촉매가 반응 용기에 첨가 전에 활성화되면, 촉매를 활성화하기 위한 동적 진공 하에서 약 125 ℃ 에서 3 시간 동안의 사전 가열 단계는 생략된다. 상온으로 냉각한 후, 아민을 반응기에 첨가하고, 액체 질소 배쓰에서 - 130 ℃ 로 냉각하였다. 적절한 양의 트리실릴아민을 반응기로 이송하였다. 반응기 온도는 0 ℃ 이하로 떨어지지 않게 유지하였으며 250 ℃ 를 넘게 하지 않았다. 약 5 분 내지 약 2 일 간 교반으로, 반응기 압력은 반응이 완료될 때까지 증가하였다. 압력 증가는 형성된 수소 (및 생성물) 의 양에 비례한다. 그러므로, 반응기 압력은 반응의 규모, 반응의 각 반응물의 양 및 반응기의 크기에 따라 달라질 것이다. 반응은 압력이 증가를 멈출 때 완료되었다. 반응이 완료된 후에, 반응기를 RT 로 냉각하였다. 휘발성 물질은 액체 질소 온도에서 SSLB 내 크라이오트랩에서 수집되었으며 반응기 압력은 약 40 Torr 내지 약 100 Torr 로 감소하였다. 단일치환된 TSA/아민 화합물을 제조하기 위해서, TSA/아민의 몰 비율은 약 0.5 내지 약 5, 더욱 바람직하게는 약 1 내지 약 3 이다. 다중치환된 TSA/아민 화합물을 제조하기 위해서, TSA/아민의 몰 비율은 약 0.1 내지 약 0.9 이다. 비율이 낮을 수록, TSA 화합물 상에 더 큰 아민의 치환 정도가 나타날 것이다.
TSA/아민 화합물의 합성에서 바람직한 촉매는 Ru, Pd, Rh, Ir, Fe, Ni, Pt, Cr, Cu 및 Au 로 이루어진 군으로부터 선택된다. 가장 바람직한 촉매는 루테늄이다.
TDSA 아민 화합물의 일반 합성:
a) 트리디실릴아민 (TDSA) 과 아민의 전이 금속 촉매에 의해 촉매화된 반응에 의해 아민 치환된 TDSA 화합물을 가압 반응기에서 합성하였다: 기계적 교반기, 써모커플, 압력계 및 압력 변환기 및 계량 밸브 3 개가 장착된 오토클레이브 또는 유사한 가압 반응 용기에 전이 금속 촉매를 충전하였다. 그 다음 촉매를 활성화하기 위해 동적 진공 하에서 약 125 ℃ 에서 3 시간 동안 반응기를 가열하였다. 촉매는 반응 용기에 첨가 전에 또는 반응물 첨가 전에 반응 용기에서 활성화될 수 있다. 만약 촉매가 반응 용기에 첨가 전에 활성화되면, 촉매를 활성화하기 위한 동적 진공 하에서 약 125 ℃ 에서 3 시간 동안 사전 가열 단계는 생략된다. 상온으로 냉각한 후, 아민을 반응기에 첨가하고, 액체 질소 배쓰에서 - 130 ℃ 로 냉각하였다. 적절한 양의 트리디실릴아민을 반응기로 이송하였다. 반응기 온도는 약 0 ℃ 이하로 떨어지지 않게 유지하였으며 약 250 ℃ 를 넘게 하지 않았다. 약 5 분 내지 약 2 일 간 교반으로, 반응기 압력은 반응이 완료될 때까지 증가하였다. 압력 증가는 형성된 수소 (및 생성물) 의 양에 비례한다. 그러므로, 반응기 압력은 반응의 규모, 반응의 각 반응물의 양 및 반응기의 크기에 따라 달라질 것이다. 반응은 압력이 증가를 멈출 때 완료되었다. 반응이 완료된 후에, 반응기를 RT 로 냉각하였다. 휘발성 물질은 액체 질소 온도에서 SSLB 내 크라이오트랩에서 수집되었으며 반응기 압력은 약 40 Torr 내지 약 100 Torr 로 감소하였다. 단일치환된 TDSA/아민 화합물을 제조하기 위해서, TDSA/아민의 몰 비율은 약 0.5 내지 약 5, 더욱 바람직하게는 약 1 내지 약 3 이다. 다중치환된 TDSA/아민 화합물을 제조하기 위해서, TDSA/아민의 몰 비율은 약 0.1 내지 약 0.9 이다. 비율이 낮을 수록, TDSA 화합물 상에 더 큰 아민의 치환 정도가 나타날 것이다.
TDSA/아민 화합물의 합성에서 바람직한 촉매는 Ru, Pd, Rh, Ir, Fe, Ni, Pt, Cr, Cu 및 Au 로 이루어진 군으로부터 선택된다. 가장 바람직한 촉매는 루테늄이다.
용어 "비-단리된 수율" 은 수율이 미정제 반응을 칭량하고 이의 크로마토그램으로 생성물의 양을 추정하여 결정됨을 의미한다. 용어 "단리된 수율" 은 생성물이 정제되었으며 이론적인 칭량된 양이 나타내는 퍼센트에 의해 결정된 퍼센트 수율로 칭량되었음을 의미한다.
본 발명의 아미노 트리실릴아민 및 아미노 트리디실릴아민은 기상 증착 방법에서 전구체로서 사용된다. 본원에 기재된 것은 기상 증착 방법에서 기재된 전구체의 사용 방법이다. 기재된 방법은 규소-함유 필름의 증착을 위한 전구체의 용도를 제공한다. 기재된 방법은 반도체, 광전지, LCD-TFT, 또는 평판 유형 소자의 제조에서 유용할 수 있다. 방법은 다음을 포함한다: 기재된 전구체의 증기를 적어도 하나의 기판이 배치된 반응기 내에 도입하고; 기상 증착 공정을 사용하여 기재된 전구체의 적어도 일부를 기판 상에 증착시켜 Si-함유 층을 형성한다.
기재된 방법은 또한 기상 증착 공정을 이용하여 기판 상에 바이메탈 함유 층의 형성을 제공하며, 더욱 특히, SiMNx 및 SiMOx 필름 (식 중 x 는 0 - 4) 및 SiMOxNy 필름 (식 중 x + y 는 0 내지 4 이며 M 은 Ta, Hf, Zr, Ti, Ni, Mn, Ge, B, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족 (예컨대 Er), 또는 이들의 조합의 군으로부터인 금속) 의 증착을 제공한다. 일반적인 SiMOx, SiMOx 또는 SiMOxNy 용어는 Si/(Si+M) 가 약 5 % 내지 약 95 % 의 범위 내인 Si 및 M 의 여러 가지 상대적 농도를 포함한다.
기재된 기판 상에 규소-함유 층 형성 방법은 반도체, 광전지, LCD-TFT, 또는 평판 유형 소자의 제조에서 유용할 수 있다. 기재된 전구체는 해당 기술 분야에 공지된 임의의 기상 증착 방법을 이용하여 Si-함유 필름을 증착할 수 있다. 적합한 기상 증착 방법의 예는 화학 기상 증착법 (CVD) 또는 원자층 증착법 (ALD) 을 포함한다. 예시적인 CVD 방법은 열적 CVD, 플라즈마 향상된 CVD (PECVD), 펄스화된 CVD (PCVD), 저압 CVD (LPCVD), 대기압 이하의 CVD (SACVD) 또는 대기압 CVD (APCVD), 핫-와이어 CVD (HWCVD, cat-CVD 로도 알려져 있으며, 핫 와이어가 증착 공정을 위한 에너지 원으로서의 역할을 함), 원격 플라즈마 CVD (RP-CVD), UV 보조된 CVD, 유동성 CVD (FCVD), 라디칼 도입된 CVD, 및 이들의 조합을 포함한다. 예시적인 ALD 방법은 열적 ALD, 플라즈마 향상된 ALD (PEALD), 공간 단리 ALD, 핫-와이어 ALD (HWALD), 라디칼 도입된 ALD, UV 보조된 ALD 및 이들의 조합을 포함한다. 초 임계 유체 증착 또한 사용될 수 있다. 기재된 방법은 또한 그 내용이 전체가 본원에 인용되는, 미국 특허 출원 공개 번호 2014/0051264 (Applied Materials, Inc.) 에 기재되어 있는 유동성 PECVD 증착 공정에서 사용될 수 있다. 증착 방법은 바람직하게는 ALD, 공간 ALD, PE-ALD 또는 유동성 CVD (F-CVD) 이다.
전구체의 증기가 적어도 하나의 기판을 함유하는 반응 챔버 내에 도입된다. 반응 챔버 내 온도 및 압력 및 기판의 온도는 전구체의 적어도 일부를 기판 상에 기상 증착하기에 적합한 조건에서 유지되었다. 다시 말해서, 증기화된 전구체를 챔버 내에 도입한 후에, 챔버 내 조건은 증기화된 전구체의 적어도 일부가 기판 상에 증착되어 규소-함유 필름을 형성하는 것이다. 보조-반응물은 또한 Si-함유 층의 형성을 돕는데 사용될 수 있다. 보조-반응물은 전구체와 동시에 또는 따로 순차적으로 도입될 수 있으며 O2, O3, O 라디칼 및 이온, NO, N2O, H2O, H2O2, CO2, CO, 카르복시 산, 포르말린, 알콜, 디올, NH3, 하이드라진 (치환된 또는 비치환된, 예컨대 UDMH, tert-부틸하이드라진), 아민 (예컨대 DMA, TMA, DEA, TEA, TB, NH2), 디아민, N 라디칼 및 이온, H2 및 이들의 혼합물로부터 선택된다.
반응 챔버는 증착 방법이 일어나는 장치의 임의의 엔클로져 (enclosure) 또는 챔버일 수 있으며, 에컨대, 제한 없이, 평행-판 유형 반응기, 냉-벽 유형 반응기, 고온-벽 유형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 다른 이러한 유형의 증착 시스템, 예컨대 공간 ALD 챔버, 롤투롤 (roll to roll) ALD 챔버이다. 상기 예시적 반응 챔버 모두는 ALD 반응 챔버로서의 역할을 할 수 있다. 반응 챔버는 약 1 mTorr 내지 약 760 Torr 의 범위의 압력에서 유지될 수 있다. 추가로, 반응 챔버 내부의 온도는 약 20 ℃ 내지 약 600 ℃ 의 범위일 수 있다. 당업자는 원하는 결과를 달성하기 위해 온도가 단순한 실험을 통해 최적화될 수 있다는 것을 인식하고 있다.
반응기의 온도는 기판 홀더의 온도를 제어, 반응기 벽의 온도를 제어, 또는 기판 자체의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하기 위해 사용된 장치는 해당 기술 분야에 공지되어 있다. 반응기 벽은 충분한 성장속도에서 요구되는 물리적 상태 및 조성을 갖는 원하는 필름을 수득하기 위해 충분한 온도로 가열되었다. 반응기 벽이 가열될 수 있는 비-제한적인 예시적 온도 범위는 대략 20 ℃ 내지 대략 600 ℃ 를 포함한다. 플라즈마 증착 공정이 사용되는 경우, 증착 온도는 대략 20 ℃ 내지 대략 550 ℃ 범위일 수 있다. 대안적으로, 열적 공정이 수행되는 경우, 증착 온도는 대략 200 ℃ 내지 대략 600 ℃ 의 범위일 수 있다.
대안적으로, 기판은 충분한 성장속도에서 원하는 물리적 상태 및 조성을 갖는 원하는 규소-함유 필름을 수득하기 위해 충분한 온도로 가열될 수 있다. 기판이 가열될 수 있는 비-제한적인 예시적 온도 범위는 150 ℃ 내지 600 ℃ 를 포함한다. 바람직하게는, 기판의 온도는 500 ℃ 이하를 유지한다.
규소-함유 필름이 증착될 기판의 유형은 의도된 최종 용도에 따라서 달라질 것이다. 기판은 일반적으로 공정이 수행되는 물질로서 정의된다. 기판은 반도체, 광전지, 평판, 또는 LCD-TFT 소자 제조에서 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예는 웨이퍼, 예컨대 실리콘, 실리카, 유리, Ge, 또는 GaAs 웨이퍼를 포함한다. 웨이퍼는 이전의 제조 단계로부터 그 위에 증착된 상이한 물질의 하나 또는 그 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 실리콘 층 (결정성, 비정질, 다공성 등), 실리콘 옥사이드 층, 실리콘 나이트라이드 층, 실리콘 옥시 나이트라이드 층, 탄소 도핑된 실리콘 옥사이드 (SiCOH) 층, 다공성 탄소 도핑된 실리콘 옥사이드 층, 실리콘 카보-나이트라이드, 탈수소화된 (hydrogenerated) 실리콘 카바이드, 또는 이들의 조합을 포함할 수 있다. 추가로, 웨이퍼는 구리 층, 텅스텐 층, 또는 금속 층 (예를 들어 백금, 팔라듐, 니켈, 로듐, 금, 코발트, 게르마늄, 안티몬, 텔루륨, 주석, 루테늄 및 이들의 합금) 을 포함할 수 있다. 웨이퍼는 장벽 층, 예컨대 망간, 망간 옥사이드, Ta, W, Ti, V, Zr, Hg, Nb, Mo, Mn 및 Ru 의 나이트라이드를 포함할 수 있다. 나이트라이드는 C-도핑된 나이트라이드일 수 있다. 플라스틱 층, 예컨대 폴리(3,4-에틸렌디옥시티오펜) 폴리(스티렌설포네이트) [PEDOT:PSS] 가 또한 사용될 수 있다. 필름은 유기 필름, 예컨대 감광성 층, 비정질 탄소 층, 또는 폴리이미드 필름 상에 증착될 수 있다. 층은 평면이거나 패턴화될 수 있다. 일부 구현예에서, 기판은 MIM, DRAM, RERAM, 상 변화 RAM, 또는 FeRam 기술 (예를 들어, Zr, Hg, Ti, Nb, Mo, Al, Ta, 란탄족, 희토류 및 혼합된 이들의 삼성분 또는 이성분산화물) 또는 구리와 저-k 층 사이의 접착 장벽으로서 사용되는 나이트라이드-기반 필름 (예를 들어, TaN) 으로부터 유전체 물질로서 사용되는 산화물의 층을 포함할 수 있다. 기재된 공정은 규소-함유 층을 웨이퍼 상에 직접 또는 웨이퍼의 상부 상에 하나 또는 하나 초과 (패턴화된 층이 기판을 형성하는 경우) 의 층에 직접 증착할 수 있다. 게다가, 당업자는 본원에 사용된 용어 "필름" 또는 "층" 이 표면 위에 놓인 또는 펼쳐진 일부 물질의 두께를 말하며 표면이 3D 패턴 또는 마이크로구조 예컨대 홀 및 트렌치 또는 라인을 가질 수 있다는 것을 인식할 것이다. 증착은 기판 상의 특정한 면적 또는 특정한 노출된 물질에 대해 선택적일 수 있다. 예를 들어, 자가 정렬된 단일층 ("SEM") 으로 덮인 기판의 특정한 부분에서 성장이 저해될 수 있다. 본 명세서 및 청구항의 전반에서, 웨이퍼 및 그 위에 임의의 연관된 층을 기판이라고 지칭하였다.
기재된 전구체는 순수한 형태로 또는 적합한 용매, 예컨대 톨루엔, 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄, 3 차 아민, 테트라하이드로푸란, 에틸메틸케톤, 데칼린, 또는 그 밖의 것과 블렌드로 공급될 수 있다. 기재된 전구체는 용매 중 다양한 농도로 존재할 수 있다. 예를 들어, 산출된 농도는 대략 0.05 M 내지 대략 2 M 의 범위일 수 있다.
순수한 또는 블렌드 전구체는 종래의 수단, 예컨대 튜브식 (tubing) 및/또는 유동 계량기에 의해 증기 형태로 반응기 내에 도입된다. 증기 형태의 전구체는 종래의 증기화 단계, 예컨대 버블링, 증기 배출 (draw) 을 통해 또는 승화기, 예컨대 PCT 공보 WO2009/087609 (Xu 등) 에 기재된 것을 사용하여 순수한 또는 블렌드 전구체 용액을 증기화하여 제조될 수 있다. 순수한 또는 블렌드 전구체는 액체 상태로 증기화기에 공급될 수 있고, 여기에서 반응기 내에 도입되기 전에 증기화된다 (직접 액체 주입). 존재할 때, 캐리어 기체는 다음을 포함할 수 있으나, 이에 제한되지는 않는다: Ar, He, N2, 또는 H2 및 이들의 혼합물. 캐리어 기체 및 전구체는 그 다음에 증기로서 반응기 내에 도입된다.
필요하다면, 용기는 전구체가 액체 또는 고체 상체로 있게 하며 충분한 증기 압력을 갖게 하는 온도로 가열될 수 있다. 용기는 예를 들어, 0 - 150 ℃ 의 범위 내 온도에서 유지될 수 있다. 당업자는 증기화된 전구체의 증기 압력 및 공정 챔버 내 농도를 제어하기 위해 용기의 온도가 공지된 방식으로 조절될 수 있다는 것을 인식하고 있다.
기상 증착 방법에 의해 수득된 필름은 추가로 각종 방법, 예컨대 어닐링, 반응성 어닐링, UV 경화, e-빔 경화 및 라디칼 어닐링으로 추가로 처리될 수 있다. 필름의 조성 및 구조는 이 단계에 의해 상당히 영향을 받을 수 있다.
본 발명의 특징을 설명하기 위해 본원에 기술되고 예시된 세부 사항, 물질, 단계, 및 부분의 배열에서 많은 추가적인 변화가, 첨부된 청구항에 설명된 바와 같은 본 발명의 원칙 및 범위 내에서 당업자에 의해 이루어질 수 있다는 것이 이해될 것이다. 따라서, 본 발명은 상기 주어진 실시예의 구체적인 구현예 및/또는 첨부된 도면에 제한되도록 의도되지 않는다.
상기 설명이 많은 상세 사항을 포함하고 있지만, 이는 본 발명의 범위를 제한하는 것이 아니라 단지 본 발명의 현재 바람직한 구현예 중 몇몇의 예시를 제공하는 것으로 해석되어야 한다. 다양한 다른 구현예 및 세분화가 이 범위 내에서 가능하다. 본 발명의 특징을 설명하기 위해 본원에 기술되고 예시된 세부 사항, 물질, 단계, 및 부분의 배열에서 많은 추가적인 변화가, 첨부된 청구 범위에 설명된 바와 같은 본 발명의 원칙 및 범위 내에서 당업자에 의해 이루어질 수 있다는 것이 이해될 것이다.

Claims (17)

  1. 하기의 화학식을 가지는 화합물:
    Figure 112021037384091-pct00008

    [식 중 n = 1 내지 3 이고; m = 0 내지 3 이고; k = 0 내지 3 이고; R1, R3, 및 R5 는 선형 또는 분지형 C4 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 또는 선형 또는 분지형 C1 내지 C6 알킬 에테르의 군으로부터 독립적으로 선택되고; R2, R4, 및 R6 은 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 또는 선형 또는 분지형 C1 내지 C6 알킬 에테르의 군으로부터 독립적으로 선택되고; R1R2N, R3R4N 및 R5R6N 은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌의 군으로부터 독립적으로 선택된 고리형 2 차 아민 또는 고리형 2 차 아민의 임의의 C-치환된 유도체일 수 있음];
    화학식 C18H48N4Si3; C15H41N3Si3; C6H21NSi3 및 C7H23NSi3 또는 [(SiH3)2N]2SiH2 을 가지는 화합물은 제외함.
  2. 하기의 화학식을 가지는 화합물:
    Figure 112016045098197-pct00009

    [식 중 n = 1 내지 3 이고; m = 0 내지 3 이고; k = 0 내지 3 이고; R1, R3, 및 R5 는 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R2, R4, 및 R6 은 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R1R2N, R3R4N 및 R5R6N 은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌의 군으로부터 독립적으로 선택된 고리형 2 차 아민 또는 고리형 2 차 아민의 임의의 C-치환된 유도체일 수 있음].
  3. 하기의 화학식을 가지는 화합물:
    Figure 112021037384091-pct00010

    [식 중 R1, R2 는 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R3, R4 및 R5 는 선형 또는 분지형 C4 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되며; R1R2N 은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌의 군으로부터 선택되는 고리형 2 차 아민 또는 고리형 2 차 아민의 임의의 C-치환된 유도체일 수 있음].
  4. 삭제
  5. 제 3 항에 있어서, R1, R2 는 t-부틸이거나; NR1R2 는 3 내지 8 개의 탄소 원자를 가지는 고리형 포화 또는 불포화 고리형 아민인, 화합물.
  6. 삭제
  7. 삭제
  8. 하기 화합물의 제조 방법으로서
    Figure 112021037384091-pct00017

    [식 중 n = 1 내지 3 이고; m = 0 내지 3 이고; k = 0 내지 3 이고; R1, R3, 및 R5 는 H, 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R2, R4, 및 R6 은 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R1R2N, R3R4N 및 R5R6N 은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌의 군으로부터 독립적으로 선택된 고리형 2 차 아민 또는 고리형 2 차 아민의 임의의 C-치환된 유도체일 수 있음; 화학식 C18H48N4Si3; C15H41N3Si3; C6H21NSi3 및 C7H23NSi3 또는 [(SiH3)2N]2SiH2 을 가지는 화합물은 제외함],
    하기를 포함하는 제조 방법:
    a) 전이 금속 촉매의 존재 하에서 반응물 트리실릴아민 (TSA) 및 (R1R2NH)n 및 (R3R4NH)m 및 (R5R6NH)k 를 접촉시켜 반응 혼합물을 형성하고;
    b) 임의로 반응 혼합물에 용매를 첨가하고;
    c) 반응 혼합물을 0 ℃ 내지 250 ℃ 사이의 온도로 유지하고;
    d) (R1R2N)n(R3R4N)m(R5R6N)k(NSi3H(9-n-m-k)) 를 형성하도록 반응이 진행되게 하고;
    e) 반응 혼합물로부터 (R1R2N)n(R3R4N)m(R5R6N)k(NSi3H(9-n-m-k)) 를 분리함;
    여기에서 반응 혼합물의 온도는 합성 동안 변할 수 있으며, 반응 혼합물의 온도가 0 ℃ 이하로 떨어지지 않게 하며 250 ℃ 를 넘지 않게 하는 정도로 유지됨.
  9. 제 8 항에 있어서, 전이 금속 촉매가 Ru, Pd, Rh, Ir, Fe, Ni, Pt, Cr, Cu 및 Au 로 이루어진 군으로부터 선택되는 방법.
  10. 하기의 화학식을 가지는 화합물의 제조 방법으로서
    Figure 112021037384091-pct00018

    [식 중 R1, R2 는 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되고; R3, R4 및 R5 는 H 및 선형 또는 분지형 C1 내지 C6 알킬, 선형 또는 분지형 C1 내지 C8 알케닐, 선형 또는 분지형 C1 내지 C8 알키닐, C6 내지 C10 아릴, 선형 또는 분지형 C1 내지 C6 알킬 에테르, 실릴, 트리메틸 실릴, 또는 선형 또는 분지형 C1 내지 C6 알킬-치환된 실릴의 군으로부터 독립적으로 선택되며; R1R2N 은 아지리딘, 아제티딘, 피페리딘, 피롤리딘, 피롤, 이미다졸, 피라졸, 인돌의 군으로부터 선택되는 고리형 2 차 아민 또는 고리형 2 차 아민의 임의의 C-치환된 유도체일 수 있음],
    하기를 포함하는 제조 방법:
    a) 전이 금속 촉매의 존재 하에서 반응물 N[(SiH2R3)(SiH2R4)(SiH2R5)] 및 HNR1R2 를 접촉시켜 반응 혼합물을 형성하고;
    b) 임의로 반응 혼합물에 용매를 첨가하고;
    c) 반응 혼합물을 0 ℃ 내지 250 ℃ 사이의 온도로 유지하고;
    d) N[(SiH2R3)(SiH2R4)(SiHR5 NR1R2)] 를 형성하도록 반응이 진행되게 하고;
    e) 반응 혼합물로부터 생성물 N[(SiH2R3)(SiH2R4)(SiHR5 NR1R2)]를 분리함;
    여기에서 반응 혼합물의 온도는 합성 동안 변할 수 있으며, 반응 혼합물의 온도가 0 ℃ 이하로 떨어지지 않게 하며 250 ℃ 를 넘지 않게 하는 정도로 유지됨.
  11. 제 10 항에 있어서, 전이 금속 촉매가 Ru, Pd, Rh, Ir, Fe, Ni, Pt, Cr, Cu 및 Au 로 이루어진 군으로부터 선택되는 방법.
  12. 제 1 항에 있어서, 5 ppm 미만의 할로겐을 포함하는 화합물.
  13. 제 2 항에 있어서, 5 ppm 미만의 할로겐을 포함하는 화합물.
  14. 제 3 항에 있어서, 5 ppm 미만의 할로겐을 포함하는 화합물.
  15. 전구체 화합물이 제 1 항 내지 제 3 항 중 어느 한 항의 화합물로부터 선택되는, 기상 증착에 의한 Si 함유 박막 증착 방법.
  16. 제 15 항에 있어서, 기상 증착 공정이 ALD, PEALD 또는 FCVD 로부터 선택되는 방법.
  17. 삭제
KR1020167011263A 2013-09-27 2014-09-19 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물 KR102326396B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361883452P 2013-09-27 2013-09-27
US61/883,452 2013-09-27
PCT/US2014/056618 WO2015047914A1 (en) 2013-09-27 2014-09-19 Amine substituted trisilylamine and tridisilylamine compounds

Publications (2)

Publication Number Publication Date
KR20160062145A KR20160062145A (ko) 2016-06-01
KR102326396B1 true KR102326396B1 (ko) 2021-11-12

Family

ID=52740773

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167011263A KR102326396B1 (ko) 2013-09-27 2014-09-19 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물
KR1020167011267A KR102291427B1 (ko) 2013-09-27 2014-09-25 촉매적 탈수소화 커플링에 의한 아미노실란의 무-할로겐 합성

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020167011267A KR102291427B1 (ko) 2013-09-27 2014-09-25 촉매적 탈수소화 커플링에 의한 아미노실란의 무-할로겐 합성

Country Status (8)

Country Link
US (8) US9382269B2 (ko)
EP (2) EP3049499B1 (ko)
JP (2) JP6500014B2 (ko)
KR (2) KR102326396B1 (ko)
CN (3) CN105849221B (ko)
SG (3) SG11201602301WA (ko)
TW (2) TWI658044B (ko)
WO (2) WO2015047914A1 (ko)

Families Citing this family (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9382269B2 (en) * 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3149010A4 (en) 2014-05-30 2017-11-08 Dow Corning Corporation Monoaminosilane compounds
US10030037B2 (en) 2014-05-30 2018-07-24 Dow Silicones Corporation Diaminosilane compounds
KR102461078B1 (ko) 2014-10-02 2022-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017106615A1 (en) * 2015-12-18 2017-06-22 Dow Corning Corporation Synthesis of disilanylamines and polysilanylamines
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI724141B (zh) * 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10647734B2 (en) * 2016-12-27 2020-05-12 Dow Corning Corporation Catalysis of dehydrocoupling reactions between amines and silanes
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI784022B (zh) 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP7143124B2 (ja) * 2017-08-09 2022-09-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ge含有Co膜形成材料、Ge含有Co膜およびその成膜方法
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
CN111902359A (zh) * 2018-02-21 2020-11-06 乔治洛德方法研究和开发液化空气有限公司 全氢聚硅氮烷组合物和用于使用其形成氧化物膜的方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
WO2019195658A1 (en) 2018-04-05 2019-10-10 Dana-Farber Cancer Institute, Inc. Sting levels as a biomarker for cancer immunotherapy
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US11702432B2 (en) * 2018-05-23 2023-07-18 Dow Silicones Corporation Method of making an organoaminosilane
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11273432B2 (en) 2018-05-31 2022-03-15 Arizona Board Of Regents On Behalf Of Arizona State University Beta-diketiminate manganese catalysts for hydrosilylation, hydroboration, and dehydrogenative pnictogen-silicon and pnictogen-boron bond formation
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN109739070B (zh) * 2019-03-07 2021-11-30 中山职业技术学院 一种高分辨率高透光度半导体用3d打印式正性光刻胶
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) * 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
WO2021041532A1 (en) 2019-08-26 2021-03-04 Dana-Farber Cancer Institute, Inc. Use of heparin to promote type 1 interferon signaling
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
WO2021171466A1 (ja) * 2020-02-27 2021-09-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TWI797640B (zh) * 2020-06-18 2023-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 基於矽之自組裝單層組成物及使用該組成物之表面製備
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2907785A (en) 1957-10-07 1959-10-06 Du Pont Organic compounds of silicon and phosphorus and their preparation
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
GB1006803A (en) 1963-05-10 1965-10-06 Standard Telephones Cables Ltd Improvements in or relating to semiconductor devices
US3532728A (en) 1965-01-27 1970-10-06 Monsanto Co Process for preparing high temperature resistant 1,3 - diaza-2-sila-cycloalkane derivatives
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US4882256A (en) 1986-10-14 1989-11-21 Minolta Camera Kabushiki Kaisha Photosensitive member having an overcoat layer comprising amorphous carbon
JPH0211587A (ja) * 1988-06-29 1990-01-16 Shin Etsu Chem Co Ltd シリル化方法
US5340507A (en) 1991-07-26 1994-08-23 Fmc Corporation Catalyzed hydrocarbyllithium process
JP2551901B2 (ja) 1991-07-26 1996-11-06 エフ エム シー コーポレーション 接触アルキル化方法
US5211888A (en) 1991-07-26 1993-05-18 Fmc Corporation Catalyzed hydrocarbyllithium process
EP0551771B1 (en) 1992-01-08 1997-07-30 Nippon Oil Co. Ltd. Process for producing polysilanes
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
FR2708924B1 (fr) 1993-08-12 1995-10-20 Saint Gobain Vitrage Int Procédé de dépôt d'une couche de nitrure métallique sur un substrat transparent.
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5663398A (en) 1996-05-17 1997-09-02 Fmc Corporation Processes for preparing functionalized alkyllithium compounds
AU7359296A (en) * 1996-09-05 1998-03-26 University Of Michigan, The Germanes and doping with germanes
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
AU3964199A (en) 1998-04-07 1999-10-25 Euv Limited Liability Corporation Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6645884B1 (en) 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
US7049308B2 (en) 2000-10-26 2006-05-23 Duke University C-nitroso compounds and use thereof
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
AU2003212297A1 (en) 2002-04-04 2003-10-20 Degussa Ag Bisphosphines as bidentate ligands
KR100464649B1 (ko) * 2002-04-23 2005-01-03 주식회사 하이닉스반도체 이중 유전막 구조를 가진 반도체소자의 캐패시터 및 그제조방법
US7091159B2 (en) * 2002-09-06 2006-08-15 Halliburton Energy Services, Inc. Compositions for and methods of stabilizing subterranean formations containing clays
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
JP2004179196A (ja) * 2002-11-22 2004-06-24 L'air Liquide Sa Pour L'etude & L'exploitation Des Procedes Georges Claude 化学気相成長法によるシリコン窒化物系絶縁膜の製造方法および製造装置
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4265409B2 (ja) 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
JP4954448B2 (ja) 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
TW200526804A (en) 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) * 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20070049766A1 (en) * 2005-06-06 2007-03-01 Belot John A Synthesis of tetrakis(dialkylamino)silanes
JP4554446B2 (ja) * 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
EP1904493B1 (en) 2005-07-08 2013-03-27 Shangai Institute of Materia Medica, Chinese Academy of Sciences Tetrahydroprotoberberine compounds, the synthetic method and the use thereof
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7943721B2 (en) 2005-10-05 2011-05-17 Kovio, Inc. Linear and cross-linked high molecular weight polysilanes, polygermanes, and copolymers thereof, compositions containing the same, and methods of making and using such compounds and compositions
KR101304726B1 (ko) 2006-04-03 2013-09-05 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 화학적 증착에 의한 질화규소 필름 및/또는 산화질화규소 필름의 침착 방법
USRE45839E1 (en) 2006-04-03 2016-01-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Pentakis(dimethylamino) disilane precursor comprising compound and method for the preparation thereof
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
KR20120118060A (ko) 2006-11-02 2012-10-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7605092B2 (en) 2007-06-29 2009-10-20 Silicon Storage Technology, Inc. Passive elements, articles, packages, semiconductor composites, and methods of manufacturing same
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US20090162973A1 (en) 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
US20090291874A1 (en) * 2008-05-21 2009-11-26 Bara Jason E Ionic liquids and methods for using the same
WO2009142663A1 (en) * 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic liquids and methods for using same
KR20110014160A (ko) 2008-05-29 2011-02-10 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 필름 증착을 위한 텔루륨 전구체
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
KR101120065B1 (ko) * 2009-01-08 2012-03-23 솔브레인 주식회사 신규의 아미딘 유도체를 가지는 게르마늄 화합물 및 이의 제조 방법
WO2010141551A1 (en) 2009-06-04 2010-12-09 Voltaix, Llc. Apparatus and method for the production of trisilylamine
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
EP2494587B1 (en) 2009-10-26 2020-07-15 ASM International N.V. Atomic layer deposition of antimony containing thin films
EP2363512A1 (en) * 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
SG11201401185VA (en) 2011-10-07 2014-04-28 Voltaix Inc Apparatus and method for the condensed phase production of trisilylamine
CN103748150B (zh) 2011-10-20 2016-03-30 东丽电池隔膜株式会社 多孔膜的制备方法以及该多孔膜、电池用隔膜及电池
EP2785774A1 (en) * 2011-12-02 2014-10-08 SABIC Innovative Plastics IP B.V. Coated polymer films
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US9382269B2 (en) * 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
KR101720017B1 (ko) 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
WO2016065221A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20170114465A1 (en) 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
KR102255727B1 (ko) 2016-02-26 2021-05-26 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 막의 증착을 위한 조성물, 및 이를 이용한 방법
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition

Also Published As

Publication number Publication date
US20200040013A1 (en) 2020-02-06
EP3049421A2 (en) 2016-08-03
US20220153762A1 (en) 2022-05-19
TWI657092B (zh) 2019-04-21
EP3049499B1 (en) 2020-07-22
CN105793270B (zh) 2019-09-27
JP2016536276A (ja) 2016-11-24
EP3049421B1 (en) 2020-07-01
TW201522356A (zh) 2015-06-16
KR20160071402A (ko) 2016-06-21
EP3049421A4 (en) 2017-05-03
TWI658044B (zh) 2019-05-01
SG11201602190PA (en) 2016-04-28
US11274112B2 (en) 2022-03-15
US20150094470A1 (en) 2015-04-02
US9382269B2 (en) 2016-07-05
US20180230171A1 (en) 2018-08-16
TW201522355A (zh) 2015-06-16
CN108766872B (zh) 2022-11-01
US20160215003A1 (en) 2016-07-28
KR20160062145A (ko) 2016-06-01
WO2015048237A2 (en) 2015-04-02
JP6529184B2 (ja) 2019-06-12
US9920078B2 (en) 2018-03-20
EP3049499A4 (en) 2017-05-31
CN105793270A (zh) 2016-07-20
US20160362429A1 (en) 2016-12-15
SG10201804678TA (en) 2018-07-30
CN108766872A (zh) 2018-11-06
EP3049499A1 (en) 2016-08-03
JP2016537305A (ja) 2016-12-01
CN105849221A (zh) 2016-08-10
US20180162883A1 (en) 2018-06-14
KR102291427B1 (ko) 2021-08-18
WO2015047914A1 (en) 2015-04-02
US11780859B2 (en) 2023-10-10
WO2015048237A3 (en) 2015-11-05
US10501484B2 (en) 2019-12-10
US9920077B2 (en) 2018-03-20
SG11201602301WA (en) 2016-04-28
US20160237099A1 (en) 2016-08-18
US10494387B2 (en) 2019-12-03
CN105849221B (zh) 2019-06-18
US9453035B2 (en) 2016-09-27
JP6500014B2 (ja) 2019-04-10

Similar Documents

Publication Publication Date Title
US10501484B2 (en) Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
JP6993474B2 (ja) Si含有膜形成組成物
TW201509799A (zh) 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
JP7193478B2 (ja) 高成長速度ケイ素含有膜の前駆体としての官能化シクロシラザン
KR102603851B1 (ko) 이성질체 풍부 고급 실란의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant