CN105849221A - 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物 - Google Patents

胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物 Download PDF

Info

Publication number
CN105849221A
CN105849221A CN201480064594.0A CN201480064594A CN105849221A CN 105849221 A CN105849221 A CN 105849221A CN 201480064594 A CN201480064594 A CN 201480064594A CN 105849221 A CN105849221 A CN 105849221A
Authority
CN
China
Prior art keywords
branched
straight chain
alkyl
silicyl
independently selected
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480064594.0A
Other languages
English (en)
Other versions
CN105849221B (zh
Inventor
A·桑切斯
J-M·吉拉尔
G·伊托夫
M·坎多尔沃
M·D·斯蒂芬斯
P·张
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN105849221A publication Critical patent/CN105849221A/zh
Application granted granted Critical
Publication of CN105849221B publication Critical patent/CN105849221B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/16Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of arsenic, antimony, bismuth, vanadium, niobium, tantalum, polonium, chromium, molybdenum, tungsten, manganese, technetium or rhenium
    • B01J23/24Chromium, molybdenum or tungsten
    • B01J23/26Chromium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/38Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals
    • B01J23/40Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals of the platinum group metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/38Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals
    • B01J23/40Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals of the platinum group metals
    • B01J23/46Ruthenium, rhodium, osmium or iridium
    • B01J23/462Ruthenium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/70Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the iron group metals or copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/1616Coordination complexes, e.g. organometallic complexes, immobilised on an inorganic support, e.g. ship-in-a-bottle type catalysts
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/442Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using fluidised bed process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)
  • Catalysts (AREA)

Abstract

本发明描述了不含卤素的被胺取代的三甲硅烷基胺和三‑二甲硅烷基胺化合物以及它们的制备方法,其中相应未取代的三甲硅烷基胺和胺在过渡金属催化剂的催化下进行脱氢偶联反应。这种新方法是基于Si‑H和N‑H结构部分的催化脱氢偶联以形成含Si‑N的化合物和氢气。此方法可以用过渡金属多相催化剂催化,例如负载在碳上的Ru(0)、负载在MgO上的Pd(0),以及用作均相催化剂的过渡金属有机金属络合物。含‑Si‑N的产物是不含卤素的。这些化合物可以用于通过化学气相沉积方法沉积薄膜,或通过原子层沉积方法沉积含Si膜。

Description

胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
本申请要求2013年9月27日递交的美国临时专利申请No.61/883,452的优先权。要求此美国临时专利申请的优先权益。
发明背景
在文献中公开的用于形成硅杂原子和锗杂原子键的大多数方法涉及氯硅烷和亲核物质(胺、膦等)的反应。这些反应是基于纯脱卤化氢反应,由此形成1当量的卤化氢,需要用碱除去卤化氢,形成大量的必须过滤出去的盐。此事实也将此反应的范围限制为能与碱相容的基质,导致产物被卤素例如氯和氨基卤化物污染。
硅烷化合物例如单硅烷、乙硅烷和三硅烷能用于各种应用中。在半导体领域中,硅烷化合物通常作为原料用于经由化学气相沉积(CVD)制备硅基介电膜或半导体膜,例如氮化硅、氧化硅或氧氮化硅。更具体而言,硅烷化合物可以通过与含氮的反应气体例如氨反应制备氮化硅,通过与含氧气体例如氧气反应制备氧化硅,以及与含氮气体和含氧气体反应制备氧氮化硅。
目前,通过CVD制备氮化硅膜的标准方法涉及使得氨气体或其它胺(氨基化合物)与卤代硅烷例如氯硅烷(硅烷化合物)反应;但是氯化铵或氢氯化胺通过此反应作为副产物产生。氯化铵是白色固体并且原样聚集和阻塞CVD反应装置的排气管线。氢氯化胺盐是在用于电子应用的氨基硅烷化合物中的十分不利的污染物,因为它们能与金属在CVD室中反应并使得半导体材料的电性能变差或导致形成其它类型的缺陷。另外,公知这些盐能通过解离-重组过程升华,产生HCl。氯化氢是腐蚀性气体,会损害任何在CVD室中进行的反应以及CVD室本身。来自这些或任何其它来源的活泼氯会引起这些不利影响。
所以在CVD方法中希望获得不含卤素的原料。
附图简述
图1是典型的反应器装置,其中反应器容器是配备有搅拌器的高压釜反应器,并与能提供真空和反应物的歧管连接。
图2是典型的反应器装置,其中反应器容器与冷凝器连接以允许排出氢气,并与带有过滤器的浸渍管连接以取出产物。
发明详述
开发了合成具有硅-氮键的化合物且不会形成卤素盐副产物的方法。这里要求保护和通过所述合成方法制备的所有化合物是“不含卤素的”,此术语是如本文所定义的。这种方法是基于氢气的催化脱氢反应以形成气体和氮硅键。此方法是用过渡金属催化剂催化的。催化剂可以是多相或均相的。用于仲胺的通用反应如反应式1所示。通用反应显示在反应式1中。反应式1的反应是放热的。此反应可以在溶剂中或在不存在溶剂的情况下进行。此反应可以在间歇反应器或连续流反应器中进行。当使用间歇反应器时,反应器可以连接到与冷阱相连的冷凝器(图2)。在此工艺中,所形成的氢气可以在进行反应的同时排出。压力可以通过在冷凝器和低温冷阱之间连接的背压调节阀控制。低温冷阱可以与排气管线连接,从而允许将氢气在反应期间和/或之后从体系送出。具有过滤器的浸渍管允许容易地从容器取出产物。
本说明书中使用的术语“胺”总是表示仲胺,除非另有说明。
1.(H3Si)3N+nHNR1R2+mHNR3R4+kHNR5R6=(R1R2N)n
(R3R4N)m(R5R6N)k(NSi3H(9-n–m-k))+(n+m+k+)H2
其中n=1-3;m=0-3;k=0-3;R1、R3和R5独立地选自H,直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基,R1R2N、R3R4N和R5R6N可以是环状仲胺,包括氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚,或所述环状仲胺的任何在碳上被取代的衍生物;R2、R4和R6独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基,环状仲胺,其包括氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或环状仲胺的任何在碳上被取代的衍生物。
烷基取代基的非限制性例子包括:甲基,乙基,丙基,异丙基,丁基,叔丁基,仲丁基,异丁基,戊基,新戊基,异戊基,己基,异己基。芳基取代基的非限制性例子包括:苯基,甲苯基,二甲苯基,萘基,吡啶基。
链烯基是定义为任何单价的脂族烃基CnH2n-1(例如2-丁烯基CH3CH:CHCH2-),其通过从烯烃除去一个氢原子得到。其中n=2-8。
炔基是定义为任何具有碳-碳三键且具有通式CnH2n-2的一系列开链烃。其中n=2-8。
根据胺化合物的结构和Si化合物的结构以及Si-N的摩尔比率,可以形成许多含有Si-N键的分子。这些含有Si-N键的分子可以是直链或支化的。下面各自描述直链或支化的组合方式以及合成方法。
一种具有下式的化合物:
(R1R2N)n(R3R4N)m(R5R6N)k(NSi3H(9-n–m-k));
其中n=1-3;m=0-3;k=0-3;R1、R3和R5独立地选自H,直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R2、R4和R6独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R1R2N、R3R4N和R5R6N可以是环状仲胺,其独立地选自氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物。当R1、R3或R5是H时,胺是伯胺。当R1、R3或R5是H,并且相应的R2、R4或R6独立地选自直链或支化的C3-C6烷基、直链或支化的C3-C8链烯基、直链或支化的C3-C8炔基、C6-C10芳基、直链或支化的C3-C6烷基醚、甲硅烷基、三甲基甲硅烷基、或者被直链或支化C3-C6烷基取代的甲硅烷基,环状仲胺包括氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或环状仲胺的任何在碳上被取代的衍生物,可以避免多重取代。
现有技术已经描述了在所述通式结构范围内的化合物。所有这些化合物从本发明权利要求的化合物中排除。排除以下在W.M.Scantlin和A.D.Norman Inorganic Chemistry,第11卷,第12期,3082-3084,1972中描述的化合物:[(SiH3)2N]2SiH2
一种制备下式化合物的方法:
其中n=1-3;m=0-3;k=0-3;R1、R3和R5独立地选自H,直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R2、R4和R6独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R1R2N、R3R4N和R5R6N可以是环状仲胺,其独立地选自氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物。当R1、R3或R5是H,胺是伯胺。当R1、R3或R5是H,并且相应的R2、R4或R6独立地选自直链或支化的C3-C6烷基、直链或支化的C3-C8链烯基、直链或支化的C3-C8炔基、C6-C10芳基、直链或支化的C3-C6烷基醚、甲硅烷基、三甲基甲硅烷基、或者被直链或支化C3-C6烷基取代的甲硅烷基,环状仲胺包括氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或环状仲胺的任何在碳上被取代的衍生物,可以避免多重取代;
所述方法包括:
a)使得反应物TSA和nR1R2NH和mR3R4NH和kR5R6NH在过渡金属催化剂的存在下接触以形成反应混合物;
b)任选地将溶剂加入反应混合物;
c)使得反应混合物保持在约0-250℃的温度下;
d)进行反应以形成(R1R2N)n(R3R4N)m(R5R6N)k(NSi3H(9-n–m-k));
e)从反应混合物分离(R1R2N)n(R3R4N)m(R5R6N)k(NSi3H(9-n–m-k));
其中反应混合物的温度可以在合成期间变化,并且使得反应混合物的温度保持不会低于约0℃并且不超过约250℃。
一种制备下式化合物的方法:
(R1R2N)n(R3R4N)k(R5R6N)m(NSi6H(15-n-m-k))
其中n=1-3;m=0-3;k=0-3;R1、R3和R5独立地选自H,直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R2、R4和R6独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R1R2N、R3R4N和R5R6N可以是环状仲胺,其包括氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物,
此方法包括:
a)使得反应物TDSA和nR1R2NH和mR3R4NH和kR5R6NH在过渡金属催化剂的存在下接触以形成反应混合物;
b)任选地将溶剂加入反应混合物;
c)使得反应混合物保持在约0-250℃的温度下;
d)进行反应以形成(R1R2N)n(R3R4N)k(R5R6N)m(NSi6H(15-n-m-k));
e)将产物(R1R2N)n(R3R4N)k(R5R6N)m(NSi6H(15-n-m-k))从反应混合物分离出来;
其中反应温度可以在合成期间变化,并且使得反应混合物的温度保持不会低于约0℃并且不超过约250℃。
一种制备下式化合物的方法:
其中R1、R2独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R1R2N可以是环状仲胺,其选自氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物;R3、R4和R5独立地选自H和以下基团:直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基,环状仲胺,其选自氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物,其中R选自直链或支化的C1-C6烷基、直链或支化的C1-C8链烯基、直链或支化的C1-C8炔基、C6-C10芳基、直链或支化的C1-C6烷基醚,
a)使得反应物N[(SiH2R3)(SiH2R4)(SiH2R5)和HNR1R2在过渡金属催化剂的存在下接触以形成反应混合物;
b)任选地将溶剂加入反应混合物;
c)使得反应混合物保持在约0-250℃的温度下;
d)进行反应以形成N[(SiH2R3)(SiH2R4)(SiHR5NR1R2)];
e)从反应混合物分离产物N[(SiH2R3)(SiH2R4)(SiHR5NR1R2)];
其中反应混合物的温度可以在合成期间变化,并且使得反应混合物的温度保持不会低于约0℃并且不超过约250℃。
对于R1和R2=乙基;R3,R4和R5=H的样品结构如下:
一种制备下式化合物的方法:
a)使得反应物TSA和HN(CH2CH3)2在过渡金属催化剂的存在下接触以形成反应混合物;
b)任选地将溶剂加入反应混合物;
c)使得反应混合物保持在约0-250℃的温度下;
d)进行反应以形成H8Si3N(CH2CH3)2
e)从反应混合物分离H8Si3N(CH2CH3)2
其中反应温度可以在合成期间变化,并且使得反应混合物的温度保持不会低于约0℃并且不超过约250℃。
在本文中,术语“不含氯、不含卤素、不含氨基氯和不含氨基卤素”用于定义含有小于5ppm卤素、优选小于3ppm卤素和更优选小于1ppm卤素的化合物。术语“卤素”和“卤化物”包括氟、氯、溴和碘。在本发明中获得不含卤素的产物,因为本发明的起始反应物、催化剂和任选的溶剂是不含卤素的。术语“氨基卤化物”和“氨基卤素”表示任何胺,包括、但不限于氨,以及与卤素关联的有机胺。这种关联可以是盐、络合物或化学键。术语“反应容器”和“反应器”表示相同的设备,其具有相同的含义,并可以在本文中互换使用。反应器可以是用于间歇合成的容器,或是流通式容器以促进连续合成。术语“反应混合物”表示反应物、催化剂和任选溶剂的组合物,它们进行反应以形成产物。在本文和权利要求中使用的术语“不含卤化物的”和“不含卤素的”表示来自所有来源的卤素的存在水平,例如但不限于卤离子、键接的卤素和氨基卤素。
以下方法描述氨基-三甲硅烷基胺的合成,包括:
a)使得胺和TSA反应物在过渡金属催化剂的存在下接触以形成反应混合物;
b)任选地将溶剂加入反应混合物;
c)使得反应混合物保持在约0-250℃的温度下;
d)进行反应以形成产物;
e)从反应混合物分离产物。
本文使用的术语“保持在…的温度下”表示按照需要加热或冷却以获得在规定的最小和最大温度范围内的温度。向反应容器添加胺和硅烷的顺序可以是先加入胺,或者先加入硅烷。当原料是不含卤素的,产物也将是不含卤素和不含氨基卤素的。
适用于本发明的多相催化剂包括过渡金属催化剂和稀土元素。催化剂是选自下组:Sc,Ti,V,Cr,Mn,Fe,Co,Ni,Cu,Zn,Y,Zr,Nb,Mo,Tc,Ru,Rh,Pd,Ag,Cd,La,Hf,Ta,W,Re,Os,Ir,Pt,Au,Hg,Yb和U。优选的催化剂是选自Ru,Pd,Rh,Ir,Fe,Ni,Pt,Cr,Cu和Au。更优选的催化剂是选自Rh,Pd,Ru和Pt。最优选的催化剂是Ru和负载于碳上的Ru。另外优选的催化剂是负载于MgO上的Pd。
本发明的催化剂优选固定在载体上。载体是具有高表面积的固体。典型的载体材料包括、但不限于:氧化铝,MgO,沸石,碳,整料堇青石,硅藻土,硅胶,氧化硅/氧化铝,ZrO和TiO2。优选的载体是碳、氧化铝、氧化硅和MgO。更优选的载体是碳。载体的BET表面积是在约1-3000m2/g的范围内。优选的范围是约100-2000m2/g。催化剂的金属载荷范围是约0.01-50重量%。优选的范围是约0.5-20重量%。更优选的范围是约0.5-10重量%。催化剂可以通过多种公知的方法活化。在真空下加热催化剂是优选的方法。催化剂可以在加入反应容器之前进行活化,或者在加入反应物之前在反应容器中进行活化。
催化剂可以包含助催化剂。助催化剂是这样的物质,它们本身不是催化剂,但是当少量与活性催化剂混合时能提高催化剂的效率(活性和/或选择性)。助催化剂通常是金属,例如Mn、Ce、Mo、Li、Re、Ga、Cu、Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu和Au,和/或它们的氧化物。它们可以单独地加入反应器容器中,或者它们可以是催化剂本身的一部分。例如,Ru/Mn/C(碳负载的钌,用锰助催化)或Pt/CeO2/Ir/SiO2(负载于二氧化硅上的铂,用氧化铈和铱助催化)。一些助催化剂可以本身用作催化剂,但是它们与主催化剂组合使用能改进主催化剂的活性。催化剂可以作为助催化剂用于其它催化剂。在这方面,催化剂可以称为双金属(或多金属)催化剂。例如,Ru/Rh/C可以称为碳负载的钌和铑双金属催化剂,或用铑助催化的碳负载钌。活性催化剂是能在特定化学反应中用作催化剂的物质。
催化剂可以需要活化,这通常在真空中或者在惰性气体或还原气体例如氢气或氦气中和在升高的温度下进行。通常,催化剂在约125℃和约-14psig(约1托)下进行活化。这里所用的动态真空表示约1托的真空。活化条件将根据所选择的催化剂而变化。用于活化各种催化剂的条件是本领域公知的。已活化的催化剂可以储存待用。
当在本发明中使用溶剂时,选择对反应物不具有反应性的溶剂。溶剂是无水的,并且不会钝化催化剂(中毒)。这些溶剂的非限制性例子包括:链烷,例如直链、支化或环状的C5-C20链烷以及它们的混合物;链烯,例如1-十八碳烯、环辛二烯和环己烯;氯代烷,例如二氯甲烷和二氯乙烷;芳烃,例如甲苯、二甲苯、1,3,5-三甲基苯和萘,以及杂环化合物,例如喹啉和吡啶,以及它们的混合物。优选的溶剂是正十八烷。优选,应当选择溶剂以使得其沸点与产物化合物的沸点相差约10℃。
用于本发明中的惰性气体在反应条件下不具有反应性。惰性气体的非限制性例子包括:氦气、氩气和氮气。优选的气体是氦气。
配备机械搅拌的帕尔(Parr)高压釜是合适的反应容器。对于合成单取代的三甲硅烷基胺和单取代的三-二甲硅烷基胺(tridisilylamine)而言,在反应开始时TSA或TDSA与胺之间的摩尔比率是在约0.5-5的范围内,优选约1-3。对于多取代的TSA和多取代的TDSA,在反应开始时TSA或TDSA与胺之间的摩尔比率是在约0.1-0.9的范围内。
术语“低温冷阱处理”表示在低温冷阱中冷凝气态物质。
实施例1-在加压反应器中通过三甲硅烷基胺(TSA)和二乙基胺在商购的负载于碳上的钌催化剂存在下反应合成二乙基氨基甲硅烷基-双-二甲硅烷基胺:
在配备机械搅拌器、热电偶、压力表、压力转换器和3个计量阀的0.3L高压釜中加入5.3g(0.0025mmol的钌)的负载于碳上的5重量%钌催化剂。然后将反应器在动态真空下于约125℃加热3小时。这里所述的动态真空表示约1托(Torr)的真空。在冷却到室温后,将14.8g(0.202mol)的二乙基胺加入反应器,然后在液氮浴中冷却到约-130℃。将40g(0.372mol)的三甲硅烷基胺转移到反应器中。然后将反应器逐步加热到约100℃。在约400rpm下搅拌65分钟后,压力增加约300psi。压力的增加是与氢气(和产物)的形成量成比例的,所以其将根据反应规模而变化。当压力停止增加时,此反应完成。可以希望在完全反应之前停止反应。将反应器冷却到室温(“RT”)。在SSLB中在液氮温度下在低温冷阱中收集挥发物。反应器压力降低到50托。
从反应容器回收1-二乙基氨基甲硅烷基-双-二甲硅烷基胺。
所得的溶液含有30%(11.3g)的二乙基氨基甲硅烷基-双-二甲硅烷基胺。未分离的产率是30%。
结构:二乙基氨基甲硅烷基-双-二甲硅烷基胺:
TSA胺化合物的通用合成:
a)胺取代的TSA化合物是在加压反应器中通过三甲硅烷基胺(TSA)和胺在过渡金属催化剂的催化下合成的:在配备机械搅拌器、热电偶、压力表和压力转换器以及3个计量阀的高压釜或相似加压反应器容器中加入过渡金属催化剂。然后将反应器在动态真空下于约125℃加热3小时以活化催化剂。催化剂可以在加入反应容器之前或在加入反应物之前在反应容器中进行活化。如果催化剂在加入反应容器之前活化,则可以省略在动态真空下于约125℃加热3小时以活化催化剂的预先加热步骤。在冷却到室温之后,将胺加入反应器,然后在液氮浴中冷却到-130℃。将合适量的三甲硅烷基胺转移到反应器。使得反应器温度保持不低于0℃且不超过250℃。在搅拌约5分钟至约2天的情况下,反应器压力增加直到反应完全。压力的增加是与氢气(和产物)的形成量成比例的。所以,反应器压力将根据反应规模、每种反应物的量、反应和反应器尺寸而变化。当压力停止增加时,此反应完成。在反应完成之后,将反应器冷却到RT。在SSLB中在液氮温度下在低温冷阱中收集挥发物,并且反应器压力降低到约40-100托。
为了制备单取代的TSA/胺化合物,TSA/胺的摩尔比率是约0.5-5,更优选是约1-3。为了制备多取代的TSA/胺化合物,TSA/胺的摩尔比率是约0.1-0.9。此比率越低,将出现在TSA化合物上胺取代程度就越大。
优选用于合成TSA/胺化合物的催化剂是选自Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu和Au。最优选的催化剂是钌。
TDSA胺化合物的通用合成:
a)胺取代的TDSA化合物是在加压反应器中通过三-二甲硅烷基胺(TDSA)和胺在过渡金属催化剂的催化下合成的:在配备机械搅拌器、热电偶、压力表和压力转换器以及3个计量阀的高压釜或相似加压反应器容器中加入过渡金属催化剂。然后将反应器在动态真空下于约125℃加热3小时以活化催化剂。催化剂可以在加入反应容器之前或在加入反应物之前在反应容器中进行活化。如果催化剂在加入反应容器之前活化,则可以省略在动态真空下于约125℃加热3小时以活化催化剂的预先加热步骤。在冷却到室温之后,将胺加入反应器,然后在液氮浴中冷却到-130℃。将合适量的三甲硅烷基胺转移到反应器。使得反应器温度保持不低于0℃且不超过250℃。在搅拌约5分钟至约2天的情况下,反应器压力增加直到反应完全。压力的增加是与氢气(和产物)的形成量成比例的。所以,反应器压力将根据反应规模、每种反应物的量、反应和反应器尺寸而变化。当压力停止增加时,此反应完成。在反应完成之后,将反应器冷却到RT。在SSLB中在液氮温度下在低温冷阱中收集挥发物,并且反应器压力降低到约40-100托。
为了制备单取代的TDSA/胺化合物,TDSA/胺的摩尔比率是约0.5-5,更优选是约1-3。为了制备多取代的TDSA/胺化合物,TDSA/胺的摩尔比率是约0.1-0.9。此比率越低,将出现在TDSA化合物上的胺取代程度就越大。
优选用于合成TDSA/胺化合物的催化剂是选自Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu和Au。最优选的催化剂是钌。
术语“未分离的产率”表示此产率是通过称量反应粗产物并通过其色谱估算产物量检测的。术语“经分离的产率”表示产物经过提纯并称重,百分比产率是通过占理论值的重量百分比表示的。
本发明的氨基三甲硅烷基胺和氨基三-二甲硅烷基胺作为前体用于气相沉积方法。本文公开了使用本发明前体进行气相沉积方法的方法。本发明方法提供了所述前体用于沉积含有硅的膜的用途。本发明方法可以用于生产半导体、光伏电池、LCD-TFT或平板型装置。此方法包括:将本发明前体的蒸气引入其中放置至少一个基材的反应器中,并且采用气相沉积方法将至少一部分本发明前体沉积到基材上以形成含Si的层。
本发明方法也提供使用气相沉积方法在基材上形成含双金属的层,更尤其用于沉积SiMNx和SiMOx膜,其中x是0-4,和SiMOxNy膜,其中x+y是0-4,并且M是选自以下的金属:Ta,Hf,Zr,Ti,Ni,Mn,Ge,B,Nb,Mg,Al,Sr,Y,Ba,Ca,As,Sb,Bi,Sn,Pb,Co,镧系元素(例如Er),或它们的组合。一般SiMOx、SiMOx或SiMOxNy的技术包括各种相应浓度的Si和M,并且Si/(Si+M)是约5-95%。
本发明的在基材上形成含硅层的方法可以用于生产半导体、光伏电池、LCD-TFT或平板型装置。本发明的前体可以使用本领域公知的任何气相沉积方法沉积含有Si的膜。合适的气相沉积方法的例子包括化学气相沉积(CVD)或原子层沉积(ALD)。示例性的CVD方法包括热CVD,等离子体改进CVD(PECVD),脉冲CVD(PCVD),低压CVD(LPCVD),低于大气压CVD(SACVD)或大气压CVD(APCVD),热导线CVD(HWCVD,也称为cat-CVD,其中热导线用作沉积工艺的能源,远程等离子体CVD(RP-CVD),UV辅助型CVD,可流动的CVD(FCVD)),自由基引入型CVD,以及它们的组合。示例性的ALD方法包括热ALD,等离子体改进的ALD(PEALD),空间分离ALD,热导线ALD(HWALD),自由基引入型ALD,UV辅助型ALD,以及它们的组合。也可以使用超临界流体沉积。本发明方法也可以用于可流动性PECVD沉积方法中,参见美国专利公开No.2014/0051264和AppliedMaterials,Inc.,将它们的内容引入本文供参考。沉积方法优选是ALD,空间ALD,PE-ALD,或可流动性CVD(F-CVD)。
将前体的蒸气引入装有至少一个基材的反应室中。在反应室中的温度和压力以及基材的温度保持在适合至少一部分前体气相沉积到基材上的条件下。换句话说,在将气化的前体引入反应室之后,在反应室内的条件使得至少一部分的气化前体沉积到基材上以形成含硅的膜。也可以使用共反应剂以帮助形成含Si的层。共反应剂可以与前体同时引入或分开地依次引入,并选自O2,O3,O自由基和离子,NO,N2O,H2O,H2O2,CO2,CO,羧酸,福尔马林,醇,二醇,NH3,肼(取代或未取代的,例如UDMH,叔丁基肼),胺(例如DMA,TMA,DEA,TEA,TB,NH2),二胺,N自由基和离子,H2,以及它们的混合物。
反应室可以是任何装置的用于发生沉积的闭合空间或小室,例如但不限于平行板式反应器,冷壁型反应器,热壁型反应器,单晶片式反应器,多晶片式反应器,或其它这些类型的沉积系统,例如空间ALD室、辊-辊ALD室。所有这些示例的反应室能用作ALD反应室。反应室可以保持在约1毫托至约760托的压力下。另外,反应室内的温度可以是约20-600℃。本领域技术人员能理解,温度可以通过经验优化以达到所需效果。
反应器的温度可以通过控制基材夹具的温度、控制反应器壁的温度、或控制基材本身的温度来控制。用于加热基材的装置是本领域公知的。反应器壁被加热到足够的温度以在足够的生长速率和所需的物理状态和组成下获得所需的膜。反应器壁的加热温度的非限制性示例范围包括约20-600℃。当使用等离子体沉积方法时,沉积温度可以是约20-550℃。或者,当进行加热方法时,沉积温度可以是约200-600℃。
或者,可以将基材加热到足够的温度以在足够的生长速率和所需的物理状态和组成下获得所需的膜。基材加热温度的非限制性示例范围包括150-600℃。优选,基材的温度保持低于或等于500℃。
用于沉积含硅膜的基材的类型将根据最终用途而变化。基材通常定义为在其上进行此工艺的材料。基材可以是任何适合用于半导体、光伏电池、平板或LCD-TFT装置制造中的基材。合适的基材的例子包括晶片,例如硅、二氧化硅、玻璃、Ge或GaAs晶片。晶片上可以具有在先前制造步骤中沉积的不同材料的一层或多层。例如,晶片可以包括硅层(结晶,无定形,多孔的,等等),氧化硅层,氮化硅层,氧氮化硅层,碳掺杂的氧化硅(SiCOH)层,多孔的碳掺杂氧化硅层,碳氮化硅,氢化碳化硅,或它们的组合。另外,晶片可以包括铜层、钨层或金属层(例如铂、钯、镍、铑、金、钴、锗、锑、铽、锡、钌和它们的合金)。晶片可以包括阻隔层,例如锰,氧化锰,Ta、W、Ti、V、Zr、Hg、Nb、Mo、Mn和Ru的氮化物。氮化物可以是碳掺杂的氮化物。也可以使用塑料层,例如(3,4-亚乙基二氧基噻吩)聚(苯乙烯磺酸盐)[PEDOT:PSS]。膜可以沉积在有机膜上,例如光蚀刻层、无定形碳层或聚酰亚胺膜。这些层可以是平面或图形化的。在一些实施方案中,基材可以包括氧化物层,其作为介电材料用于MIM、DRAM、RERAM、相变RAM或FeRam技术(例如Zr,Hg,Ti,Nb,Mo,Al,Ta,镧系元素,稀土元素,和它们的混合三元或二元氧化物)中,或来自基于氮化物的膜(例如TaN),这种膜用作在铜和低-k层之间的粘合阻隔剂。本发明方法可以在晶片上直接沉积含硅层,或在晶片顶部上的一层或多于一层(当带图案的层形成基材时)上直接沉积含硅层。此外,本领域技术人员将理解,本文所用的术语“膜”或“层”表示在表面上铺展或排布的一定厚度的材料,并且此表面可以具有3D图案或微结构,例如孔和沟槽或线。沉积可以对于基材上的特定区域具有选择性,或对于特定的暴露材料具有选择性。例如,可以在被自校准单层(“SAM”)覆盖的基材的特定部分上抑制生长。在本说明书和权利要求中,晶片和在其上的任何相关层称为基材。
本发明的前体可以以纯形式或与合适溶剂的混合物形式提供,溶剂例如是甲苯、乙基苯、二甲苯、1,3,5-三甲基苯、癸烷、十二烷、辛烷、己烷、戊烷、叔胺、四氢呋喃、乙基甲基酮、十氢化萘等。本发明的前体可以以各种浓度存在于溶剂中。例如,所得的浓度可以在约0.05-2M的范围内。
将纯前体或混合的前体以蒸气形式通过常规装置引入反应器中,例如管道和/或流量计。蒸气形式的前体可以通过将纯前体或混合的前体溶液经由常规蒸发步骤蒸发制备,例如鼓泡、蒸气抽取,或通过使用升华器,例如参见Xu等的PCT出版物WO2009/087609。纯前体或混合的前体可以以液态加入蒸发器中,在这里在被引入反应器(直接液体注射)之前进行蒸发。若存在的话,载气可以包括但不限于Ar,He,N2,或H2,以及它们的混合物。载气和前体然后作为蒸气被引入反应器中。
如果必要的话,可以将容器加热到允许前体处于其液相或固相并具有充足蒸气压力的温度。容器可以保持在例如0-150℃范围内的温度。本领域技术人员能够理解,容器的温度可以按照公知方式调节以控制被蒸发的前体的蒸气压和在加工室内的浓度。
通过气相沉积方法获得的膜可以通过各种方法进一步处理,例如退火、反应性退火、UV固化、电子束固化和径向退火。膜的组成和结构可以受此步骤的显著影响。
应当理解的是,本领域技术人员可以在本发明权利要求表述的原则和范围内对于本文公开的示例性描述和说明在细节、材料、步骤和部件排布方面实施许多其它变化。因此,本发明不限于在以上实施例和/或附图中给出的具体实施方案。
虽然以上描述了许多细节,但是这些并不限制本发明范围,而是仅仅提供对于本发明一些优选实施方案的说明。在此范围内可以有各种其它实施方案和分支。应当理解的是,本领域技术人员可以在本发明权利要求表述的原则和范围内对于本文公开的示例性描述和说明在细节、材料、步骤和部件排布方面实施许多其它变化。

Claims (17)

1.一种具有下式的化合物:
其中n=1-3;m=0-3;k=0-3;R1、R3和R5独立地选自H,直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或被直链或支化C1-C6烷基取代的甲硅烷基;R2、R4和R6独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或被直链或支化C1-C6烷基取代的甲硅烷基;R1R2N、R3R4N和R5R6NR1R2N、R3R4N和R5R6N可以是独立地选自以下的环状仲胺:氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物,并且不包括具有式C18H48N4Si3、C15H41N3Si3、C6H21NSi3和C7H23NSi3的化合物,所述化合物不包括公知化合物:[(SiH3)2N]2SiH2
2.具有下式的化合物:
其中n=1-3;m=0-3;k=0-3;R1、R3和R5独立地选自H,直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R2、R4和R6独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R1R2N、R3R4N和R5R6N R1R2N、R3R4N和R5R6N可以是独立地选自以下的环状仲胺:氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物。
3.具有下式的化合物:
其中,R1、R2独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R3、R4和R5独立地选自H,直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化的C1-C6烷基取代的甲硅烷基,选自氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚的环状仲胺或所述环状仲胺的任何在碳上被取代的衍生物,R1R2N可以是选自以下的环状仲胺:氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物,其中R选自直链或支化的C1-C6烷基、直链或支化的C1-C8链烯基、直链或支化的C1-C8炔基、C6-C10芳基、直链或支化的C1-C6烷基醚。
4.权利要求3的化合物,其具有下式:
其中R1和R2=乙基;R3、R4和R5=H。
5.权利要求3的化合物,其中R1、R2独立地选自H,甲基,乙基,异丙基和叔丁基;或NR1R2是具有3-8个碳原子的环状饱和或不饱和的环状胺,当R1是H时,R2不是甲基或乙基。
6.权利要求3的化合物,其中R1、R2是乙基。
7.一种制备根据权利要求1的化合物的方法,包括:
a)使得反应物TSA和(R1R2NH)n和(R3R4NH)m和(R5R6NH)k在过渡金属催化剂的存在下接触以形成反应混合物;
b)任选地将溶剂加入反应混合物;
c)使得反应混合物保持在约0-250℃的温度下;
d)进行反应以形成(R1R2N)n(R3R4N)m(R5R6N)k(NSi3H(9-n–m-k));
e)从反应混合物分离(R1R2N)n(R3R4N)m(R5R6N)k(NSi3H(9-n–m-k));
其中反应混合物的温度可以在合成期间变化,并且使得反应混合物的温度保持不会低于约0℃且不超过约250℃。
8.权利要求5的方法,其中催化剂选自Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu和Au。
9.一种制备根据权利要求2的化合物的方法,包括:
a)使得反应物TDSA和(R1R2NH)n和(R3R4NH)m和(R5R6NH)k在过渡金属催化剂的存在下接触以形成反应混合物;
b)任选地将溶剂加入反应混合物;
c)使得反应混合物保持在约0-250℃的温度下;
d)进行反应以形成(R1R2N)n(R3R4N)k(R5R6N)m(Nsi6H8H(3-k)H(3-m)
e)将产物(R1R2N)n(R3R4N)k(R5R6N)m(NSi3H8H(3-k)H(3-m)从反应混合物分离;
其中反应温度可以在合成期间变化,并且使得反应混合物的温度保持不会低于约0℃且不超过约250℃。
10.权利要求7的方法,其中催化剂选自Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu和Au。
11.一种制备根据权利要求3的化合物的方法,包括:
a)使得反应物N[(SiH2R3)(SiH2R4)(SiH2R5)]和HNR1R2在过渡金属催化剂的存在下接触以形成反应混合物;
b)任选地将溶剂加入反应混合物;
c)使得反应混合物保持在约0-250℃的温度下;
d)进行反应以形成N[(SiH2R3)(SiH2R4)(SiHR5NR1R2)];
e)从反应混合物分离产物N[(SiH2R3)(SiH2R4)(SiHR5NR1R2)];
其中反应混合物的温度可以在合成期间变化,并且使得反应混合物的温度保持不会低于约0℃且不超过约250℃。
12.权利要求9的方法,其中催化剂选自Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu和Au。
13.不含卤素的具有下式的化合物:
其中n=1-3;m=0-3;k=0-3;R1、R3和R5独立地选自H,直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R2、R4和R6独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R1R2N、R3R4N和R5R6N R1R2N、R3R4N和R5R6N可以是独立地选自以下的环状仲胺:氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物,其中卤素的可检测量小于5ppm,并且不包括具有式C18H48N4Si3、C15H41N3Si3、C6H21NSi3和C7H23NSi3的化合物,其中卤素的可检测量小于5ppm。
14.不含卤素的具有下式的化合物:
其中n=1-3;m=0-3;k=0-3;R1、R3和R5独立地选自H,直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R2、R4和R6独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R1R2N、R3R4N和R5R6N R1R2N、R3R4N和R5R6N可以是独立地选自以下的环状仲胺:氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物,和其中卤素的可检测量小于5ppm。
15.不含卤素的具有下式的化合物:
其中R1、R2独立地选自直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;R1R2N可以是选自以下的环状仲胺:氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或所述环状仲胺的任何在碳上被取代的衍生物;R3、R4和R5独立地选自H和以下基团:直链或支化的C1-C6烷基,直链或支化的C1-C8链烯基,直链或支化的C1-C8炔基,C6-C10芳基,直链或支化的C1-C6烷基醚,甲硅烷基,三甲基甲硅烷基,或者被直链或支化C1-C6烷基取代的甲硅烷基;环状仲胺,其选自氮丙啶、氮杂环丁烷、哌啶、吡咯烷、吡咯、咪唑、吡唑、吲哚或环状仲胺的任何在碳上被取代的衍生物;其中卤素的可检测量小于5ppm。
16.一种通过气相沉积方式沉积含硅薄膜的方法,其中前体化合物是选自权利要求1、2、3和4的化合物以及[(SiH3)2N]2SiH2
17.权利要求14的方法,其中气相沉积方法是选自ALD、PEALD或FCVD。
CN201480064594.0A 2013-09-27 2014-09-19 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物 Active CN105849221B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361883452P 2013-09-27 2013-09-27
US61/883,452 2013-09-27
PCT/US2014/056618 WO2015047914A1 (en) 2013-09-27 2014-09-19 Amine substituted trisilylamine and tridisilylamine compounds

Publications (2)

Publication Number Publication Date
CN105849221A true CN105849221A (zh) 2016-08-10
CN105849221B CN105849221B (zh) 2019-06-18

Family

ID=52740773

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201480064594.0A Active CN105849221B (zh) 2013-09-27 2014-09-19 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
CN201480053247.8A Active CN105793270B (zh) 2013-09-27 2014-09-25 通过催化脱氢偶联以无卤素方式合成氨基硅烷的方法
CN201810781647.0A Active CN108766872B (zh) 2013-09-27 2014-09-25 通过催化脱氢偶联以无卤素方式合成氨基硅烷的方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201480053247.8A Active CN105793270B (zh) 2013-09-27 2014-09-25 通过催化脱氢偶联以无卤素方式合成氨基硅烷的方法
CN201810781647.0A Active CN108766872B (zh) 2013-09-27 2014-09-25 通过催化脱氢偶联以无卤素方式合成氨基硅烷的方法

Country Status (8)

Country Link
US (8) US9920077B2 (zh)
EP (2) EP3049499B1 (zh)
JP (2) JP6500014B2 (zh)
KR (2) KR102326396B1 (zh)
CN (3) CN105849221B (zh)
SG (3) SG11201602301WA (zh)
TW (2) TWI657092B (zh)
WO (2) WO2015047914A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791586B (zh) * 2017-08-09 2023-02-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 含Ge之Co膜形成材料、含Ge之Co膜及其成膜方法
TWI797640B (zh) * 2020-06-18 2023-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 基於矽之自組裝單層組成物及使用該組成物之表面製備

Families Citing this family (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6500014B2 (ja) * 2013-09-27 2019-04-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 蒸着用前駆体およびその作製方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101956587B1 (ko) * 2014-05-30 2019-03-11 다우 실리콘즈 코포레이션 다이아미노실란 화합물
WO2015184201A1 (en) * 2014-05-30 2015-12-03 Dow Corning Corporation Monoaminosilane compounds
KR102461078B1 (ko) 2014-10-02 2022-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG10202000545RA (en) * 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20180095561A (ko) * 2015-12-18 2018-08-27 다우 실리콘즈 코포레이션 오가노아미노실란의 제조 방법 및 오가노아미노실란으로부터 실릴아민을 제조하는 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI724141B (zh) * 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
EP3562829B1 (en) * 2016-12-27 2021-11-03 Nata Semiconductor Materials Co., Ltd. Catalysis of dehydrocoupling reactions between amines and silanes
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI784022B (zh) 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11739220B2 (en) 2018-02-21 2023-08-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Perhydropolysilazane compositions and methods for forming oxide films using same
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11874276B2 (en) 2018-04-05 2024-01-16 Dana-Farber Cancer Institute, Inc. STING levels as a biomarker for cancer immunotherapy
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
CN112041323B (zh) * 2018-05-23 2024-01-05 美国陶氏有机硅公司 制备有机氨基硅烷的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11273432B2 (en) 2018-05-31 2022-03-15 Arizona Board Of Regents On Behalf Of Arizona State University Beta-diketiminate manganese catalysts for hydrosilylation, hydroboration, and dehydrogenative pnictogen-silicon and pnictogen-boron bond formation
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109739070B (zh) * 2019-03-07 2021-11-30 中山职业技术学院 一种高分辨率高透光度半导体用3d打印式正性光刻胶
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) * 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
WO2021041532A1 (en) 2019-08-26 2021-03-04 Dana-Farber Cancer Institute, Inc. Use of heparin to promote type 1 interferon signaling
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021171466A1 (ja) * 2020-02-27 2021-09-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1685486A (zh) * 2002-09-25 2005-10-19 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 由热化学气相沉积制造氮化硅薄膜和氮氧化硅薄膜的方法
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
CN101203945A (zh) * 2005-06-21 2008-06-18 乔治洛德方法研究和开发液化空气有限公司 形成高介电常数薄膜的方法以及形成半导体器件的方法
US20090291872A1 (en) * 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic Liquids and Methods For Using the Same
CN103225071A (zh) * 2012-01-20 2013-07-31 诺发系统公司 用于沉积无氯保形SiN 膜的方法
CN104250258A (zh) * 2013-06-26 2014-12-31 气体产品与化学公司 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2907785A (en) 1957-10-07 1959-10-06 Du Pont Organic compounds of silicon and phosphorus and their preparation
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
GB1006803A (en) 1963-05-10 1965-10-06 Standard Telephones Cables Ltd Improvements in or relating to semiconductor devices
US3532728A (en) 1965-01-27 1970-10-06 Monsanto Co Process for preparing high temperature resistant 1,3 - diaza-2-sila-cycloalkane derivatives
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
EP0264104B1 (en) 1986-10-14 1995-12-27 Minolta Co., Ltd. Electrophotographic photosensitive member having an overcoat layer
JPH0211587A (ja) * 1988-06-29 1990-01-16 Shin Etsu Chem Co Ltd シリル化方法
US5211888A (en) 1991-07-26 1993-05-18 Fmc Corporation Catalyzed hydrocarbyllithium process
JP2551901B2 (ja) 1991-07-26 1996-11-06 エフ エム シー コーポレーション 接触アルキル化方法
US5340507A (en) 1991-07-26 1994-08-23 Fmc Corporation Catalyzed hydrocarbyllithium process
EP0551771B1 (en) 1992-01-08 1997-07-30 Nippon Oil Co. Ltd. Process for producing polysilanes
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
FR2708924B1 (fr) 1993-08-12 1995-10-20 Saint Gobain Vitrage Int Procédé de dépôt d'une couche de nitrure métallique sur un substrat transparent.
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5663398A (en) 1996-05-17 1997-09-02 Fmc Corporation Processes for preparing functionalized alkyllithium compounds
WO1998010463A1 (en) * 1996-09-05 1998-03-12 Regents Of The University Of Michigan Germanes and doping with germanes
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
AU3964199A (en) 1998-04-07 1999-10-25 Euv Limited Liability Corporation Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6645884B1 (en) 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
US7049308B2 (en) 2000-10-26 2006-05-23 Duke University C-nitroso compounds and use thereof
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
WO2003084971A1 (en) 2002-04-04 2003-10-16 Degussa Ag Bisphosphines as bidentate ligands
KR100464649B1 (ko) * 2002-04-23 2005-01-03 주식회사 하이닉스반도체 이중 유전막 구조를 가진 반도체소자의 캐패시터 및 그제조방법
US7091159B2 (en) * 2002-09-06 2006-08-15 Halliburton Energy Services, Inc. Compositions for and methods of stabilizing subterranean formations containing clays
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
JP2004179196A (ja) * 2002-11-22 2004-06-24 L'air Liquide Sa Pour L'etude & L'exploitation Des Procedes Georges Claude 化学気相成長法によるシリコン窒化物系絶縁膜の製造方法および製造装置
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4265409B2 (ja) 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
TW200526804A (en) 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20070049766A1 (en) * 2005-06-06 2007-03-01 Belot John A Synthesis of tetrakis(dialkylamino)silanes
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US8501762B2 (en) 2005-07-08 2013-08-06 Shanghai Institute Of Materia Medica, Chinese Academy Of Sciences Tetrahydroprotoberberine compounds, the synthetic method and the use thereof
KR20080028963A (ko) 2005-07-08 2008-04-02 에비자 테크놀로지, 인크. 실리콘 함유 필름의 증착 방법
JP5888831B2 (ja) 2005-10-05 2016-03-22 シン フィルム エレクトロニクス エーエスエー 架橋済みポリマー及びその製造方法
DE602006019499D1 (de) 2006-04-03 2011-02-17 Air Liquide Eine pentakis(dimethylamino)disilanvorstufe enthaltende verbindung, und verfahren zu deren herstellung
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
KR20120118060A (ko) 2006-11-02 2012-10-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7605092B2 (en) 2007-06-29 2009-10-20 Silicon Storage Technology, Inc. Passive elements, articles, packages, semiconductor composites, and methods of manufacturing same
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US20090162973A1 (en) 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
JP2011521778A (ja) 2008-05-21 2011-07-28 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイト イオン液体およびその使用方法
WO2010055423A2 (en) 2008-05-29 2010-05-20 L'air Liquide - Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
KR101120065B1 (ko) * 2009-01-08 2012-03-23 솔브레인 주식회사 신규의 아미딘 유도체를 가지는 게르마늄 화합물 및 이의 제조 방법
EP2437880B1 (en) 2009-06-04 2018-09-12 Air Liquide Advanced Materials LLC Method for the production of silylamines
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US9315896B2 (en) 2009-10-26 2016-04-19 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of group VA element containing thin films
EP2363512A1 (en) * 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
KR101970850B1 (ko) 2011-10-07 2019-04-19 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 트리실릴아민의 응축상 제조 장치 및 방법
JP6044545B2 (ja) 2011-10-20 2016-12-14 東レバッテリーセパレータフィルム株式会社 多孔質膜の製造方法及びその多孔質膜、電池用セパレーター及び電池
US20130143018A1 (en) * 2011-12-02 2013-06-06 Sabic Innovative Plastics Ip B.V. Coated Polymer Films
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
JP6500014B2 (ja) * 2013-09-27 2019-04-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 蒸着用前駆体およびその作製方法
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
KR101720017B1 (ko) 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
SG10202000545RA (en) 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP6929279B2 (ja) 2015-10-22 2021-09-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated SiOおよびSiNを含む流動性膜を堆積させる方法
CN109072426B (zh) 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1685486A (zh) * 2002-09-25 2005-10-19 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 由热化学气相沉积制造氮化硅薄膜和氮氧化硅薄膜的方法
CN101203945A (zh) * 2005-06-21 2008-06-18 乔治洛德方法研究和开发液化空气有限公司 形成高介电常数薄膜的方法以及形成半导体器件的方法
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20090291872A1 (en) * 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic Liquids and Methods For Using the Same
CN103225071A (zh) * 2012-01-20 2013-07-31 诺发系统公司 用于沉积无氯保形SiN 膜的方法
CN104250258A (zh) * 2013-06-26 2014-12-31 气体产品与化学公司 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791586B (zh) * 2017-08-09 2023-02-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 含Ge之Co膜形成材料、含Ge之Co膜及其成膜方法
TWI797640B (zh) * 2020-06-18 2023-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 基於矽之自組裝單層組成物及使用該組成物之表面製備

Also Published As

Publication number Publication date
JP2016536276A (ja) 2016-11-24
KR102291427B1 (ko) 2021-08-18
US20160362429A1 (en) 2016-12-15
WO2015048237A2 (en) 2015-04-02
US10494387B2 (en) 2019-12-03
SG10201804678TA (en) 2018-07-30
CN108766872A (zh) 2018-11-06
WO2015047914A1 (en) 2015-04-02
WO2015048237A3 (en) 2015-11-05
KR102326396B1 (ko) 2021-11-12
TW201522355A (zh) 2015-06-16
US20220153762A1 (en) 2022-05-19
EP3049421A2 (en) 2016-08-03
TWI657092B (zh) 2019-04-21
US20180162883A1 (en) 2018-06-14
KR20160071402A (ko) 2016-06-21
CN105849221B (zh) 2019-06-18
TW201522356A (zh) 2015-06-16
US9453035B2 (en) 2016-09-27
US20150094470A1 (en) 2015-04-02
EP3049421B1 (en) 2020-07-01
SG11201602301WA (en) 2016-04-28
JP2016537305A (ja) 2016-12-01
EP3049499A1 (en) 2016-08-03
US11780859B2 (en) 2023-10-10
CN108766872B (zh) 2022-11-01
US9920077B2 (en) 2018-03-20
US20160237099A1 (en) 2016-08-18
US9920078B2 (en) 2018-03-20
JP6529184B2 (ja) 2019-06-12
CN105793270B (zh) 2019-09-27
US20160215003A1 (en) 2016-07-28
US11274112B2 (en) 2022-03-15
US9382269B2 (en) 2016-07-05
KR20160062145A (ko) 2016-06-01
SG11201602190PA (en) 2016-04-28
US10501484B2 (en) 2019-12-10
TWI658044B (zh) 2019-05-01
EP3049421A4 (en) 2017-05-03
EP3049499A4 (en) 2017-05-31
US20200040013A1 (en) 2020-02-06
EP3049499B1 (en) 2020-07-22
CN105793270A (zh) 2016-07-20
US20180230171A1 (en) 2018-08-16
JP6500014B2 (ja) 2019-04-10

Similar Documents

Publication Publication Date Title
CN105849221B (zh) 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
CN108395450A (zh) 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷
TW201509799A (zh) 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
TW201331215A (zh) 烷氧基胺基矽烷化合物及其應用
KR102603851B1 (ko) 이성질체 풍부 고급 실란의 제조 방법
CN108431295A (zh) 形成含钴膜的组合物、其合成、以及在膜沉积中的用途
CN115584491A (zh) 用于沉积含硅膜的有机氨基聚硅氧烷
KR20140075024A (ko) 알칼리 금속 디아자부타디엔 화합물 및 알칼리 금속-함유 필름 침착을 위한 그의 용도

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant