CN103225071A - 用于沉积无氯保形SiN 膜的方法 - Google Patents

用于沉积无氯保形SiN 膜的方法 Download PDF

Info

Publication number
CN103225071A
CN103225071A CN2013100214608A CN201310021460A CN103225071A CN 103225071 A CN103225071 A CN 103225071A CN 2013100214608 A CN2013100214608 A CN 2013100214608A CN 201310021460 A CN201310021460 A CN 201310021460A CN 103225071 A CN103225071 A CN 103225071A
Authority
CN
China
Prior art keywords
reactant
substrate
nitrogen reactant
plasma body
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2013100214608A
Other languages
English (en)
Inventor
丹尼斯·豪斯曼
乔恩·亨利
巴特·范施拉芬迪克
伊斯瓦·斯里尼瓦桑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN103225071A publication Critical patent/CN103225071A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

描述了在衬底上制备氮化硅(SiN)材料的方法。还包括由该方法制备的改善的SiN膜。一方面涉及沉积无氯保形SiN膜。在一些实施方式中,SiN膜是无氯无碳的。另一方面涉及调整保形SiN膜的应力和/或湿法刻蚀率。另一方面涉及沉积高质量保形SiN膜的低温方法。在一些实施方式中,该方法涉及使用三硅烷基胺(TSA)作为含硅前驱体。

Description

用于沉积无氯保形SiN 膜的方法
优先权 
本申请要求于2012年1月20日提交的美国临时申请No.61/588,964,以及于2012年3月7日提交的美国专利申请No.13/414,619的优先权,这两者的优先权通过引用并入本发明。 
技术领域
本公开通常涉及在衬底上形成SiN材料。更具体地,本发明涉及在半导体衬底上形成SiN膜。 
背景技术
由于氮化硅(SiN)薄膜具有独特的物理、化学和机械性能,因此其被用于各种应用中,尤其是在半导体器件中,例如扩散阻挡层、栅绝缘、侧壁隔离层、包封层、晶体管中的应变膜、等等。SiN膜的一个问题是形成该膜的相对高的温度,例如,在前工序生产线(FEOL)应用中,SiN膜通常是通过化学气相沉积法(CVD)在高于750℃的反应器中使用二氯硅烷和氨沉积的。然而,由于SiN膜用于后序半导体制造工艺中,且随着器件尺寸持续缩小,存在增加的要在较低的温度下形成SiN膜的需求,该较低的温度例如低于600℃。 
发明内容
本说明描述了在衬底上制备氮化硅(SiN)材料的方法。还包括通过该方法制备改善的氮化硅膜。一个方面涉及沉积无氯(Cl)保形SiN膜。在一些实施方式中,SiN膜是无Cl和无碳(C)的。另一个方面涉及调整保形SiN膜的应力和/或湿蚀刻速率的方法。另一个方面涉及沉积高质量保形SiN膜的低温方法。在一些实施方式中,该方法涉及使用三硅烷基胺 (TSA)作为含硅前驱体。 
一个方面是一种方法,该方法包括:周期性地将衬底暴露于无卤素含硅反应物的气相流,其中,所述的无卤素含硅反应物被吸附在该衬底的表面;将该衬底暴露于第一含氮反应物的气相流,其中,所述含氮反应物被吸附在该衬底的表面;以及当气相含氮反应物存在于反应室以及无卤素含硅反应物的气相流已经停止时,周期性地激励该反应室中的等离子体。在一些实施方式中,无卤素含硅反应物是TSA。在某些实施方式中,第一含氮反应物是无碳的。无碳含氮反应物的示例包括氨或肼。在某些实施方式中,第一含氮反应物是胺,例如,C1-10烷基胺。在某些实施方式中,第一含氮反应物是叔丁基胺。 
在一些实施方式中,将衬底暴露于不同于所述第一含氮反应物的第二含氮反应物的气相流中。该第一含氮反应物可以是无碳的而该第二含氮反应物含有碳。在某些实施方式中,第一含氮反应物与第二含氮反应物的体积流量比介于约1:1和10:1之间,例如约1:1和4:1之间。在某些实施方式中,第一含氮反应物与第二含氮反应物的体积流量比介于约1:10和1:1之间,例如约1:4和1:1之间。 
在某些实施方式中,反应室中的压强是循环的,以便其在含硅反应物的气相流动过程期间较高。例如,在反应室中的压强可以在第一压强和第二压强之间循环,该第一压强在约5和50乇之间且该第二压强在约1和5乇之间。 
在某些实施方式中,通过该方法将衬底连续地暴露于第一含氮反应物的气相流。在某些实施方式中,将衬底周期性地暴露于第一含氮反应物的气相流。 
在某些实施方式中,提供了低温工艺,温度保持在不超过约400℃或更低,例如,不超过375℃、350℃或325℃。在某些实施方式中,氮化硅材料的应力可被调整。例如,可以沉积具有约-4GPa和-2GP之间的应力的氮化硅材料。在另一个例子中,可以沉积具有约-2GPa和1GPa之间的应力的氮化硅材料。该氮化硅材料可以是无卤素的。在某些实施方式中,氮化硅材料是无卤素和无碳的。 
本发明的一个方面涉及在衬底上形成氮化硅材料的方法,其包括在反应室中提供衬底;将该衬底暴露于气相形式的TSA,以便TSA反应物被吸附到衬底的表面;将该衬底暴露于气相形式的含氮反应物,以使该含氮反应物被吸附到该衬底的表面;以及当该含氮反应物以气相形式存在时点燃等离子体。该衬底的表面可包括凸起的或凹陷的特征。例如,该衬底可以包括如铜等金属,如氧化硅等电介质材料,或锗-锑-碲(GST)合金中的一种或多种。在一些实施方案中,含氮反应物是无碳含氮反应物,如氨或肼。在一些实施方案中,含氮反应物是含碳的反应物。在一些实施方式中,含氮反应物是含碳反应物和无碳含氮反应物的混合物。衬底温度可以是,例如,在约300℃和约450℃之间,或在约300℃和约400℃之间。在一些实施方式中,温度低于400℃。在一些实施方式中,RF功率可为约0.15-0.5W/cm2。 
本发明的另一个方面涉及一种用于沉积氮化硅膜的装置。该装置可包括反应室;形成氮化硅膜的活化能的源;反应物入口;以及控制器。该控制器可以包括用于以下项的指令:在沉积循环期间使第一和第二含氮反应物流动进入该反应室;在沉积循环期间周期性地使无卤素含硅反应物流动进入该反应室;以及当含硅反应物的流动已停止以及在该反应室中当第一和第二含氮反应物以汽相形式存在时,周期性地点燃该反应室中的等离子体。 
本发明的这些和其它特征和优点,将参照相关附图在下面进行更详细地描述。 
附图说明
图1示出在CFD工艺中示例性阶段的时间进程。 
图2-4示出制备SiN膜的示例流程图。 
图5示出CFD处理站的示例。 
图6示出多站处理工具的概略图的示例。 
图7示出了使用TSA/氨系统沉积的SiN膜的I-V曲线。 
图8示出了对于各种特征深宽比使用TSA/氨系统沉积的SiN膜的底部和侧面的阶梯覆盖性。 
具体实施方式
本公开涉及SiN膜的形成,尤其涉及在半导体衬底上SiN膜的形成。本文描述的方法包括控制SiN膜中的碳含量的方法,特别是形成低碳含量的SiN膜,以及形成SiN膜的保形膜沉积(CFD)方法。 
定义 
如本文所用的,除非另有说明,应适用下面的定义。 
“含硅反应物”是用于制备SiN材料的试剂,单个或混合的试剂,其中该试剂含有至少一种硅化合物。该硅化合物可以是,例如,硅烷、卤代硅烷或氨基硅烷。硅烷含有氢和/或碳基团,但不含有卤素。硅烷的示例为硅烷(SiH4)、乙硅烷(Si2H6)以及有机硅烷,如甲基硅烷、乙基硅烷、异丙基硅烷、叔-丁基硅烷、二甲基硅烷、二乙基硅烷、二-叔丁基硅烷、烯丙基硅烷、仲-丁基硅烷、己基硅烷、戊基硅烷、叔丁基二硅烷、二-叔丁基二硅烷、等等。卤代硅烷含有至少一种卤素基团,并且可包含或不包含氢和/或碳的基团。卤代硅烷的示例为碘硅烷、溴硅烷、氯硅烷和氟硅烷。虽然卤代硅烷类,特别是氟硅烷,可以形成能蚀刻硅材料的反应性卤化物,但在本文所描述的某些实施方式中,等离子体被激发时含硅反应物是不存在的。特定的氯硅烷是四氯硅烷(SiCl4)、三氯硅烷(HSiCl3)、二氯硅烷(H2SiCl2)、一氯硅烷(ClSiH3)、丙基氯硅烷、甲基氯硅烷、甲基二氯硅烷、二甲基氯硅烷、乙基氯硅烷、叔丁基氯硅烷、二-叔丁基氯硅烷、异丙基氯硅烷、仲丁基氯硅烷、叔-丁基二甲基氯硅烷、叔己基二甲基氯硅烷、等。氨基硅烷包括至少一个键合到硅原子上的氮原子,但还可以含有氢原子、氧原子、卤原子和碳原子。氨基硅烷的例子是单-、二-、三-和四-氨基硅烷(分别为H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4),以及取代的单-、二-、三-和四-氨基硅烷,例如,叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷基胺、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、叔丁基甲硅烷基氨基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3、等。氨基硅烷的又一例子是三硅烷基胺(N(SiH3))。 
“含氮反应物”包含至少一个氮原子,例如,氨,肼,胺(带碳的胺),如甲胺、二甲胺、乙胺、异丙胺、叔丁胺、二-叔丁基胺、环丙胺、仲 丁胺、环丁胺、异戊胺、2-甲基丁-2-胺、三甲胺、二异丙基胺、二乙基异丙基胺、二-叔丁基肼,以及含芳香族胺如苯胺、吡啶、和苄胺。胺可以是伯、仲、叔或季胺(例如,四烷基胺化合物)。含氮反应物可以含有除氮以外的杂原子,例如,羟胺、叔丁羟胺和N-叔丁基羟胺是含氮反应物。 
“等离子体”是指在反应室中点燃或远程地点燃并带入反应室的等离子体。等离子体可以包括本文所描述的反应物,也可以包括其他试剂,例如载气,或如氢气等反应性物类。当等离子体被激发时,反应物和其它试剂可能在反应室中存在,或远程的等离子体流入到存在反应物的室中,和/或反应物和/或载气可被远程点燃成等离子体并被带入反应室。“等离子体”是指包括任何在技术上可行的已知的等离子体,包括感应耦合等离子体和微波表面波等离子体。在本技术领域的普通技术人员将领会,技术进步会发生,因此尚未开发的等离子体发生的技术被认为在本发明的范围之内。 
“可热去除基团”是指在含氮反应物和含硅反应物中的一者或两者上的基团,这些反应物在约200℃和约550°之间分解成挥发性组分。这里所描述的非限制性的例子,如仲和叔碳基团,在此温度范围内进行消除反应。在本技术领域的普通技术人员将认识到,其他基团通过其它的机制如所描述的进行热分解,例如,叔丁氧羰基(t-BOC或“BOC”)基团通过消除机制热分解,其中该基团的叔丁基部分形成异丁烯,并该分解还形成二氧化碳。因此,可热去除基团并不限定于特定的机制或机制的组合。只要该基团在特定的温度范围内分解以产生至少一种挥发性组分,则该基团就具有资格作为可热分解的基团。例如,在给定的一组条件下,叔丁基乙胺将进行叔丁基基团的热分解以形成异丁烯,而乙基留下,因此异丁烯和乙胺是热分解的产物。在本技术领域的普通技术人员将认识到,组分的挥发性部分地取决于该组分生成的反应条件。例如,在加热和低压的条件下异丁烯可以是易挥发性的,并且可从反应室中去除,因为它不与吸附的反应物反应,同时,例如,尽管氨通常是挥发性化合物,其与吸附在衬底的表面上的含硅反应物进行反应。 
方法 
在此描述的是制造SiN膜的方法。在一特定实施方式中,采用 等离子激活保形膜沉积(CFD)法制造SiN膜。在一些实施方式中,采用氨基硅烷含硅反应物沉积SiN膜。在一些实施方式中,采用无卤素的氨基硅烷沉积SiN膜。在一些实施方式中,采用三硅烷基胺沉积SiN膜。 
在一些实施方式中,采用无碳和含氮的反应物沉积SiN膜。在一些实施方式中,采用氨沉积SiN膜。在一些实施方式中,采用无碳化合物含氮反应物和含碳含氮的反应物的混合物沉积SiN膜。 
在一些实施方式中,沉积得到无卤素保形SiN膜。在一些实施方式中,沉积得到无卤素和无碳保形SiN膜。在一些实施方式中,沉积得到具有经调节的应力的无卤素保形SiN膜。在一些实施方式中,在小于400℃的温度沉积得到保形SiN膜。在下文中将更详细地描述上述各个方面。 
在某些实施方式中,采用CFD沉积所述SiN膜,尽管在本文中描述的方法并不局限于CFD。其它适当的方法包括ALD,PEALD,CVD,PECVD,和等离子增强循环化学气相沉积(PECCVD)。在2011年4月11日提交的申请号为13/084,399的美国专利申请中描述了采用CFD形成薄膜的方法,该申请内容通过引用的方式被并入本文中,用于所有目的。 
制造半导体器件通常涉及在集成制造工艺中在非平面衬底上沉积一或多层薄膜。在所述集成工艺的一些方面,沉积保形薄膜可能是有用的。例如,可在升高的栅堆叠结构(elevated gate stack)的顶部沉积氮化硅膜作为分隔层,保护轻掺杂源和漏极区不受随后的离子注入工艺的影响。 
在分隔层沉积工艺中,可采用化学气相沉积(CVD)法在所述非平面衬底上形成氮化硅膜,其随后进行非等向性刻蚀(anisotropically etched),形成所述分隔层。然而,随着栅堆叠结构之间的距离减小,CVD气相反应的物质传输限制(mass transport limitations)可能引起“面包块”沉积效应(“bread-loafing”deposition effects)。这些效应通常表现为在栅堆叠结构的顶面处沉积较厚而在栅堆叠结构的底角处沉积较薄。此外,由于一些模片可能具有器件密度不同的多种区域,在整个晶片表面上的物质传输影响可能导致在模片内和在晶片内的薄膜厚度发生变化。这些厚度的变化可导致一些区域过刻蚀和其它区域刻蚀不足。这可能会降低器件性能和/或模片成品率。 
一些解决这些问题的方法包括原子层沉积(ALD)。ALD法与CVD法不同,CVD法采用热激活的气相反应沉积薄膜,而ALD法采用表面介导的沉积反应在逐层的基础上沉积薄膜。在ALD法的一个实施例中,包含有大量表面活性位点的衬底表面暴露于气相分布的第一反应物(A)。反应物A的一些分子在所述衬底表面的顶部形成凝聚相,所述凝聚相包括化学吸附的物质和物理吸附的反应物A分子。然后排空反应器,除去气态和物理吸附的反应物A,使得仅保留化学吸附的物质。接着向反应器中引入第二膜反应物(B),使得一些反应物B分子吸附到衬底表面。向衬底提供的热能激活吸附的反应物A和B分子之间的表面反应,形成薄膜层。最后,排空反应器,除去反应副产物和未反应的反应物B,ALD循环终止。可包括额外的ALD循环,以增加膜厚度。等离子体或其它能量形式可与加热形式配合用于衬底,或作为加热的替代方式用于衬底,以驱动反应物A和B之间的反应。 
根据反应物投料步骤的暴露时间以及反应物的粘附系数,在一个实施例中,各ALD循环可沉积厚度为0.5-3埃的膜层。因此,在沉积具有大于几个纳米厚度的膜时,ALD法可能是费时间的。而且,为沉积保形膜,一些反应物可能具有长的暴露时间,这也可能减少晶片吞吐量时间。 
也可在平面衬底上沉积保形膜。例如,可从包含交替的膜类型的平面堆叠结构形成用于平版印刷图案应用的抗反射层。这种抗反射层的厚度可以是约100-1000埃,使得ALD法吸引力不及CVD法。然而,这种抗反射层所提供的晶片内厚度变化的公差也可能比许多CVD法所提供的更低。例如,600埃厚度的抗反射层可允许变动量为小于3埃的厚度范围。 
在本文中描述的各种实施方式包括采用CFD沉积SiN膜。通常,CFD不依赖于在反应形成SiN之前彻底清洗一或多种反应物。例如,在轰击等离子体(或其它活化能)时,在气相中可存在一或多种反应物。因此在CFD工艺实例中,可减少或取消在ALD法中描述的一或多个工艺步骤。而且,在一些实施方式中,等离子激活的沉积反应可导致比热激活的反应更低的沉积温度,有效地降低集成工艺的热能预算。 
图1显示了在CFD工艺100中各示例性阶段的诸如惰性气体流、反应物A、反应物B以及轰击等离子体的时间之类的各种工艺参数的时 间进展。在图1中,显示了两个沉积循环110A和110B。本领域普通技术人员应理解的是,为了沉积所需的膜的厚度,在CFD工艺中可包括任意适当数量的沉积循环。示例的CFD工艺参数包括,但不限于,惰性物质和反应物质的流率,等离子体功率和频率,衬底温度,以及处理站压力。 
CFD“循环”的定义与在本文中讨论的各种实施方式有关。通常一个循环是执行一次表面沉积反应所需的最少的一组操作。一个循环的结果是在衬底表面上产生至少一部分膜层。通常,一个CFD循环将仅包括:将各反应物传送并吸附至所述衬底表面,以及接着使那些吸附的反应物反应形成所述部分的膜层所必需的那些步骤。所述循环可包括某些辅助步骤,例如清除所述反应物或副产物之一,和/或处理如上沉积的部分膜。通常,一个循环仅包括一个唯一的操作顺序的例子。例如,一个循环可包括以下操作:(i)传送/吸附反应物A,(ii)传送/吸附反应物B,(iii)将B清除出反应腔室,以及(iv)施加等离子体,驱使A和B的表面反应,以在所述表面上形成所述部分的膜层。 
参见图1,在工艺100的所有阶段期间流通有惰性气体。在反应物A暴露阶段120A,以控制的流率将反应物A供应至处理站,以使经暴露的衬底表面饱和。反应物A可以是任意适当的沉积反应物,例如,含氮反应物。在图1中所示的实施方式中,反应物A持续流通过沉积循环110A和110B。与通常的ALD工艺不同,ALD工艺中膜前体(反应物)暴露是分开进行的,以避免气相反应,而在CFD工艺的一些实施方式中允许反应物A和B混合在气相中。与ALD工艺中反应物A的开始通入、接着稳定并暴露衬底、然后关闭以及最终移除出反应器相比较,连续供应反应物A至所述处理站,可减少或取消反应物A流率开启和稳定的时间。尽管在图1中所示的实施方式描绘了反应物A暴露阶段120A具有恒定流率,但是应理解的是在本公开内容的范围内反应物A可采用任意适当的流率,包括可变化的流率。在一些实施方式中,反应物A暴露阶段120A可具有一段持续时间,其超过反应物A的衬底表面饱和时间。例如,图1的实施方式包括在反应物A暴露阶段120A中的反应物A饱和后暴露时间130。任选地,反应物A暴露阶段120A可包括控制流率的惰性气体。示例的惰性气体包括,但不限于,氮气, 氩气,以及氦气。可提供惰性气体以协助处理站的压力和/或温度控制,液态反应物的蒸发,反应物的更快速传送和/或作为清扫气体将处理气体清除出处理站和/或处理站管道设备。 
在图1中所示的实施方式的反应物B暴露阶段140A,以控制的流率供应反应物B至处理站,以使暴露的衬底表面饱和。在该实施例中,反应物B可以是例如含氮的反应物。尽管在图1中所示的实施方式描绘反应物B暴露阶段140A具有恒定流率,但是应理解的是在本公开内容的范围内反应物B可采用任意适当的流率,包括可变化的流率。进一步地,应理解的是反应物B暴露阶段140A可具有任意适当的持续时间段。在一些实施方式中,反应物B暴露阶段140A可具有一段持续时间,其超过反应物B的衬底表面饱和时间。例如,图1的实施方式描绘了包括在反应物B暴露阶段140A中的反应物B饱和后暴露时间150。 
在一些实施方式中,表面吸附的B物质可以不连续的岛状物形式存在于衬底表面上,使反应物B的表面饱和难以实现。多种表面条件可延缓反应物B在衬底表面上的成核以及饱和。例如,反应物A和/或B吸附时释放的配体可阻断一些表面活性位点,阻止进一步的反应物B的吸附。因此,在一些实施方式中,可通过在反应物B暴露阶段140A期间,调整反应物B流和/或以不连续脉冲形式发送反应物B进入处理站,提供连续的反应物B吸附层。与恒定流率情况相比,这可能会给表面吸附和解吸附过程提供额外的时间同时节约反应物B。另外,或者备选地,在一些实施方式中,在反应物B的连续暴露之间可包括一或多个清除阶段。 
在等离子体激活之前,在一些实施方式中在清扫阶段160A中可从处理站除去气态反应物B。清扫处理站可避免其中反应物B对等离子体激活不稳定的气相反应或其中可能形成不需要物质的气相反应。此外,清扫处理站可除去表面吸附的配体,否则该配体可保留并污染所述膜。示例的清扫气体可包括,但不限于,氩气,氦气,和氮气。在图1中所示的实施方式中,通过连续的惰性气体流供应用于清扫阶段160A的清扫气体。在一些实施方式中清扫阶段160A可包括一或多个用于排空处理站的排空子阶段。或者,应理解的是,在一些实施方式中可省略清扫阶段160A。 
清扫阶段160A可具有任意适当的持续时间段。在一些实施方式中,一或多种清扫气体的流率的增加可减少清扫阶段160A的持续时间。例如,可根据各种反应物的热力学特性和/或处理站和/或处理站管道(process station plumbing)的几何特征调节清扫气体流率,从而改变清扫阶段160A的持续时间。在一个非限制性实施例中,可通过调节清扫气体流率来优化清扫阶段的持续时间。这可以减少沉积循环时间,从而提高衬底吞吐量。 
在图1中所示的实施方式的等离子体激活阶段180A,提供等离子体能,以激活在表面吸附的反应物A和B之间的表面反应。例如,等离子体可直接或间接激活反应物A的气态分子,形成反应物A自由基。然后这些自由基可与表面吸附的反应物B相互作用,导致发生成膜表面反应。等离子体激活阶段180A使沉积循环110A结束。在图1的实施方式中的沉积循环110A之后是沉积循环110B,该循环110B初始是反应物A暴露阶段120B,接着是B暴露阶段140B,清扫阶段160B以及等离子体激活阶段180B。 
在一些实施方式中,在等离子体激活阶段180A中点燃的等离子体可以直接在衬底表面上方形成。这可提供更大的等离子体密度,并增加反应物A和B之间的表面反应速率。例如,可通过采用两个电容耦合板向低压气体施加射频(RF)场,来产生用于CFD工艺的等离子体。可采用任意适当的气体形成等离子体。在该实施例中,诸如氩气或氦气之类的惰性气体与反应物A(含氮反应物)一起使用,形成等离子体。由RF场电离化在所述电容耦合板之间的气体,点燃等离子体,在等离子体放电区域产生自由电子。这些电子被RF场加速,并可撞击气态反应物分子。这些电子与反应物分子的撞击可形成参与沉积处理的自由基物质。应理解的是,可通过任意适当的电极耦合所述RF场。电极的非限制性实例包括处理气体分配喷头和衬底支撑基座。应理解的是,除了RF场对气体的电容耦合以外,还可采用一或多种适当的方法形成用于CFD工艺的等离子体。 
等离子体激活阶段180A可具有任意适当的持续时间。在一些实施方式中,等离子体激活阶段180A可具有一段持续时间,其超过等离子体激活的自由基与所有经暴露的衬底表面和被吸附物质相互反应并在衬底表面顶部形成连续的膜所需的时间。例如,在图1中所示的实施方式包括在等 离子体激活阶段180A中的等离子体饱和后暴露时间190。 
在一些实施方式中,延长等离子体暴露时间和/或提供多个等离子体暴露阶段可能提供大量的反应后处理和/或沉积的膜的近表面部分。在一实施方式中,减少表面污染可制备得到用于吸附反应物A的表面。例如,由含硅反应物和含氮反应物的反应形成的氮化硅膜可具有表面,其可阻挡随后反应物的吸附。用等离子体处理所述氮化硅表面可产生氢键,有利于随后的吸附和反应事件。在本文中描述的SiN膜可暴露除了等离子体以外的处理。 
在一些实施方式中,可采用除了等离子体处理以外的处理来改变如上沉积的膜的性质。这些处理包括电磁放射处理,热处理(例如,退火或高温脉冲处理),等等。任意的这些处理可单独实施,或与另一处理(包括等离子体处理)联合实施。任意的这些处理可用作为上述任意等离子体处理的替代方式。在一特定实施方式中,所述处理包括使上述膜暴露于紫外线辐射。如下文所述,在一特定实施方式中,所述方法包括在原位(即,在形成膜期间)或在沉积膜之后向膜施加UV-辐射处理。这样的处理用于减少或消除缺陷结构,并提供改善的电气性能。 
在某些特定实施方式中,可将UV处理与等离子体处理联合。可同时或顺序操作这两个操作。在顺序操作的选择中,可选择首先进行UV操作。在同时操作的选择中,可从不同的来源(例如,用于等离子体的RF功率源和用于UV的灯)或者从单一来源提供所述两种处理,其中所述单一来源为例如产生作为副产物的UV射线的氦气等离子体。 
在一些实施方式中,可通过改变等离子体参数来调节诸如膜应力、介电常数、折射率、刻蚀速率之类的膜的性质。 
尽管在本文中描述的多个实施例包括两种反应物(A和B),应理解的是,在本公开内容的范围内,可采用任意适当数量的反应物。在一些实施方式中,可采用单种反应物和一种惰性气体,所述单种反应物和一种惰性气体提供用于表面反应的等离子体能。或者,一些实施方式可采用多种反应物来沉积膜。例如,在一些实施方式中,可通过含硅反应物与一或多种含氮反应物反应,或者一或多种含硅反应物与单种含氮反应物反应,或者一或多种含硅反应物和一或多种含氮反应物反应,得到氮化硅膜。 
在某些实施方式中,采用无卤素的含硅的反应物来沉积无卤素的SiN膜。图1提供了可用于沉积无卤素SiN膜的工艺的一个实施例,其中在图1的实施例中三硅烷基胺(TSA)用作为反应物B。虽然图1提供了沉积SiN膜的一个实施例,但是进行各种变化也是可行的。例如,在一些实施方式中,在反应物A流和B流之间,反应物A和B可与任选的清扫气体交替应用。在另一实施例中,反应物A可以是含硅反应物,而反应物B可以是含氮反应物。在一些实施方式中,可仅仅在停止含硅反应物流的时候激发等离子体。 
在某些实施方式中,采用无卤素的含硅的反应物。在一特定实施例中,采用三硅烷基胺(TSA)作为含硅反应物。TSA是可分离的、稳定的氨基硅烷。 
图2和3描绘了采用TSA的工艺流程200和300的实施例。首先参见图2,向反应室中提供衬底,参见205。所述衬底可以是任意适当的在其上需要SiN膜的衬底。例如,所述衬底可以是部分制造的集成电路衬底,快闪式存储器衬底或相变存储器衬底。提供的衬底可以是诸如裸硅衬底之类的裸衬底,或在其上沉积有一或多层的衬底。SiN膜要沉积到其上的表面可以是或者包括,例如,硅,多晶硅,铜,钛,钨,二氧化硅,或锗-锑-碲(GST)合金。在一些实施方式中,所述表面包括一或多个凸起或凹陷的特征。所述一或多个凸起或凹陷的特征可具有例如2:1-10:1的深宽比。所述衬底暴露于TSA,参见210。在一些实施方式中,操作210是无等离子体操作。在一些实施方式中,可对反应器加压至例如约5-50Torr范围的第一压强。在一特定实施方式中,在操作210期间的压强是约20Torr。可根据所期望的实施方式采用在该范围以外的压强。TSA被吸附到所述衬底表面上。在期望数量的TSA被吸附到所述表面上之后,停止TSA流(未图示)。然后使衬底暴露于一或多种含氮的反应物,参见215。点燃等离子体,同时在气相中存在有含氮反应物,参见220,从而在所述衬底上形成无卤素的SiN膜。在一些实施方式中,在反应器中的压强是循环的,使得在操作215和/或220期间的压强低于操作210的压强。例如,在这些操作期间的压强可以是约1-5Torr,例如是2Torr。在一些实施方式中,在操作220之后,可停止含 氮反应物流。 
在一实施方式中,在点燃等离子体之前,通过惰性气体流和/或含氮反应物流将未吸附到衬底表面的TSA清扫出处理腔室。在另一实施方式中,可采用清扫操作除去气态含硅反应物。在一些实施方式中,在操作220之后,也可以采用清扫操作。 
可一或多次重复实施210-220,积聚(build up)SiN层。在一实施方式中,重复这些操作,以在所述衬底上形成约1nm-约100nm厚的保形层。在另一实施方式中,所述保形层的厚度为约5nm-约50nm。在另一实施方式中,所述保形层的厚度为约5nm-约30nm。 
在一实施方式中,采用任意的本文所述的方法,将衬底加热至约50℃-约550℃,或更尤其是,约300℃-约450℃,例如约350℃或400℃。在一实施方式中,在沉积的整个过程中加热晶片,在其它实施方式中在沉积过程中周期性地加热晶片或者在沉积步骤之后作为退火而加热晶片。 
图3描绘了工艺流程300,描绘了所述方法的实施方式的多个方面。向腔室中提供衬底,参见305。提供一或多种含氮反应物流并持续提供于整个300中,参见310。周期性地使衬底暴露于TSA,参见315。并且仅在停止TSA反应物流时周期性地点燃等离子体,参见320。在一实施方式中,通过惰性气体流和/或含氮反应物流将未吸附到衬底表面的TSA清扫出处理腔室。在另一实施方式中,可采用清扫操作。在所述等离子体处理之后,所述循环完成。可重复操作310-320多次,以积聚具有所需厚度的层。 
图4描绘了工艺流程400,描绘了一种方法的实施方式的多个方面。向腔室中提供衬底,参见405。形成载流,参见410。使衬底暴露于含氮反应物,参见415。使衬底暴露于含硅反应物,参见420。在停止含硅反应物流之后,点燃等离子体,参见425。该反应形成SiN。实施410-425一或多次,以积聚具有所需厚度的层。在该方法中,415和420不一定以目前的顺序实施。含氮反应物流可以是或可以不是连续的。 
可向任意的上述工艺加入多种额外的操作。例如,在一些实施方式中,在所有的或部分的SiN膜形成至所需的厚度之后,可使SiN膜暴露于氢气等离子体。这可从所述SiN膜除去(如果有的话)碳成分(carbon  content)。在一实施方式中,采用氢气(H2)和诸如氮气、氦气或氩气之类的载气产生氢气等离子体。可采用其它的含氢气气体或由远程等离子体源产生的激活氢原子来处理所述沉积的膜。而且,在一些实施方式中,可通过改变一或多个处理脉冲的数量以及其持续时间、处理等离子体的密度、衬底温度和处理气体组合物,调节所述膜的碳成分至任意适当的浓度。 
无卤素的含硅的反应物 
尽管图2-4提供采用TSA沉积SiN的示例性工艺流程,但是在一些实施方式中,除了采用TSA以外还采用或不是采用TSA而是采用无卤素氨基硅烷沉积其它SiN膜。所述TSA或其它氨基硅烷可以是未经取代的或是任选经任意无卤素的取代基取代的。在一些实施方式中,采用未经取代的TSA(SiH3)3N。在一些实施方式中,可采用经一或多个含碳取代基取代的TSA。含碳取代基的实例包括烷基,烯基,炔基和其它有机基团。 
无卤素的含硅的反应物的采用对于在某些衬底上沉积是有利的,其中这些衬底尤其容易受到沉积工艺的卤化物副产物的蚀刻和/或腐蚀。这些包括金属衬底,例如铜,钨,钛衬底和GST合金。例如,钨和氯化物副产物可反应形成挥发性的六氯化钨,除去位于下面的钨。在另一实施例中,氯化物气体可腐蚀铜。 
无卤素的含硅的反应物的应用还可有利于低温(例如400℃和小于400℃)反应。这是因为含卤素的硅反应物可产生固体副产物,例如NH4Cl,其在低温反应中不能作为挥发性副产物而被清除。由于TSA在所述表面上比例如二氯硅烷(DCS)更具有反应性,因此TSA可用于低温反应。 
在一些实施方式中,TSA可与任意适当的含氮反应物一起使用。在一实施方式中,所述含氮反应物是选自由以下组成的组:氨,肼,胺和其混合物。在一实施方式中,含氮反应物包括C1-10烷基胺或C1-10烷基胺类的混合物。在一实施方式中,所述C1-10烷基胺是一级烷基胺或二级烷基胺。在一实施方式中,所述C1-10烷基胺是一级烷基胺。在一实施方式中,所述C1-10烷基胺为如式I所示: 
其中R1、R2和R3各自彼此独立地为H或C1-3烷基;或R1、R2和R3中的两个与连接它们的碳原子一起形成C3-7环烷基,且R1、R2和R3中的另一个是H或C1-3烷基。在一实施方式中,所述C1-10烷基胺具有直接连接至氮原子的仲碳原子或叔碳原子。在一实施方式中,所述C1-10烷基胺是选自由以下组成的组:异丙基胺,环丙基胺,仲丁基胺,叔丁基胺,环丁基胺,异戊基胺,2-甲基-2-丁胺和己胺(thexylamine)(2,3-二甲基-2-丁胺)。在一实施方式中,在式I的C1-10烷基胺中,R1、R2和R3各自为C1-3烷基。在一实施方式中,所述C1-10烷基胺是叔丁胺(TBA)。对于上述理由,TBA是尤其有用的。 
在一些实施方式中,产生的SiN膜具有不需要的碳成分。这种在膜内的碳可能导致漏电,且可能使得所述膜不能用于一些介电质阻挡应用。碳含量可以改变,但是在一些实施方式中,约10%的碳可被认为是过高的。在本文中描述的方法解决了在SiN膜中存在有不需要的碳的问题。本文中所述的方法制造具有小于2%的碳的SiN膜,在一实施方式中得到具有小于1%的碳的SiN膜,在另一实施方式中得到具有小于0.5%的碳的SiN膜。在一些实施方式中,碳残留量的减少可以很容易在FTIR光谱中被观察到,尽管本领域普通技术人员已熟知其它的可测定在这些范围内的碳含量的分析方法。 
在一些实施方式中,所述含氮反应物可包含有可热去除基团。可热去除基团是在约200℃-约550℃裂解成挥发性组分的基团。例如,仲碳基团和尤其是叔碳基团在该温度范围内可发生消除反应。在一特定实施例中,叔丁基在该温度范围内裂解,生成异丁烯。例如,在加热时,叔丁胺发生消除反应,形成异丁烯和氨。作为另一实施例,叔丁氧基羰基(t-BOC)也是在例如约150℃热分解,生成异丁烯、二氧化碳以及连接所述t-BOC基团的自由基。例如,氨基甲酸叔丁酯热分解得到异丁烯,氨和二氧化碳。 
可将衬底加热至约200℃-约550℃,使得所述基团分解并释放它们的碳成分,从而减少所述SiN膜的碳含量。所述反应物被吸附到衬底上,采用等离子体将所述反应物转化为SiN材料。可通过加热衬底除去剩余 的碳基团。可在整个沉积过程中实施所述加热,或者周期性地实施所述加热,以分解所述可热去除基团。在一实施方式中,所述衬底被加热至约200℃-约550℃,在另一实施方式中被加热至约350℃-约550℃,在另一实施方式中被加热至450℃-约550℃,以及在另一实施方式中,被加热至约450℃-约500℃。在一实施方式中,例如其中采用TBA,所述SiN膜可在约450℃-约500℃被加热约1秒-约30秒,或约1秒-约20秒,或约1秒-约10秒。虽然任意特定的热去除基团将在某一温度阈值裂解,但是采用较高的温度来增加分解的速率,和/或作为退火来改善所述SiN膜的性能。 
如上所述,所述可热去除基团可包括仲碳或叔碳官能基。含硅反应物和含氮反应物的任一种或两者可包括一或多个相同的或不同的可热去除基团。在一实施方式中,所述可热去除基团如式II所示: 
Figure BDA00002757347700161
其中R1、R2和R3各自彼此独立地为H或C1-3烷基;或R1、R2和R3中的两个与连接它们的碳原子一起形成C3-7环烷基,且R1、R和R3中的另一个是H或C1-3烷基;和其中在作为含氮反应物的部分时,每一个所述可热去除基团连接至所述含氮反应物的氮或氧,以及,在作为含硅反应物的部分时,每一个所述可热去除基团连接至所述含硅反应物的硅或氮或氧。在一实施方式中,R1、R2和R3各自彼此独立地为C1-3烷基。在一实施方式中,所述可热去除基团是叔丁基。 
无碳含氮的反应物 
在一些实施方式中,所述含氮反应物是无碳含氮的反应物。实施例包括氨和肼。例如,在一些实施方式中,采用TSA和氨分别作为含硅反应物和含氮反应物,用于沉积SiN。 
在一些实施方式中,例如采用无碳含氮的反应物沉积用于快闪式存储器的SiO2/SiN/SiO2(又称为ONO堆叠结构(ONO stack)))的SiN膜。沉积的膜可以是无卤素且无碳的,不存在有甚至痕量的卤素或碳(除非 其来源于污染源,诸如人类或者在沉积工具中的在先工艺之后的不充分清洁)。 
调节应力和湿法刻蚀速率 
在一些实施方式中,可选择含氮反应物来调节沉积的SiN膜的应力和/或湿法刻蚀速率。例如,可采用氨或其它无碳含氮的反应物来沉积具有高的湿法刻蚀速率的拉伸膜。 
在另一实施例中,可采用TBA或其它含碳含氮反应物来沉积耐刻蚀压缩膜(etch resistant,compressive films)。 
在一些实施方式中,可采用两种含氮反应物来调节所需的膜的应力。例如,可采用含碳含氮反应物(例如TBA)和无碳含氮反应物(例如氨)来沉积具有所需应力和湿法刻蚀速率的SiN膜。在一些实施方式中,这些含氮反应物可与TSA一起使用,以沉积具有所需应力和湿法刻蚀速率的无氯SiN膜。 
在这种方法中,可获得具有范围是-4GPa(压缩)至2GPa(拉伸)的应力的SiN膜。例如,在一些实施方式中,通过采用纯TBA的含氮反应物流(或其它含碳含氮反应物流)可获得具有约-4GPa至约-2GPa的应力的压缩SiN膜。在一些实施方式中,通过采用纯氨的含氮反应物流(或其它无碳含氮反应物流)可获得具有约1GPa至约2GPa的应力的拉伸SiN膜。在一些实施方式中,通过采用TBA(或其它含碳含氮反应物)和氨(或其它无碳含氮反应物)的混合物可获得具有约-2GPa至1GPa的应力的SiN膜。 
可根据特定实施方式以及包括在本文所述多种工艺的等离子体阶段期间的RF功率和等离子体持续时间的工艺条件,改变通过采用纯TBA(或其它含碳含氮反应物)、纯氨(或其它无碳含氮反应物)和这些物质的混合物而获得的应力值。更长且更高功率等离子体可制造更压缩的SiN膜。在一些实施方式中,采用TSA和仅无碳含氮反应物(例如氨和/或肼)沉积得到的SiN膜可通过适当地调整RF功率而被调节为具有例如-0.5GPa的轻微压缩的应力至高达2GPa的拉伸应力。 
在一些实施方式中,例如,可采用TSA和无碳含氮反应物、约0.15W/cm2的HFRF功率沉积得到拉伸(1-2GPa)SiN膜,采用TSA和无碳 含氮反应物以及接近1W/cm2的HFRF功率沉积得到轻微压缩的SiN膜。(RF功率以W/衬底面积表示,例如一个300mm的晶片具有约706cm2的面积)。通过添加TBA或其它含碳含氮反应物可制造更为压缩的膜。在一些情况下,采用TBA可能难于形成拉伸膜,这是因为要采用相对高的RF功率来分解所述TBA分子的叔丁基。因此,采用诸如氨或肼之类的无碳含氮反应物来获得拉伸膜是有利的。所述RF等离子体是仅HF等离子体,尽管在一些其它实施方式中,可添加LF功率。 
采用TSA和无碳反应物体系可获得的湿法刻蚀的范围也大于传统的工艺。例如针对采用TSA/氨沉积得到的SiN膜的热氧化物湿法刻蚀速度比率(WERR)对如上所述的低HFRF功率可以是约2.0。增加HFRF功率至约1W/cm2或以上可使WERR降低至约0.5。这可与范围是约0.1至1.0的DCS/TBA体系的WERR相比拟。 
低温下沉积 
如前所述,因为TSA在表面比例如二氯甲硅烷等活性更高,所以TSA可用于低温反应。另外,在一些实施方式中,相较于TBA或其他的含氮反应物,无碳含氮反应物在低温下能沉积高质量SiN膜。例如,DCS/TBA系统,由于在TBA分子中叔丁基基团裂解动力学差,在400℃及以下的温度开始表现出侧壁沉积下降。 
在一些实施方式中,使用无卤素氨基硅烷和无碳含氮反应物的SiN沉积能够在明显低于可以使用DCS/TBA及类似系统的温度下提供高质量SiN膜。例如,TSA/氨在350℃,和400℃或450℃的温度下提供高质量沉积。相应地,在一些实施方式中,TSA能够与一种或者多种无碳含氮反应物在50℃至450℃,或50℃至400℃,或50℃至350℃的温度范围内一起使用。 
保形膜 
根据各种各样的实施方式,本文描述的方法能够提供SiN膜,该SiN膜与其沉积的衬底表面是高度共形的。在一些实施方式中,阶梯覆盖率(step coverage)至少为90%。用于本说明书的目的,“阶梯覆盖率”定义为 沉积膜的两个厚度的比率,底部阶梯覆盖率是特征底部厚度与特征顶部厚度之比,侧壁阶梯覆盖率是特征侧壁的厚度与特征顶部厚度之比。 
设备 
本发明的另一方面是设置成能完成本文描述的方法的设备。合适的设备包括完成工艺操作的硬件,以及具有用于控制根据本发明的工艺操作的指令的系统控制器。 
可以理解的是,上述一个或多个实施方式中可以使用任何合适的处理站。例如,图5示意地显示了CFD处理站1300。为了简便起见,CFD处理站1300描述成单独的处理站,其具有用于维持低压环境的操作腔室体1302。然而,可以理解的是,在通常的低压操作工具环境下,可以包括多个CFD处理站1300。虽然在图5中描述的实施方式显示为一个处理站,可以理解的是,在一些实施方式中,在操作工具中可以包括多个处理站。例如,图6描述了多站的操作工具2400的实施方式。另外,可以理解的是,在一些实施方式中,CFD处理站1300的一个或多个硬件参数,包括下文详细讨论的那些,可以被一个或者多个计算机控制器程序化(programmatically)调节。 
CFD处理站1300与用于传输操作气体给散布喷头(distribution showerhead)1306的反应物传输系统1301呈流体连接。反应物传输系统1301包括混合容器(mixing vessel)1304用于混合和/或对传输到喷头1306的操作气体进行状态调整。一或多个混合容器入口阀1320控制将操作气体导入混合容器1304。 
一些反应物在汽化并随后传输到处理站之前,可以以液态形式存储。例如,图5的设备包括汽化点(vaporization point)1303用于汽化拟传输到混合容器1304中去的液态反应物。在一些实施方式中,汽化点1303可以是加热汽化器。这些汽化器产生的饱和反应物蒸汽可以在下游的传输管道中冷凝。不相容的气体暴露在冷凝的反应物中会产生小颗粒。这些小颗粒会堵塞管道、妨碍阀门运行、污染衬底,等等。解决这些问题的一些方法包括清扫和/或疏通传输管道以去除残留的反应物。然而,清扫传输管道会增加处理站循环时间,降低处理站产量。因此,在一些实施方式中,汽化点1303的下 游传输管道是伴热的(heat traced)。在一些实施方式中,混合容器1304也是伴热的。在一个非限制性的实施方式中,汽化点1303的下游传输管道具有升高的温度分步,温度自约100℃升高至在混合容器1304的约150℃。 
在一些实施方式中,液态反应物在液体喷射器中汽化。例如,液体喷射器可以以脉冲的形式把液态反应物喷射到混合容器上游的载体气流中。在一些实施方式中,液体喷射器通过迅速把液体从较高的压力到较低的压力来汽化反应物。可以理解的是,较小的液滴比较大的液滴汽化更快,从而减少液体喷射和完全汽化之间的时间。较快的汽化可以减小汽化点1303下游传输管道的长度。在一个实施方式中,液体喷射器直接安装到混合容器1304上。在另一个实施方式中,液体喷射器直接安装到喷头1306上。 
在一些实施方式中,汽化点1303上游提供有液体流控制器,用于为汽化并传输到处理站1300而控制液体质量流。在一个实施方式中,液体流控制器(LFC)包括位于LFC下游的热式质量流量计(MFM)。LFC柱塞阀的调节是响应于由正比-积分-微分控制器(PID)在与MFM电气通讯中提供的反馈控制信号。然而,使用反馈控制来稳定液体流可能需要1秒或者更多。这可能延长用于液态反应物的定量给料的时间。因此,在一些实施方式中,LFC在反馈控制模式和直接控制模式之间动态切换。在一些实施方式中,通过禁止LFC的传感管和PID控制器,LFC从反馈控制模式动态切换至直接控制模式。 
喷头1306向衬底1312散布操作气体。在图5所示的实施方式中,衬底1312位于喷头1306下方,且显示被搁在基座1308上。可以理解的是,为了把操作气体散布到衬底1312,喷头1306可以具有任何合适的形状,且可以具有任何合适的数量和端口安排。 
在一些实施方式中,微腔(microvolume)1307位于喷头1306下方。在微腔中而不是在处理站整个空间执行CFD操作,可以减少反应物的暴露和清扫时间,可以减少用于改变CFD操作条件(例如,压力,温度等)的时间,可以限制处理站机器人在操作气体中的暴露,等等。示例性的微腔体积包括但不限于,体积为0.1升和2升之间。 
在一些实施方式中,基座1308可以被抬升或降低以使得衬底 1312暴露在微腔1307中和/或改变微腔1307的体积。例如,在衬底转移相,基座1308被降低以使得衬底1312装载到基座1308上。在CFD操作相,基座1308被抬升以使得把衬底放置在微腔1307中。在一些实施方式中,微腔1307完全围绕衬底1312以及部分基座1308,以在CFD操作中创设出高流阻区域。 
可选地,在CFD操作期间,基座1308可以在微腔1307内被降低和/或抬升以调节操作压力、反应物浓度等。在一个实施方式中,在CFD操作过程中,操作腔室体1302维持在基础压力,把基座1308降低以使得微腔1307被排空。微腔相对于操作腔室体积的示例性比例,包括但不限于,体积比在1:500至1:10之间。可以理解的是,在一些实施方式中,基座高度可以通过合适的计算机控制器程序化调节。 
在另一个实施方式中,对基座1308高度的调节使得等离子体密度在CFD操作中的等离子体激活和/或处理周期是可变的。在CFD操作相结束时,基座1308在另一个衬底转移相中降低,以使得衬底1312从基座1308中移除。 
虽然本文所描述的示例微腔变化是高度可调的基座,可以理解的是,在一些实施方式中,可以相对于基座1308调整喷头1306位置,以改变微腔1307的体积。此外,可以理解的是,基座1308和/或喷头1306的垂直位置可以通过任何合适的机制改变。本领域普通技术人员可以理解的是,这样的机制包括,例如,液压装置,气动装置,弹簧机构,螺线管,等等。在一些实施方式中,基座1308可包括一个旋转机构,例如,沿着与衬底表面垂直的轴,用于旋转衬底1312的方向。可以理解的是,在一些实施方式中,一个或多个这些示例性的调整可以通过一个或多个合适的计算机控制器以编程方式执行。 
回到图5所示的实施方式,喷头1306和基座1308与RF功率源1314和匹配网络1316电气连接用于给等离子体供电。在一些实施方式中,通过控制一个或多个处理站压力、气体浓度、RF源功率、RF源频率、和等离子体电源脉冲计时器来控制等离子体能量。例如,RF功率源1314和匹配网络1316可以用任何合适的功率操作以形成具有所需要自由基种类组合的等 离子体。合适的功率的例子包括但不限于,介于100W至5000W之间的功率。同样地,RF功率源1314可提供任何合适频率的RF功率。在一些实施方式中,RF功率源1314可设置成控制相互独立的高频率和低频率RF功率源。低频率RF频率的例子可包括但不限于,介于50kHz和500kHz之间的频率。高频率RF频率的例子可包括但不限于,介于1.8MHz和2.45GHz之间的频率。可以理解的是,可以离散地或者连续地调节任何适合的参数以提供等离子体能量用于表面反应。在一个非限制性的实施方式中,相较于连续功率的等离子体,等离子体功率可以是间歇地脉冲形式,以减少衬底表面的离子轰击。 
在一些实施方式中,通过一个或更多的等离子体监视器对等离子体进行原位监测。在一个实施方式中,通过一个或多个的电压、电流传感器(例如,VI探针)对等离子体功率进行监测。在另一实施方式中,通过一个或多个发射光谱传感器(OES)对等离子体密度和/或操作气体浓度进行测量。在一些实施方式中,基于这些原位等离子体监视器的测量值,对一个或多个等离子体参数进行程序化调节。例如,OES传感器可在反馈回路中使用,用于提供对等离子体功率的程序化(programmatic)控制。可以理解的是,在一些实施方式中,其他监视器可以用来监测等离子体和其它工序的特征。这些监视器包括,但不限于,红外(IR)监视器、声学监视器、和压力传感器。 
在一些实施方式中,等离子体是通过输入/输出控制(IOC)排序指令来控制。例如,用于为等离子体操作相设置等离子体条件的指令可以被包括在相应的CFD操作配方的等离子体活化配方相中。在一些实施方式中,可依次排列操作配方相,从而使所有用于CFD操作相的指令与该操作相同步地执行。可以理解的是,等离子体产生的一些方面可以具有特征明显的瞬态和/或稳定的时间,这有可能延长等离子体操作相。换句话说,这样的时间延迟是可预期的。这种时间延迟可以包括激发等离子体的时间和在指示功率设置稳定等离子体的时间。 
在一些实施方式中,基座1308可以通过加热器1310控制温度。另外,在一些实施方式中,可以通过蝶形阀1318提供对CFD处理站1300的 压力控制。如图5所示,蝶形阀1318作为由下游真空泵(未显示)提供的真空的节流阀。然而,在一些实施方式中,处理站1300的压力控制可以通过改变导入到CFD处理站1300的一种或者多种气体的流速来调节。 
如上所述,多站操作工具可以包括一个或多个处理站。图6显示了多站操作工具2400的示意图,该多站操作工具2400具有内装载锁2402和外装载锁2404,装载锁的任一个或这两者都可能包括远程等离子体源。机械手2406,在大气压力下,被配置为经由大气端口2410通过吊舱(pod)2408装载的盒把晶片移入内装载锁2402。通过机械手2406把晶片放置在内装载锁2402的基座2412上,该大气端口2410关闭,且装载锁向下泵送。当内装载锁2402包括远程等离子体源时,晶片在导入到操作室2414之前,可暴露于装载锁中的远程等离子体处理。另外,也可以在装载锁2402内加热晶片,例如,以除去水分和吸附气体。接着,至操作室2414的腔室传输端口2416打开,并且另一个机械手(未示出)把晶片放置到第一站的基座上的反应器中用于后续处理。虽然图6中所示的实施方式包括装载锁,可以理解的是,在一些实施方式中,可以提供晶片直接进入到处理站的方式。 
所描述的操作室2414包括四个处理站,在图6所示的实施方式中,编号从1到4。每个站都有一个加热基座(2418处所示用于站1),和气体管线入口。可以理解的是,在一些实施方式中,每个处理站可具有不同的目的或多个目的。例如,在一些实施方式中,处理站可以在CFD操作模式和PECVD操作模式之间切换。另外地或备选地,在一些实施方式中,操作室2414可以包括一个或多个配对的CFD和PECVD处理站。虽然示出的操作室2414包括四个站,可以理解的是,根据本发明公开的操作室可具有任何合适数量的站。例如,在一些实施方式中,操作室可以具有五个或更多个站,而在其它实施方式中的操作室可以具有三个或更少的站。 
图6还描绘了在操作室2414内传输晶片的晶片处理系统2490。在一些实施方式中,晶片操作系统2490可以在各种处理站之间和/或在处理站和装载锁之间传输晶片。可以理解的是,可以采用任何适当的晶片操作系统。非限制性实施方式包括晶片转盘和晶片处理机械手。图6描述了系统控制器2450,用于控制操作工具2400的操作条件和硬件状态。系统控制器 2450可包括一个或多个存储设备2456,一个或多个大容量存储设备2454,和一个或多个处理器2452。处理器2452可以包括CPU或计算机,模拟和/或数字输入/输出接头,步进电机控制器板,等等。 
在一些实施方式中,系统控制器2450控制操作工具2400的所有活动。系统控制器2450执行存储在大容量存储设备2454中的、加载到存储设备2456的和在处理器2452上执行的系统控制软件2458。系统控制软件2458可包括用于控制计时、气体混合、腔室和/或站压力、腔室和/或站温度、晶片温度、目标功率水平、RF功率水平、衬底基座、夹盘和/或感受器(susceptor)位置、和由操作工具2400执行的特定工艺的其他参数。系统控制软件2458可以以任何合适的方式配置。例如,可以编写各种操作工具组件的子程序或控制对象用于控制操作工具组件执行各种操作工具操作所必须的操作。可以以任何合适的计算机可读的编程语言编码系统控制软件2458。 
在一些实施方式中,系统控制软件2458可包括输入/输出控制(IOC)排序指令,用于控制上面描述的各种参数。例如,CFD操作的各相可以包括用于由系统控制器2450执行的一个或多个指令。相应的CFD配方相可以包括用于设定CFD操作相操作条件的指令。在一些实施方式中,CFD配方相可以依次排列,从而使得用于CFD操作相的所有指令与该操作相同步执行。 
在一些实施方式中可以采用与系统控制器2450相关联的、存储在大容量存储设备2454和/或存储设备2456上的、其他计算机软件和/或程序。用于此目的的示例性程序或部分程序包括衬底定位程序、操作气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。 
衬底定位程序可以包括用于操作工具组件的程序代码,该操作工具组件把衬底装载到基座2418且控制衬底和操作工具2400其他部件之间的距离。 
操作气体控制程序可以包括代码,该代码用于控制气体成分和流速,且可选地用于在沉积之前把气体通入一个或者多个处理站以便稳定处理站压力。压力控制程序可包括通过调节例如处理站的排放系统内的节流阀和进入处理站的气流等来控制处理站内的压力。 
加热器控制程序可以包括用于控制加热单元电流的代码,该加热单元用于加热衬底。可选地,加热器控制程序可以控制热传输气体(例如氦气)传输到衬底。 
等离子体控制程序可以包括代码,其用于设置应用到一个或者多个处理站中操作电极的RF功率水平。 
在一些实施方式中,可以有与系统控制器2450相关的用户界面。该用户界面可以包括显示屏、设备和/或操作条件的图解软件显示、及用户输入装置,例如定点设备、键盘、触摸屏、麦克风,等等。 
在一些实施方式中,由系统控制器2450调整的参数可能涉及操作条件。非限制性例子包括操作气体成分和流速、温度、压力、等离子体条件(如RF偏置功率水平)、压力、温度,等等。这些参数可以以配方的形式提供给用户,这可利用用户界面输入。 
用于监测操作的信号可以从各种操作工具传感器由系统控制器2450的模拟和/或数字输入接头提供。用于控制操作的信号可以在操作工具2400的模拟和数字输出接头输出。可被监测的操作工具传感器的非限制性例子包括质量流量控制器、压力传感器(如压力计)、热电偶、等等。来自这些传感器的数据可以与适当地程序化的反馈和控制算法一起使用,以维持操作条件。 
系统控制器2450可提供用于实现上述的沉积方法的程序指令。该程序指令可以控制各种工艺参数,例如DC功率水平、RF偏压功率水平、压力、温度、等等。该指令可以根据本文所描述的各种实施方式,控制用于操作膜堆叠原位沉积的参数。 
典型地,系统控制器包括一个或多个存储设备和一个或多个处理器,其被配置为执行指令,以使得该装置执行根据本发明的方法。包含用于控制根据本发明的工艺操作的指令的机器可读介质,可以被耦合到系统控制器。 
图案化方法/设备 
本文描述的设备/方法可以与光刻图案化(lithographic  patterning)工具或方法联用,例如,用于半导体设备、显示器、LED、光电板等等的制造和生产。通常,但不是必定,这样的工具/方法将和普通的制造设施一起使用或操作。膜的光刻图案化通常包括部分或所有的以下步骤,每一步骤用一些可能的工具启动:(1)使用旋涂或喷涂工具在工件(即,衬底)上施用光刻胶;(2)使用热板或炉或UV固化工具固化光刻胶;(3)使用晶片分档器(wafer stepper)等工具将光刻胶在可见光或紫外线或X-射线下曝光;(4)使用诸如湿法工作台(wet bench)等工具,对抗蚀剂进行显影,以便选择性地除去抗蚀剂,从而进行图案化;(5)通过使用干的或等离子体辅助蚀刻工具,将光致抗蚀图案(resist pattern)转移到基底膜或工件上;和(6)使用诸如RF或微波等离子体抗蚀剂剥离器(microwave plasma resist stripper.)等工具,去除抗蚀剂。在一个实施方式中,SiN膜使用如本文所述的方法形成。SiN膜用于,例如,本文所述的目的之一。此外,该方法包括如上所述的步骤(1)-(6)中一个或多个。 
实施例 
参照下面的实施例进一步理解本发明,下面的实施例的目的纯粹是示例性的。另外,本发明的范围并不限于所示的实施例,实施例仅仅旨在说明本发明的单个方面。在功能上等同的任何方法,落入本发明的范围之内。除了本文所描述的那些,对于本领域技术人员来说,从前面的描述和附图出发,对本发明所做的各种各样的修改是显而易见的。此外,这样的修改都落入所附权利要求的范围。 
实施例1 
表1包括了一些反应伙伴(reaction partners),以及可能用于根据本文所描述的实施例制备SiN膜的温度和压力参数。 
Figure BDA00002757347700261
Figure BDA00002757347700271
方法A使用TSA作为含硅反应物,用氨作为含氮反应物。根据正在被处理衬底的要求,温度范围为50℃至约550℃。RF功率的范围可以从约0.15W/cm2至约3W/cm2,以便如上所述调整应力。 
方法B是方法A的一个具体例子,在一个较低的温度下,约50℃和450℃之间(这取决于对热预算的考量),使用TSA和氨沉积拉伸SiN膜。例如,具有铜膜的衬底,可在小于约400℃的温度下处理,以防止铜晶粒结构的改变。例如,GST合金,可以在温度大约350℃-375℃处理。如上所述,TSA和氨可用来在这些较低的温度下在合理的沉积速率下提供具有高阶梯覆盖率的高品质膜。RF功率相对较低以实现拉伸膜。 
方法C是方法A的另一具体例子,在一个较低的温度下,约300℃和400℃之间(这取决于对热预算的考量),使用TSA和氨沉积拉伸SiN膜。该温度范围可用于在包括铜、GST合金、和其他热敏感材料的衬底上沉积SiN膜,同时保持较高的沉积速率。 
方法D是方法A的另一具体例子,且与方法C类似,除了使用更高的RF功率以便沉积更高压缩的膜。 
方法E-H是使用氨和TBA的混合物作为含氮反应物以便调整所沉积的SiN膜的应力和湿法刻蚀速率的例子。在一个实施例中,方法E可以与诸如方法D那样的较高RF水平、与TBA一起使用,TBA的存在会使膜具有更高的压缩。 
方法I是使用TSA和TBA分别作为含硅和含氮反应物的方法的例子。例如,方法I可以用于沉积压缩的无卤素SiN膜。 
在上述方法实施例中,诸如肼等无碳含氮反应物可用于替代氨,或者在氨之外使用。如上所述,其他的含碳反应物可用于替代TBA,或者在TBA之外使用。 
实施例2 
300毫米晶片放入真空室。在该室内,该晶片由铝质基座支撑,该铝质基座在整个操作过程中被加热。例如,该基座加热到约50℃至约550℃之间的一个恒定温度。三硅烷基胺(TSA)作为约0.25slm至约5slm(标准升每分钟)之间的气相流被引入到反应器中约1秒至约30秒之间,以把TSA吸附到晶片表面上。TSA流停止后,用惰性气体流清扫反应器中的剩余气相TSA和任何副产物。然后,在反应器中建立约1slm至约10slm之间的氨气相流约1秒至约30秒之间。一种等离子体,例如,13.56MHz,功率150W,在晶片的上方点燃约1秒至约15秒之间。在反应器中的惰性气体流清扫剩余的气相氨和任何副产物。重复TSA流、惰性气体清扫、氨流、等离子体和惰性气体清扫,以沉积具有所需厚度的SiN膜。每个循环沉积约0.5埃至约1.5埃之间的SiN膜。 
可替代地,1-5slm的TBA可以用于替代氨,或者在氨之外使用。 
在另一种替代方案,在CFD运行中,氨流(和/或TBA流)连续运行。在这些运行中,使用如上所述的相同的条件,除了首先建立并维持氨流。以与上述相同的速率和时间,把TSA流导入到反应器中,接着如上所述用惰性气体清扫。等离子体如上所述被点燃,接着如上述的惰性气体清扫。重复TSA流、惰性气体清扫、等离子体点燃和惰性气体清扫,以沉积具有所需厚度的SiN膜。每个所述的循环沉积约0.5埃至约1.5埃之间的SiN膜。 
实施例3 
如实施例2中所描述的方法,通过使用TSA和氨在450℃温度下沉积SiN膜。图7显示了沉积膜的I-V曲线。值得一提的是,SiN膜在高达约22MV/cm的施加电场不会破裂。图8显示了各种特征深宽比的底部和侧面阶梯覆盖率。 
沉积SiN膜的方法使用以下系统:DCS/TBA,TSA/TBA和 TSA/氨。每种膜的折射率是DCS/TBA:1.83,TSA/TBA:1.83,TSA/氨:1.90。 
出于清楚地理解的目的,虽然前面已经描述了一些细节,显然的是,在所附权利要求的范围内可以作出一定的改变和修改。应当注意的是,有许多替代的方式来实施所述的方法、系统和装置。因此,所述的实施方式应被视为说明性的,而不是限制性的。 

Claims (24)

1.一种在反应室中在衬底上形成氮化硅材料的方法,包括:
周期性将所述衬底暴露于无卤素含硅反应物的气相流,其中,所述无卤素含硅反应物被吸附在所述衬底的表面上;
将所述衬底暴露于第一含氮反应物的气相流,其中,所述含氮反应物被吸附在所述衬底的所述表面上;以及
当气相含氮反应物在所述反应室中存在并且所述无卤素含硅反应物的所述气相流已停止时,周期性地点燃所述反应室中的等离子体。
2.根据权利要求1所述的方法,其中,所述无卤素含硅反应物是三硅烷基胺(TSA)。
3.根据权利要求1所述的方法,其中,所述第一含氮反应物是无碳的。
4.根据权利要求1或2所述的方法,其中,所述第一含氮反应物是胺。
5.根据权利要求1-3中的任何一项所述的方法,其中,所述第一含氮反应物是无碳的,且所述方法进一步包括使含有碳的第二含氮反应物的气相流流动。
6.根据权利要求5所述的方法,其中,所述第二含氮反应物是C1-10烷基胺。
7.根据权利要求5或6所述的方法,其中,所述第一含氮反应物与所述第二含氮反应物的体积流量比介于约1:1和10:1之间。
8.根据权利要求5或6所述的方法,其中,所述第一含氮反应物与所述第二含氮反应物的体积流量比介于约1:1和4:1之间。
9.根据权利要求5或6所述的方法,其中,所述第一含氮反应物与所述第二含氮反应物的体积流量比介于约1:10和1:1之间。
10.根据权利要求5或6所述的方法,其中,所述第一含氮反应物与所述第二含氮反应物的体积流量比介于约1:4和1∶1之间。
11.根据权利要求1-3中的任一项所述的方法,其中,所述衬底包括锗-碲-锑合金、在其上形成所述氮化硅材料的金属表面以及在其上形成所述氮化硅材料的氧化物表面中的一个;。
12.根据权利要求1-3中的任一项所述的方法,其中,所述等离子体或活化能的源是具有功率为在约0.15W/cm2和0.5W/cm2之间的RF等离子体。
13.根据权利要求1-3中的任一项所述的方法,其中,所述等离子体或活化能的源是具有功率为在约1W/cm2和3W/cm2之间的RF等离子体。
14.根据权利要求1-3中的任一项所述的方法,其中,所述等离子体或活化能的源是仅HF的RF等离子体。
15.根据权利要求1-3中的任一项所述的方法,其中,所述衬底的温度保持在不超过约400℃。
16.根据权利要求1-3中的任一项所述的方法,其中,所述衬底的温度保持在不超过约375℃。
17.根据权利要求1-3中的任一项所述的方法,其中,所述衬底的温度保持在不超过约350℃。
18.根据权利要求1-3中的任一项所述的方法,其中,所述衬底的温度保持在不超过约325℃。
19.根据权利要求1-3中的任一项所述的方法,其中,所述氮化硅材料的应力在约-4GPa和-2GPA之间。
20.根据权利要求1-3中的任一项所述的方法,其中,所述氮化硅材料的应力在约-2GPa和1GPA之间。
21.根据权利要求1-3中的任一项所述的方法,其中,所述氮化硅材料是无卤素的。
22.根据权利要求1-3中的任一项所述的方法,其中,所述衬底的表面包括一个或多个凸起或凹陷的特征,并且所述氮化硅材料对所述一个或多个凸起或凹陷的特征是保形的。
23.一种用于在半导体衬底上沉积氮化硅膜的装置,所述装置包括:
(a)反应室;
(b)形成所述氮化硅膜的活化能的源;
(c)反应物入口;以及
(d)包括用于以下项的指令的控制器:
在沉积循环期间使第一和第二含氮反应物流动到所述反应室中;
在所述沉积循环期间周期性使无卤素含硅反应物流动到所述反应室中;
当所述含硅反应物的所述流动已经停止且当所述第一和第二含氮反应物以气相形式存在于所述反应室中时,周期性地点燃所述反应室中的等离子体。
24.根据权利要求23所述的装置,其中,所述活化能的源是等离子体发生器、感应线圈和微波源中的一个或多个。
CN2013100214608A 2012-01-20 2013-01-21 用于沉积无氯保形SiN 膜的方法 Pending CN103225071A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261588964P 2012-01-20 2012-01-20
US61/588,964 2012-01-20
US13/414,619 US8592328B2 (en) 2012-01-20 2012-03-07 Method for depositing a chlorine-free conformal sin film
US13/414,619 2012-03-07

Publications (1)

Publication Number Publication Date
CN103225071A true CN103225071A (zh) 2013-07-31

Family

ID=47709842

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2013100214608A Pending CN103225071A (zh) 2012-01-20 2013-01-21 用于沉积无氯保形SiN 膜的方法

Country Status (7)

Country Link
US (3) US8592328B2 (zh)
EP (1) EP2618365A3 (zh)
JP (2) JP6635640B2 (zh)
KR (1) KR20130085900A (zh)
CN (1) CN103225071A (zh)
SG (2) SG10201505472UA (zh)
TW (1) TWI609426B (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105448701A (zh) * 2014-09-24 2016-03-30 朗姆研究公司 均匀减少氮化硅膜的特征内湿法蚀刻速率的方法和装置
CN105849221A (zh) * 2013-09-27 2016-08-10 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
CN108028171A (zh) * 2015-09-18 2018-05-11 应用材料公司 氮化硅在高深宽比结构上的低温保形沉积
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10141505B2 (en) 2015-09-24 2018-11-27 Lam Research Corporation Bromine containing silicon precursors for encapsulation layers
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
CN110846636A (zh) * 2018-08-20 2020-02-28 应用材料公司 用于处理腔室的涂覆材料
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
TWI695082B (zh) * 2014-11-24 2020-06-01 美商蘭姆研究公司 無氨無氯保形氮化矽膜的沉積方法
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis

Families Citing this family (400)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6236709B2 (ja) * 2014-10-14 2017-11-29 大陽日酸株式会社 シリコン窒化膜の製造方法及びシリコン窒化膜
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9474163B2 (en) * 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
EP3247688A4 (en) * 2015-01-23 2018-01-24 Hewlett-Packard Development Company, L.P. Susceptor materials for 3d printing using microwave processing
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
KR102080114B1 (ko) * 2015-09-21 2020-02-24 주식회사 원익아이피에스 질화막의 제조방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
DE102016200506B4 (de) * 2016-01-17 2024-05-02 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10224235B2 (en) 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
WO2017154202A1 (ja) * 2016-03-11 2017-09-14 大陽日酸株式会社 シリコン窒化膜の製造方法及びシリコン窒化膜
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
WO2017189581A1 (en) * 2016-04-25 2017-11-02 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
JP2019517142A (ja) * 2016-05-17 2019-06-20 アメリカ合衆国 AlGaN/GaN高電子移動度トランジスタのダメージフリープラズマCVDパッシベーション
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
JP6568508B2 (ja) 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) * 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
WO2018187083A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Surface modification to improve amorphous silicon gapfill
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6946769B2 (ja) * 2017-06-15 2021-10-06 東京エレクトロン株式会社 成膜方法、成膜装置、及び記憶媒体
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
JP6839672B2 (ja) * 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI821283B (zh) * 2018-04-29 2023-11-11 美商應用材料股份有限公司 沉積方法
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20200038184A (ko) 2018-10-01 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11211243B2 (en) * 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11217443B2 (en) * 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN114127890A (zh) 2019-05-01 2022-03-01 朗姆研究公司 调整的原子层沉积
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US20200381623A1 (en) * 2019-05-31 2020-12-03 Applied Materials, Inc. Methods of forming silicon nitride encapsulation layers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11482414B2 (en) * 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN118402039A (zh) * 2021-12-14 2024-07-26 朗姆研究公司 硅氮化物的保形沉积
WO2023178216A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Low-k dielectric protection during plasma deposition of silicon nitride
TW202413715A (zh) * 2022-05-24 2024-04-01 美商蘭姆研究公司 混合式原子層沉積
WO2023230296A1 (en) * 2022-05-27 2023-11-30 Lam Research Corporation Single wafer reactor, low temperature, thermal silicon nitride deposition

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1732288A (zh) * 2002-12-20 2006-02-08 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
CN101006195A (zh) * 2004-08-20 2007-07-25 乔治洛德方法研究和开发液化空气有限公司 制备氮化硅膜的方法
CN101255548A (zh) * 2007-02-27 2008-09-03 气体产品与化学公司 含硅膜的等离子体增强周期化学气相沉积
CN101378007A (zh) * 2007-08-31 2009-03-04 东京毅力科创株式会社 等离子体处理装置
US20100124621A1 (en) * 2008-11-14 2010-05-20 Asm Japan K.K. Method of Forming Insulation Film by Modified PEALD
US20110086516A1 (en) * 2009-10-14 2011-04-14 Asm Japan K.K. METHOD OF DEPOSITING DIELECTRIC FILM HAVING Si-N BONDS BY MODIFIED PEALD METHOD
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods

Family Cites Families (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) * 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) * 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) * 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) * 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100340716B1 (ko) * 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
CA2387341A1 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
CN1216415C (zh) * 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
WO2004009861A2 (en) * 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) * 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
CN102191483B (zh) 2003-04-23 2012-10-03 艾克斯特朗公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) * 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) * 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) * 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
TW201415551A (zh) 2006-03-31 2014-04-16 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) * 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR101057877B1 (ko) * 2006-09-19 2011-08-19 도쿄엘렉트론가부시키가이샤 플라즈마 세정 방법 및 플라즈마 cvd 방법
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
CN101657564A (zh) 2007-02-12 2010-02-24 莲花应用技术有限责任公司 用原子层沉积制备复合材料
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
WO2009039251A1 (en) * 2007-09-18 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) * 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
WO2010151856A2 (en) 2009-06-26 2010-12-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
JP5742185B2 (ja) * 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9040127B2 (en) 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
WO2013043330A1 (en) 2011-09-23 2013-03-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JPWO2013137115A1 (ja) 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8784951B2 (en) * 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1732288A (zh) * 2002-12-20 2006-02-08 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
CN101006195A (zh) * 2004-08-20 2007-07-25 乔治洛德方法研究和开发液化空气有限公司 制备氮化硅膜的方法
CN101255548A (zh) * 2007-02-27 2008-09-03 气体产品与化学公司 含硅膜的等离子体增强周期化学气相沉积
CN101378007A (zh) * 2007-08-31 2009-03-04 东京毅力科创株式会社 等离子体处理装置
US20100124621A1 (en) * 2008-11-14 2010-05-20 Asm Japan K.K. Method of Forming Insulation Film by Modified PEALD
US20110086516A1 (en) * 2009-10-14 2011-04-14 Asm Japan K.K. METHOD OF DEPOSITING DIELECTRIC FILM HAVING Si-N BONDS BY MODIFIED PEALD METHOD
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105849221B (zh) * 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
CN105849221A (zh) * 2013-09-27 2016-08-10 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
CN105793270B (zh) * 2013-09-27 2019-09-27 乔治洛德方法研究和开发液化空气有限公司 通过催化脱氢偶联以无卤素方式合成氨基硅烷的方法
CN105448701B (zh) * 2014-09-24 2018-10-19 朗姆研究公司 均匀减少氮化硅膜的特征内湿法蚀刻速率的方法和装置
CN109609928A (zh) * 2014-09-24 2019-04-12 朗姆研究公司 均匀减少氮化硅膜的特征内湿法蚀刻速率的方法和装置
CN105448701A (zh) * 2014-09-24 2016-03-30 朗姆研究公司 均匀减少氮化硅膜的特征内湿法蚀刻速率的方法和装置
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
TWI695082B (zh) * 2014-11-24 2020-06-01 美商蘭姆研究公司 無氨無氯保形氮化矽膜的沉積方法
CN108028171A (zh) * 2015-09-18 2018-05-11 应用材料公司 氮化硅在高深宽比结构上的低温保形沉积
US10141505B2 (en) 2015-09-24 2018-11-27 Lam Research Corporation Bromine containing silicon precursors for encapsulation layers
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
CN110846636A (zh) * 2018-08-20 2020-02-28 应用材料公司 用于处理腔室的涂覆材料

Also Published As

Publication number Publication date
SG10201505472UA (en) 2015-09-29
TW201342476A (zh) 2013-10-16
US20150259791A1 (en) 2015-09-17
JP6635640B2 (ja) 2020-01-29
JP2013153164A (ja) 2013-08-08
US9670579B2 (en) 2017-06-06
EP2618365A2 (en) 2013-07-24
TWI609426B (zh) 2017-12-21
US20140141626A1 (en) 2014-05-22
US9070555B2 (en) 2015-06-30
US8592328B2 (en) 2013-11-26
US20130189854A1 (en) 2013-07-25
JP2018174327A (ja) 2018-11-08
KR20130085900A (ko) 2013-07-30
EP2618365A3 (en) 2014-05-14
SG192375A1 (en) 2013-08-30

Similar Documents

Publication Publication Date Title
CN103225071A (zh) 用于沉积无氯保形SiN 膜的方法
CN111247269B (zh) 介电膜的几何选择性沉积
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
TWI714534B (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
CN110402477B (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
CN106057637B (zh) 通过原子层沉积和原子层蚀刻沉积共形膜
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
US10454029B2 (en) Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
TWI589722B (zh) 用以透過與有機金屬共反應物之交叉歧化反應而沉積SiC與SiCN膜之設備及方法
CN107393809A (zh) 使用pecvd沉积保形和低湿蚀刻速率的封装层的方法
KR102708941B1 (ko) 유기실리콘 전구체를 사용한 웨이퍼 표면의 소수성 개질
CN104651807A (zh) 用于低温ald膜的室底涂层制备方法
KR20130057409A (ko) 개선된 질화 규소 필름 및 그 개선 방법
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20130731

RJ01 Rejection of invention patent application after publication