JP6839672B2 - 半導体装置の製造方法、基板処理装置およびプログラム - Google Patents

半導体装置の製造方法、基板処理装置およびプログラム Download PDF

Info

Publication number
JP6839672B2
JP6839672B2 JP2018019245A JP2018019245A JP6839672B2 JP 6839672 B2 JP6839672 B2 JP 6839672B2 JP 2018019245 A JP2018019245 A JP 2018019245A JP 2018019245 A JP2018019245 A JP 2018019245A JP 6839672 B2 JP6839672 B2 JP 6839672B2
Authority
JP
Japan
Prior art keywords
gas
treatment
processing
gas supply
space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018019245A
Other languages
English (en)
Other versions
JP2019140146A (ja
Inventor
司 鎌倉
司 鎌倉
盛満 和広
和広 盛満
秀治 板谷
秀治 板谷
西谷 英輔
英輔 西谷
俊 松井
俊 松井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Priority to JP2018019245A priority Critical patent/JP6839672B2/ja
Priority to CN201811034110.4A priority patent/CN110120331A/zh
Priority to TW107132094A priority patent/TWI712086B/zh
Priority to KR1020180110975A priority patent/KR20190095086A/ko
Priority to US16/133,247 priority patent/US10714316B2/en
Publication of JP2019140146A publication Critical patent/JP2019140146A/ja
Application granted granted Critical
Publication of JP6839672B2 publication Critical patent/JP6839672B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本開示は、半導体装置の製造方法、基板処理装置およびプログラムに関する。
半導体装置の製造工程では、原料ガス等の第一処理ガスと、反応ガス等の第二処理ガスのプラズマとを、ウエハ等の基板に交互に供給するサイクリック処理を行うことで、その基板に対して成膜処理等の処理を行うことがある(例えば、特許文献1参照)。
特開2015−92533号公報
本開示は、基板に対する処理を良好に行うことを可能にする技術を提供する。
一態様によれば、
基板を収容した状態の処理空間に第一処理ガスを供給するとともに前記第一処理ガスのキャリアガスとして不活性ガスを用いる第一工程と、
前記基板を収容した状態の前記処理空間に第二処理ガスのプラズマを供給するとともに前記第二処理ガスのキャリアガスとして活性補助ガスを用いる第二工程と、
を有する技術が提供される。
本開示に係る技術によれば、基板に対する処理を良好に行うことが可能になる。
本発明の一実施形態に係る基板処理装置の構成例を模式的に示す側断面図である。 本発明の一実施形態に係る基板処理装置が有するコントローラの構成例を模式的に示すブロック図である。 本発明の一実施形態に係る基板処理装置で行われる成膜工程の基本的な手順を示すフロー図である。 本発明の一実施形態に係る基板処理装置で行われる成膜工程の第一の処理パターンの例を示すチャート図である。 本発明の一実施形態に係る基板処理装置で行われる成膜工程の第二の処理パターンの例を示すチャート図である。 本発明の一実施形態に係る基板処理装置で行われる成膜工程の第三の処理パターンの例を示すチャート図である。
<本発明の一実施形態>
以下に、本発明の一実施形態について、図面を参照しながら説明する。
(1)基板処理装置の構成
先ず、本発明の一実施形態に係る基板処理装置の構成について説明する。
本実施形態に係る基板処理装置は、半導体装置の製造工程で用いられるもので、処理対象となる基板に対して一枚ずつ処理を行う枚葉式の基板処理装置として構成されている。
処理対象となる基板としては、例えば、半導体集積回路装置(半導体デバイス)が作り込まれる半導体ウエハ基板(以下、単に「ウエハ」という。)が挙げられる。
また、基板処理装置が行う処理としては、例えば、酸化処理、拡散処理、イオン打ち込み後のキャリア活性化や平坦化のためのリフローやアニール、成膜処理等がある。本実施形態では、特に成膜処理を行う場合を例に挙げる。
以下、本実施形態に係る基板処理装置の構成について、図1を参照しながら説明する。
図1は、本実施形態に係る基板処理装置の構成例を模式的に示す側断面図である。
(チャンバ)
図1に示すように、基板処理装置100は、処理容器としてのチャンバ202を備えている。チャンバ202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、チャンバ202は、例えばアルミニウム(Al)やステンレス(SUS)等の金属材料により構成されている。チャンバ202内には、基板としてのシリコンウエハ等のウエハ200を処理する処理空間205と、ウエハ200を処理空間205に搬送する際にウエハ200が通過する搬送空間206とが形成されている。チャンバ202は、上部容器202aと下部容器202bで構成される。上部容器202aと下部容器202bの間には、仕切り板208が設けられる。
下部容器202bの側面には、ゲートバルブ149に隣接した基板搬入出口148が設けられており、その基板搬入出口148を介してウエハ200が図示しない搬送室との間を移動する。下部容器202bの底部には、リフトピン207が複数設けられている。さらに、下部容器202bは、接地されている。
ゲートバルブ149は、弁体149aと駆動体149bを有する。弁体149aは、駆動体149bの一部に固定されている。ゲートバルブ149を開く際は、駆動体149bがチャンバ202から離れるように動作し、弁体149aをチャンバ202の側壁から離間させる。ゲートバルブを閉じる際は、駆動体149bがチャンバ202に向かって動き、弁体149aをチャンバ202の側壁に押し付けるようにして閉じる。
処理空間205内には、ウエハ200を支持する基板支持部210が設けられている。基板支持部210は、ウエハ200を載置する基板載置面211と、基板載置面211を表面に持つ基板載置台212と、基板載置台212に内包された加熱源としてのヒータ213と、を主に有する。基板載置台212には、リフトピン207が貫通する貫通孔214が、リフトピン207と対応する位置にそれぞれ設けられている。ヒータ213には、ヒータ213の温度を制御する温度制御部220が接続される。
基板載置台212は、シャフト217によって支持される。シャフト217の支持部は、チャンバ202の底壁に設けられた穴215を貫通しており、さらには支持板216を介してチャンバ202の外部で昇降機構218に接続されている。そして、昇降機構218を作動させてシャフト217および基板載置台212を昇降させることにより、基板載置面211上に載置されるウエハ200を昇降させることが可能となっている。なお、シャフト217下端部の周囲は、ベローズ219により覆われている。これにより、チャンバ202内は、気密に保持されている。
基板載置台212は、ウエハ200の搬送時には、基板載置面211が基板搬入出口148に対向する位置まで下降する。また、ウエハ200の処理時には、図1で示されるように、ウエハ200が処理空間205内の処理位置となるまで上昇する。
具体的には、基板載置台212をウエハ搬送位置まで下降させた時には、リフトピン207の上端部が基板載置面211の上面から突出して、リフトピン207がウエハ200を下方から支持するようになっている。また、基板載置台212をウエハ処理位置まで上昇させたときには、リフトピン207は基板載置面211の上面から埋没して、基板載置面211がウエハ200を下方から支持するようになっている。なお、リフトピン207は、ウエハ200と直接触れるため、例えば、石英やアルミナ等の材質で形成することが望ましい。
(シャワーヘッド)
処理空間205の上部(上流側)には、ガス分散機構としてのシャワーヘッド230が設けられている。シャワーヘッド230の蓋231には、第一分散機構241が挿入される貫通孔231aが設けられる。第一分散機構241は、シャワーヘッド内に挿入される先端部241aと、蓋231に固定されるフランジ241bとを有する。
先端部241aは柱状であり、例えば円柱状に構成される。円柱の側面には、分散孔が設けられている。後述するチャンバのガス供給部(供給系)から供給されるガスは、先端部241aを介してバッファ空間232に供給される。
シャワーヘッド230は、ガスを分散させるための第二分散機構としての分散板234を備えている。この分散板234の上流側がバッファ空間232であり、下流側が処理空間205である。分散板234には、複数の貫通孔234aが設けられている。分散板234は、基板載置面211と対向するように配置されている。
蓋231には、シャワーヘッド230を加熱するシャワーヘッド加熱部231bが設けられる。シャワーヘッド加熱部231bは、バッファ空間232に供給されたガスが再液化しない温度に加熱する。例えば、100℃程度に加熱するよう制御される。
分散板234は、例えば円盤状に構成される。貫通孔234aは、分散板234の全面にわたって設けられている。隣接する貫通孔234aは、例えば等距離で配置されている。また、最外周に配置された貫通孔234aは基板載置台212上に載置されたウエハの外周よりも外側に配置される。
さらに、シャワーヘッド230は、第一分散機構241から供給されるガスを分散板234まで案内するガスガイド235を有する。ガスガイド235は、分散板234に向かうにつれて径が広がる形状であり、ガスガイド235の内側が錐体形状(例えば円錐状。錘状とも呼ぶ。)となっている。ガスガイド235は、その下端が、分散板234の最も外周側に形成される貫通孔234aよりもさらに外周側に位置するように形成される。
シャワーヘッド230の支持ブロック233は、上部容器202aが有するフランジ上に載置されて固定される。また、分散板234は、支持ブロック233が有するフランジ233a上に載置されて固定される。さらに、蓋231は、支持ブロック233の上面に固定される。このような構造とすることで、上方から、蓋231、分散板234、支持ブロック233の順に取り外すことが可能となる。
(ガス供給系)
シャワーヘッド230の蓋231に設けられたガス導入孔231aには、チャンバ側のガス供給管でもある第一分散機構241が接続されている。第一分散機構241には、共通ガス供給管242が接続されている。第一分散機構241には、フランジが設けられ、ねじ等によって、蓋231に固定されるとともに、共通ガス供給管242のフランジに固定される。
第一分散機構241と共通ガス供給管242は、管の内部で連通している。これにより、共通ガス供給管242から供給されるガスが、第一分散機構241、ガス導入孔231aを介して、シャワーヘッド230内に供給されるようになっている。
共通ガス供給管242には、第一ガス供給管243a、第二ガス供給管244a、第三ガス供給管245aが接続されている。第二ガス供給管244aは、詳細を後述するようにガスを励起してプラズマ状態にするプラズマ生成部としてのリモートプラズマユニット(RPU)244eを介して、共通ガス供給管242に接続される。
第一ガス供給管243aを含む第一ガス供給系243からは主に処理ガスの一つ(以下「第一処理ガス」という。)が供給され、第二ガス供給管244aを含む第二ガス供給系244からは主に処理ガスの他の一つ(以下「第二処理ガス」という。)が供給される。第三ガス供給管245aを含む第三ガス供給系245からは、例えば不活性ガスが供給される。
(第一ガス供給系)
第一ガス供給管243aには、上流方向から順に、第一ガス供給源243b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)243c、および、開閉弁であるバルブ243dが設けられている。そして、第一ガス供給管243aからは、第一処理ガスが、MFC243c、バルブ243d、共通ガス供給管242を介して、シャワーヘッド230に供給される。
第一処理ガスは、第一元素を含有する原料ガスである。ここで、第一元素は、例えばシリコン(Si)である。すなわち、第一元素含有ガスは、例えばシリコン含有ガスである。具体的には、シリコン含有ガスとしては、例えばジクロロシラン(Dichlorosilane(SiHCl):DCS)ガスを用いることができる。なお、第一元素含有ガスは、常温常圧で固体、液体または気体のいずれであってもよい。第一元素含有ガスが常温常圧で液体の場合は、第一ガス供給源243bとMFC243cとの間に、図示しない気化器を設ければよい。ここでは気体として説明する。
主に、第一ガス供給管243a、MFC243c、バルブ243dにより、第一ガス供給系243(以下「シリコン含有ガス供給系」ともいう。)が構成される。なお、第一ガス供給源243b、共通ガス供給管242を、第一ガス供給系243に含めて考えてもよい。
(第一不活性ガス供給系)
第一ガス供給管243aのバルブ243dよりも下流側には、第一不活性ガス供給管246aの下流端が接続されている。第一不活性ガス供給管246aには、上流方向から順に、不活性ガス供給源246b、MFC246cおよびバルブ246dが設けられている。そして、第一不活性ガス供給管246aからは、不活性ガスが、MFC246c、バルブ246d、第一ガス供給管243a、共通ガス供給管242を介して、シャワーヘッド230に供給される。
ここで、不活性ガスは、第一処理ガスのキャリアガスとして作用するものである。具体的には、例えば、窒素(N)ガスを用いることができる。
主に、第一不活性ガス供給管246a、MFC246c、バルブ246dにより、第一不活性ガス供給系が構成される。なお、不活性ガス供給源246b、第一ガス供給管243aを、第一不活性ガス供給系に含めて考えてもよい。
また、第一不活性ガス供給系については、第一ガス供給系243に含めて考えてもよい。
(第二ガス供給系)
第二ガス供給管244aには、下流にRPU244eが設けられている。上流には、上流方向から順に、第二ガス供給源244b、MFC244cおよびバルブ244dが設けられている。そして、第二ガス供給管244aからは、第二処理ガスが、MFC244c、バルブ244d、RPU244e、共通ガス供給管242を介して、シャワーヘッド230内に供給される。第二処理ガスは、RPU244eによりプラズマ状態とされて活性種となり、ウエハ200上に照射される。
第二処理ガスは、第一元素とは異なる第二元素を含有するガスである。ここで、第二元素は、例えば、酸素(O)、窒素(N)、炭素(C)のいずれか一つである。本実施形態において、第二元素含有ガスは、例えば窒素含有ガスであるものとする。具体的には、窒素含有ガスとしては、アンモニア(NH)ガスが用いられる。なお、第二元素含有ガスである第二処理ガスについては、反応ガスまたは改質ガスとして考えてもよい。
主に、第二ガス供給管244a、MFC244c、バルブ244dにより、第二ガス供給系(以下「窒素含有ガス供給系」ともいう。)244が構成される。なお、第二ガス供給源244b、RPU244e、共通ガス供給管242を、第二ガス供給系244に含めて考えてもよい。
(活性補助ガス供給系)
第二ガス供給管244aのバルブ244dよりも下流側には、活性補助ガス供給管247aの下流端が接続されている。活性補助ガス供給管247aには、上流方向から順に、活性補助ガス供給源247b、MFC247cおよびバルブ247dが設けられている。そして、活性補助ガス供給管247aからは、活性補助ガスが、MFC247c、バルブ247d、第二ガス供給管244a、RPU244eを介して、シャワーヘッド230内に供給される。
活性補助ガスは、第二処理ガスのキャリアガスとして作用するものであり、さらには活性種の失活を抑制するガスである。具体的には、例えば、Arガス等の希ガスを用いることができる。また、第18族元素を含有するものであれば、Arガスのほか、例えばHeガス、Neガス等を用いてもよい。
主に、活性補助ガス供給管247a、MFC247c、バルブ247dにより、活性補助ガス供給系が構成される。なお、活性補助ガス供給源247b、RPU244e、第二ガス供給管244aを、活性補助ガス供給系に含めて考えてもよい。
また、活性補助ガス供給系については、第二ガス供給系244に含めて考えてもよい。
(第三ガス供給系)
第三ガス供給管245aには、上流方向から順に、第三ガス供給源245b、MFC245cおよびバルブ245dが設けられている。そして、第三ガス供給管245aからは、パージガスとしての不活性ガスが、MFC245c、バルブ245d、共通ガス供給管242を介して、シャワーヘッド230に供給される。
ここで、不活性ガスは、チャンバ202内およびシャワーヘッド230内に留まったガスをパージするパージガスとして作用するものである。具体的には、例えば、Nガスを用いることができる。
主に、第三ガス供給管245a、MFC245c、バルブ245dにより、第三ガス供給系245が構成される。なお、第三ガス供給源245b、共通ガス供給管242を、第三ガス供給系245に含めて考えてもよい。
ここでは、第三ガス供給系245がパージガスとしての不活性ガスを供給する場合を例に挙げたが、第三ガス供給系245からは、チャンバ202内およびシャワーヘッド230内に付着した副生成物等を除去するクリーニングガスを供給するようにしてもよい。クリーニングガスとしては、例えば、三フッ化窒素(NF)ガスを用いることができる。また、NFガスのほかに、例えば、フッ化水素(HF)ガス、三フッ化塩素ガス(ClF)ガス、フッ素(F)ガス等を用いてもよく、またこれらを組合せて用いてもよい。
(排気系)
チャンバ202の雰囲気を排気する排気系は、チャンバ202に接続された複数の排気管を有する。具体的には、バッファ空間232に接続される排気管(第一排気管)263と、処理空間205に接続される排気管(第二排気管)262と、搬送空間206に接続される排気管(第三排気管)261とを有する。また、各排気管261,262,263の下流側には、排気管(第四排気管)264が接続される。
排気管261は、下部容器202bの側面あるいは底面に接続するように設けられる。排気管261には、ポンプ265(TMP:Turbo Morecular Pump)が設けられる。排気管261において、ポンプ265の上流側には、搬送空間用第一排気バルブとしてのバルブ266が設けられる。
排気管262は、上部容器202aであって、処理空間205の側方に接続するように設けられる。排気管262には、処理空間205内を所定の圧力に制御する圧力制御器であるAPC(AutoPressure Controller)276が設けられる。APC276は、開度調整可能な弁体(図示せず)を有し、後述するコントローラ280からの指示に応じて排気管262のコンダクタンスを調整する。また、排気管262において、APC276の上流側には、バルブ275が設けられる。排気管262、バルブ275、APC276をまとめて処理空間排気部と呼ぶ。
排気管263は、バッファ空間232に連通するよう、シャワーヘッド230に接続される。排気管263は、高さ方向において、分散孔234aとガスガイド235の下端との間に接続される。排気管263には、バルブ279が備えられる。排気管263、バルブ279をまとめてシャワーヘッド排気部と呼ぶ。
排気管264には、DP(Dry Pump。ドライポンプ)278が設けられる。図示のように、排気管264には、その上流側から排気管263、排気管262、排気管261が接続され、さらにそれらの下流にDP278が設けられる。DP278は、排気管262、排気管263、排気管261のそれぞれを介してバッファ空間232、処理空間205および搬送空間206のそれぞれの雰囲気を排気する。また、DP278は、TMP265が動作するときに、その補助ポンプとしても機能する。すなわち、高真空(あるいは超高真空)ポンプであるTMP265は、大気圧までの排気を単独で行うのは困難であるため、大気圧までの排気を行う補助ポンプとしてDP278が用いられる。上記した排気系の各バルブには、例えばエアバルブが用いられる。
(コントローラ)
基板処理装置100は、基板処理装置100の各部の動作を制御する制御部としてのコントローラ280を有している。
図2は、本実施形態に係る基板処理装置が有するコントローラの構成例を模式的に示すブロック図である。
図2に示すように、コントローラ280は、CPU(Central Processing Unit)280a、RAM(Random Access Memory)280b、記憶部280c、送受信部280dを少なくとも有したコンピュータとして構成されている。RAM280b、記憶部280c、送受信部280dは、内部バス280eを介して、CPU280aとデータ交換可能なように構成されている。
また、コントローラ280は、例えば、タッチパネル等として構成された入出力装置281、外部記憶装置282が接続可能に構成されている。入出力装置281からは、コントローラ280に対して情報入力を行い得る。また、入出力装置281は、コントローラ280の制御に従って情報の表示出力を行うようになっている。さらに、コントローラ280には、受信部283を通じてネットワークが接続可能に構成されている。このことは、コントローラ280がネットワーク8上に存在するホストコンピュータ等の上位装置270とも接続可能であることを意味する。
記憶部280cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶部280c内には、基板処理装置100の動作を制御する制御プログラムや、基板処理の手順や条件等が記載されたプロセスレシピ、ウエハ200への処理に用いるプロセスレシピを設定するまでの過程で生じる演算データや処理データ等が、読み出し可能に格納されている。なお、プロセスレシピは、基板処理工程における各手順をコントローラ280に実行させ、所定の結果を得ることができるように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単にプログラムともいう。なお、本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。また、RAM280bは、CPU280aによって読み出されたプログラム、演算データ、処理データ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
送受信部280dには、MFC243c〜247c、バルブ243d〜247d、RPU244e等をはじめとする基板処理装置100の各構成が接続されている。また、CPU280aは、記憶部280cからプログラムを読み出して実行するように構成されている。これにより、コントローラ280は、上位装置270や入出力装置281を操作する操作者からに指示に応じてCPU280aがプログラムを読み出して、その内容に応じて基板処理装置100の各構成の動作を制御する。
なお、コントローラ280は、専用のコンピュータとして構成してもよいし、汎用のコンピュータとして構成してもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリ(USB Flash Drive)やメモリカード等の半導体メモリ)282を用意し、外部記憶装置282を用いて汎用のコンピュータにプログラムをインストールすることにより、本実施形態に係るコントローラ280を構成することができる。また、コンピュータにプログラムを供給するための手段は、外部記憶装置282を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用いても良いし、上位装置270から受信部283を介して情報を受信し、外部記憶装置282を介さずにプログラムを供給するようにしてもよい。また、キーボードやタッチパネル等の入出力装置281を用いて、コントローラ280に指示をしても良い。
コントローラ280における記憶部280cおよびコントローラ280に接続可能な外部記憶装置282は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において記録媒体という言葉を用いた場合は、記憶部280c単体のみを含む場合、外部記憶装置282単体のみを含む場合、または、その両方を含む場合がある。
(2)基板処理工程の概要
次に、基板処理装置100を使用してウエハ200に対する所定処理を行う基板処理工程について、その概要を説明する。ここでは、基板処理工程として、ウエハ200上に薄膜を形成する場合を例に挙げる。なお、以下の説明において、基板処理装置100を構成する各部の動作はコントローラ280により制御される。
(基板搬入・載置工程)
基板搬入・載置工程を説明する。
基板処理工程に際しては、先ず、ウエハ200を処理空間205に搬入させる。具体的には、昇降機構218によって基板支持部210を下降させ、リフトピン207を貫通孔214から基板支持部210の上面側に突出させた状態にする。また、処理空間205内および搬送空間206内を所定の圧力に調圧した後、ゲートバルブ149を開放し、ゲートバルブ149からリフトピン207上にウエハ200を載置させる。ウエハ200をリフトピン207上に載置させた後、昇降機構218によって基板支持部210を所定の位置まで上昇させる。これにより、ウエハ200がリフトピン207上から基板支持部210上へ移載されて、基板載置台212の基板載置面211上に載置されるようになる。つまり、ウエハ200は、前述した処理空間205内の処理位置(基板処理ポジション)に位置することになる。
(減圧・昇温工程)
続いて、減圧・昇温工程を説明する。
処理空間205内が所望の圧力(真空度)となるように、排気管262を介して処理空間205内を排気する。このとき、図示せぬ圧力センサが測定した圧力値に基づき、APC276の弁の開度をフィードバック制御する。これにより、処理空間205の圧力を、例えば10−5〜10−1Paの高真空に維持する。また、図示せぬ温度センサが検出した温度値に基づき、処理空間205内が所望の温度となるように、ヒータ213への通電量をフィードバック制御する。具体的には、基板載置台212を予め加熱しておき、ウエハ200または基板載置台212の温度変化が無くなってから一定時間置く。ウエハ200の温度は、例えば室温以上800℃以下であり、好ましくは、室温以上であって500℃以下である。この間、処理空間205内に残留している水分あるいは部材からの脱ガス等を真空排気やNガスの供給によるパージによって除去する。これで成膜工程前の準備が完了することになる。
(成膜工程)
成膜工程を説明する。
処理空間201内の処理位置にウエハ200を位置させたら、続いて、基板処理装置100では、成膜工程を行う。成膜工程は、異なる処理ガスである第一処理ガス(第一元素含有ガス)と第二処理ガス(第二元素含有ガス)とを交互に供給する工程を繰り返す交互供給処理を行うことで、ウエハ200上に薄膜を形成する工程である。なお、成膜工程については、その詳細を後述する。
(基板搬出工程)
基板搬出工程を説明する。
成膜工程の終了後、続いて、基板処理装置100では、基板搬出工程を行い、ウエハ200を処理空間205から搬出させる。具体的には、チャンバ202内をウエハ200が搬出可能温度まで降温させ、処理空間205内を不活性ガスとしてのNガスでパージし、チャンバ202内が搬送可能な圧力に調圧される。調圧後、昇降機構218により基板支持部210を下降させ、基板載置台212の表面から突出させたリフトピン207上にウエハ200を支持させる。これにより、ウエハ200は、処理位置から搬送位置へと移動する。そして、ウエハ200がリフトピン207上に載置された後、ゲートバルブ149を開放し、ウエハ200がチャンバ202の外へ搬出される。
(3)成膜工程の基本的な手順
次に、上述した基板処理工程のうちの成膜工程について、基本的な手順を説明する。
図3は、本実施形態に係る基板処理装置で行われる成膜工程の基本的な手順を示すフロー図である。
成膜工程では、ウエハ200を基板処理温度に昇温した後、ウエハ200を所定温度に保ちつつ加熱処理を伴う薄膜形成処理を行う。すなわち、成膜工程では、共通ガス供給管242およびシャワーヘッド230を介して、処理空間205内に配置されているウエハ200の表面(処理面)に向けて、処理ガスをシャワー状に供給することで、ウエハ200の表面上に薄膜を形成する処理を行う。
このとき、処理空間205内のウエハ200に対しては、図3に示すように、第一処理ガス(第一元素含有ガス)の供給と第二処理ガス(第二元素含有ガス)の供給とを交互に繰り返す交互供給処理を行う。以下、成膜工程において、第一処理ガスとしてDCSガスを用い、第二処理ガスとしてNHガスを用いて、ウエハ200上に薄膜としてシリコン窒化(SiN)膜を形成する場合を例に挙げる。
(第一処理ガス供給工程:S202)
第一処理ガス供給工程(S202)を説明する。
成膜工程に際しては、先ず、第一ガス供給系243から処理空間205内に第一処理ガス(第一元素含有ガス)としてのDCSガスを供給する。また、このとき、DCSガスのキャリアガス(例えば、Nガス)を、第一不活性ガス供給管246aから処理空間205内に供給する。
処理空間205内に供給されたDCSガスは、ウエハ処理位置にあるウエハ200の面上に到達する。これにより、ウエハ200の表面には、DCSガスが接触することによって「第一元素含有層」としてのシリコン含有層が形成される。シリコン含有層とは、シリコン(Si)またはシリコンと塩素(Cl)を含む層である。シリコン含有層は、例えば、チャンバ202内の圧力、DCSガスの流量、基板載置台212の温度、処理空間205の通過にかかる時間等に応じて、所定の厚さおよび所定の分布で形成される。なお、ウエハ200上には、予め所定の膜が形成されていてもよい。また、ウエハ200または所定の膜には予め所定のパターンが形成されていてもよい。
DCSガスの供給を開始してから所定時間経過後、バルブ243dを閉じて、DCSガスの供給を停止する。なお、第一処理ガス供給工程(S202)では、バルブ275が開とされ、APC276によって処理空間205の圧力が所定の圧力となるように制御される。また、第一処理ガス供給工程(S202)において、バルブ275以外の排気系のバルブは全て閉とされる。
以上のような第一処理ガス供給工程(S202)は、本発明に係る「第一工程」の一具体例に相当する。
(パージ工程:S204)
パージ工程(S204)を説明する。
第一処理ガス供給工程(S202)の後は、次に、第三ガス供給管245aからNガスを供給し、シャワーヘッド230および処理空間205のパージを行う。このときも、バルブ275は開とされてAPC276によって処理空間205の圧力が所定の圧力となるように制御される。一方、バルブ275以外の排気系のバルブは全て閉とされる。これにより、第一処理ガス供給工程(S202)でウエハ200に結合できなかったDCSガスは、DP278により、排気管262を介して処理空間205から除去される。
次いで、第三ガス供給管245aからNガスを供給し、シャワーヘッド230のパージを行う。このときは、バルブ275が閉とされる一方、バルブ279が開とされる。他の排気系のバルブは閉のままである。すなわち、シャワーヘッド230のパージを行うときは、処理空間205とAPC276の間を遮断するとともに、APC276と排気管264の間を遮断し、APC276による圧力制御を停止する。一方、バッファ空間232とDP278との間を連通する。これにより、シャワーヘッド230(バッファ空間232)内に残留したDCSガスは、排気管263を介し、DP278によりシャワーヘッド230から排気される。
シャワーヘッド230のパージが終了すると、バルブ275を開としてAPC276による圧力制御を再開するとともに、バルブ279を閉としてシャワーヘッド230と排気管264との間を遮断する。他の排気系のバルブは閉のままである。このときも第三ガス供給管245aからのNガスの供給は継続され、シャワーヘッド230および処理空間205のパージが継続される。なお、パージ工程(S204)において、排気管262を介したパージの前後に排気管263を介したパージを行うようにしたが、排気管262を介したパージのみであってもよい。また、排気管262を介したパージと排気管263を介したパージを同時に行うようにしてもよい。
(第二処理ガス供給工程:S206)
第二処理ガス供給工程(S206)を説明する。
パージ工程(S204)の後は、次に、第二ガス供給系244から処理空間205内に第二処理ガス(第二元素含有ガス)としてのNHガスを供給する。また、このとき、NHガスのキャリアガス(例えば、Arガス)を、活性補助ガス供給管247aから処理空間205内に供給する。
これらのNHガスおよびArガスは、RPU244eによりプラズマ状態とされ、ウエハ処理位置にあるウエハ200の面上に照射される。これにより、ウエハ200の面上では、既に形成されているシリコン含有層が改質され、例えばSi元素およびN元素を含有する層であるSiN膜が形成される。
そして、所定時間の経過後、バルブ244dを閉じて、NHガスの供給を停止する。なお、第二処理ガス供給工程(S206)においても、上述した第一処理ガス供給工程(S202)と同様に、バルブ275が開とされ、APC276によって処理空間205の圧力が所定の圧力となるように制御される。また、バルブ275以外の排気系のバルブは全て閉とされる。
以上のような第二処理ガス供給工程(S206)は、本発明に係る「第二工程」の一具体例に相当する。
(パージ工程:S208)
パージ工程(S208)を説明する。
第二処理ガス供給工程(S206)の後に、パージ工程(S208)を実行する。パージ工程(S208)における各部の動作は、上述したパージ工程(S204)の場合と同様であるので、ここではその説明を省略する。
(判定工程:S210)
判定工程(S210)を説明する。
パージ工程(S208)を終えると、続いて、コントローラ280は、上述した一連の処理(S202〜S208)を1つのサイクルとし、その1サイクルを所定回数(n cycle)実施したか否かを判定する。そして、所定回数実施していなければ、第一処理ガス供給工程(S202)からパージ工程(S208)までの1サイクルを繰り返す。一方、所定回数実施したときには、成膜工程を終了する。
このように、成膜工程では、第一処理ガス供給工程(S202)からパージ工程(S208)までの各工程を順次行うことで、ウエハ200の面上に所定の厚さのSiN膜が堆積される。そして、これらの各工程を1サイクルとし、その1サイクルを所定回数繰り返すことで、ウエハ200の面上に形成されるSiN膜が所望の膜厚に制御される。
(4)成膜工程における特徴的な処理
次に、本実施形態に係る成膜工程における特徴的な処理について説明する。
本実施形態に係る成膜工程では、上述したように、ウエハ処理位置にあるウエハ200に対して、第一処理ガス供給工程(S202)でのDCSガスの供給と第二処理ガス供給工程(S206)でのNHガスのプラズマの供給とを繰り返し行うことで、所望の膜厚のSiN膜を形成する。このような成膜工程において、SiN膜の形成を良好に行う上では、プラズマの活性種(ラジカル)の失活を抑制することが必要である。
ところで、処理対象となるウエハ200には、予め所定のパターンが形成されたものがあり得る。具体的には、深溝または深孔といった3D(three−dimensions)構造を有したウエハ200が処理対象となることがある。このようなウエハ200が処理対象となる場合には、そのウエハ200の3D構造化が進むに連れて、その3D構造における深溝内または深孔内に対して、プラズマの励起状態を保ったまま到達させることが困難になるおそれがある。特に、高圧条件下では、ラジカルの失活が顕著になると推定される。3D構造における深溝または深孔の底部に到達する前にプラズマのラジカルが失活してしまうと、その底部に対する膜形成が良好に行えずに、その結果として形成膜厚が不足するといったことが起こり得る。
本実施形態に係る成膜工程では、例えば、3D構造化が進んだウエハ200であっても、その3D構造における深溝内または深孔内に対して失活を抑えながら励起状態のプラズマを到達させるべく、以下に説明するパターンの処理を行うようになっている。
(第一の処理パターン)
先ず、第一の処理パターンについて説明する。
図4は、本実施形態に係る基板処理装置で行われる成膜工程の第一の処理パターンの例を示すチャート図である。
図4に示すように、第一の処理パターンでは、第一処理ガス供給工程(S202)において、第一処理ガス(第一元素含有ガス)としてのDCSガスを供給するとともに、そのDCSガスのキャリアガスとして不活性ガスであるNガスを供給する。そして、パージ工程(S204)にてパージガスとして不活性ガスであるNガスの供給を経た後に、第二処理ガス供給工程(S206)において、第二処理ガス(第二元素含有ガス)としてのNHガスを供給するとともに、そのNHガスのキャリアガスとして活性補助ガスであるArガスを供給する。さらに、その後、パージ工程(S208)にてパージガスとして不活性ガスであるNガスを供給し、これらの各工程を1サイクルとして所定回数繰り返す。
このように、第一の処理パターンにおいて、第一処理ガス供給工程(S202)ではキャリアガスとして不活性ガスであるNガスを供給し、第二処理ガス供給工程(S206)ではキャリアガスとして活性補助ガスであるArガスを供給する。つまり、第一処理ガス供給工程(S202)と第二処理ガス供給工程(S206)とで、供給するキャリアガス種の切り替えを行う。
キャリアガス種の切り替えを行うのは、以下の理由による。Arラジカルは、準安定状態であり寿命が長く、さらにはリアクタントであるNHガスを活性化させる性質を有する。したがって、NガスからArガスへキャリアガス種の切り替えを行うことで、リアクタントであるNHガスのプラズマ成分の寿命を延ばすことが可能となる。NHガスのプラズマ成分の寿命が延びれば、3D構造化が進んだウエハ200が処理対象となる場合であっても、その3D構造における深溝内または深孔内の底部まで励起状態のNHガスのプラズマが到達する確率が高くなる。したがって、深溝内または深孔内の底部についても、SiN膜の形成膜厚が不足してしまうことがない。
また、第一の処理パターンでは、比較的高価なArガスを第二処理ガス供給工程(S206)にて限定的に使用し、他の各工程では比較的安価なNガスを供給するようにしている。このように、活性補助ガスであるArガスを必要なタイミングのみに限定的に使用することで、深溝内または深孔内の底部までNHガスのプラズマが到達することを可能にしつつ、成膜工程において要するトータルコストが過大になってしまうのを抑制することも可能となる。
(第二の処理パターン)
次いで、第二の処理パターンについて説明する。ここでは、主として、上述した第一の処理パターンとの相違点を説明する。
図5は、本実施形態に係る基板処理装置で行われる成膜工程の第二の処理パターンの例を示すチャート図である。
第二の処理パターンでは、図5(a)に示すように、第二処理ガス供給工程(S206)でキャリアガスとして活性補助ガスであるArガスを供給するときと、その他の各工程で不活性ガスであるNガスを供給するときで、処理空間205内の圧力を相違させる。具体的には、Nガスを供給するときの圧力である第一圧力よりも、Arガスを供給するときの圧力である第二圧力を低圧にする。
このような圧力制御は、例えば、第二ガス供給系244によるNHガスの供給ボリュームと、活性補助ガス供給系によるArガスの供給ボリュームとを、それぞれ調整することによって行うことが考えられる。
第二処理ガス供給工程(S206)のみ低圧にすることを除けば、第二の処理パターンにおいても、図5(b)に示すように、上述した第一の処理パターンの場合と同様の処理を行う。
第二処理ガス供給工程(S206)のみ低圧にするのは、以下の理由による。一般に、高圧条件下では、プラズマのラジカル同士が衝突する可能性が高くなり、これによりラジカルの失活が顕著になると推定される。このことを踏まえ、ウエハ200に対してプラズマを供給する第二処理ガス供給工程(S206)においては、他の各工程よりも処理空間205内を低圧にすることで、プラズマのラジカル同士の衝突確率を低くする。ラジカル同士の衝突確率が低くなれば、リアクタントであるNHガスのプラズマ成分の失活を抑制することが可能となる。NHガスのプラズマ成分の失活を抑制できれば、3D構造化が進んだウエハ200が処理対象となる場合であっても、その3D構造における深溝内または深孔内の底部まで励起状態のNHガスのプラズマが到達する確率が高くなる。したがって、深溝内または深孔内の底部についても、SiN膜の形成膜厚が不足してしまうことがない。
(第三の処理パターン)
次いで、第三の処理パターンについて説明する。ここでは、主として、上述した第二の処理パターンとの相違点を説明する。
図6は、本実施形態に係る基板処理装置で行われる成膜工程の第三の処理パターンの例を示すチャート図である。
第三の処理パターンでは、図6に示すように、第二処理ガス(第二元素含有ガス)としてのNHガスと、そのキャリアガスとしての活性補助ガスであるArガスとについて、それぞれの供給タイミングが上述した第一の処理パターンまたは第二の処理パターンの場合とは異なる。具体的には、第三の処理パターンでは、第二処理ガス供給工程(S206)において、プラズマ状態の活性補助ガスであるArガスの処理空間205への供給を開始した後に、プラズマ状態の第二処理ガス(第二元素含有ガス)であるNHガスの処理空間205への供給を開始する。つまり、ArガスとNHガスとの供給タイミングについて、第一の処理パターンまたは第二の処理パターンではそれぞれが同一であったが、第三の処理パターンでは、Arガスの供給後にNHガスを供給するといったように、それぞれの供給タイミングにずれを生じさせる。
ArガスとNHガスとの供給タイミングにずれを生じさせるのは、以下の理由による。Arラジカルは、準安定状態であり寿命が長く、さらにはリアクタントであるNHガスを活性化させる性質を有する。したがって、予めプラズマ状態の活性補助ガスであるArガスの供給を開始し、処理空間205内をプラズマ状態のArガス雰囲気としておくことで、その後に供給されるリアクタントであるNHガスのプラズマ成分の寿命を延ばすことが可能となる。NHガスのプラズマ成分の寿命が延びれば、3D構造化が進んだウエハ200が処理対象となる場合であっても、その3D構造における深溝内または深孔内の底部まで、励起状態のNHガスのプラズマが到達する確率が高くなる。したがって、深溝内または深孔内の底部についても、SiN膜の形成膜厚が不足してしまうことがない。
このような供給タイミングのずれを生じさせることを除けば、第三の処理パターンにおいても、例えば、上述した第二の処理パターンの場合と同様の処理を行う。なお、第三の処理パターンでは、第二の処理パターンの場合と同様に第二処理ガス供給工程(S206)のみ低圧にする場合を例に挙げているが(図6参照)、必ずしもこれに限定されることはない。つまり、第三の処理パターンにおいても、第一の処理パターンの場合のように、各工程で処理空間205内の圧力を相違させないようにしても構わない。
(5)本実施形態の効果
本実施形態によれば、以下に示す一つまたは複数の効果を奏する。
(a)本実施形態において、第一処理ガス(第一元素含有ガス)を供給する第一処理ガス供給工程(S202)ではキャリアガスとして不活性ガス(例えば、Nガス)を用いる一方で、第二処理ガス(第二元素含有ガス)のプラズマを供給する第二処理ガス供給工程(S206)ではキャリアガスとして活性補助ガス(例えば、Arガス)を用いる。つまり、少なくともプラズマ照射を行う工程ではキャリアガスとして活性補助ガスを用いるように、供給するキャリアガス種の切り替えを行う。
このようなキャリアガス種の切り替えを行うことで、本実施形態では、ウエハ200に対する成膜工程での処理を良好に行うことが可能になる。例えば、活性補助ガスにおける第18族元素(例えば、Ar)のラジカルは、準安定状態であり寿命が長く、さらには反応ガスまたは改質ガスとして機能する第二処理ガス(例えば、NHガス)を活性化させる性質を有する。したがって、不活性ガスから活性補助ガスへキャリアガス種の切り替えを行うことで、第二処理ガスのプラズマ成分の寿命を延ばすことが可能となる。第二処理ガスのプラズマ成分の寿命が延びれば、例えば、3D構造化が進んだウエハ200が処理対象となる場合であっても、その3D構造における深溝内または深孔内の底部まで励起状態の第二処理ガスのプラズマが到達する確率が高くなる。したがって、深溝内または深孔内の底部についても、成膜工程で形成する膜(例えば、SiN膜)の膜厚が不足してしまうことがなく、その結果としてウエハ200に対する成膜工程での処理を良好に行うことが可能になる。
しかも、本実施形態では、比較的高価な活性補助ガスを第二処理ガス供給工程(S206)にて限定的に使用し、他の各工程では比較的安価な不活性ガスを供給する。このように、活性補助ガスを必要なタイミングのみに限定的に使用することで、深溝内または深孔内の底部まで第二処理ガスのプラズマが到達することを可能にしつつ、成膜工程において要するトータルコストが過大になってしまうのを抑制することも可能となる。この点においても、ウエハ200に対する成膜工程での処理を良好に行う上で有用なものとなる。
(b)本実施形態では、不活性ガスとしてNガスを用い、活性補助ガスとしてArガスを用い、これらNガスとArガスとの間でガス種の切り替えを行う。したがって、本実施形態によれば、これらのガスをキャリアガスとして機能させつつ(すなわち、第一処理ガスおよび第二処理ガスによる処理に悪影響が及ぶのを回避しつつ)、上述したガス種切り替えによる効果を確実なものとすることができる。
(c)本実施形態では、プラズマ照射を行う第二処理ガス供給工程(S206)について、処理空間205内を他工程よりも低圧にした状態で、第二処理ガス(例えば、NHガス)の供給を行う。このように、第二処理ガス供給工程(S206)のみ低圧にすれば、より一層ウエハ200に対する成膜工程での処理を良好に行うことが可能になる。
一般に、高圧条件下では、プラズマのラジカル同士が衝突する可能性が高くなり、これによりラジカルの失活が顕著になると推定される。本実施形態では、プラズマ照射を行う第二処理ガス供給工程(S206)において、他の各工程よりも処理空間205内を低圧にすることで、プラズマのラジカル同士の衝突確率を低くする。ラジカル同士の衝突確率が低くなれば、反応ガスまたは改質ガスとして機能する第二処理ガス(例えば、NHガス)のプラズマ成分の失活を抑制することが可能となる。第二処理ガスのプラズマ成分の失活を抑制できれば、3D構造化が進んだウエハ200が処理対象となる場合であっても、その3D構造における深溝内または深孔内の底部まで励起状態の第二処理ガスのプラズマが到達する確率が高くなる。したがって、深溝内または深孔内の底部についても、成膜工程で形成する膜(例えば、SiN膜)の膜厚が不足してしまうことがなく、その結果として、より一層ウエハ200に対する成膜工程での処理を良好に行うことが可能になる。
(d)本実施形態では、第二処理ガス供給工程(S206)において、プラズマ状態の活性補助ガス(例えば、Arガス)の処理空間205への供給を開始した後に、プラズマ状態の第二処理ガス(例えば、NHガス)の処理空間205への供給を開始する。つまり、活性補助ガスの供給後に第二処理ガスを供給するといったように、それぞれの供給タイミングにずれを生じさせる。
このような供給タイミングのずれを生じさせることで、本実施形態では、より一層ウエハ200に対する成膜工程での処理を良好に行うことが可能になる。例えば、活性補助ガスにおける第18族元素(例えば、Ar)のラジカルは、準安定状態であり寿命が長く、さらには反応ガスまたは改質ガスとして機能する第二処理ガス(例えば、NHガス)を活性化させる性質を有する。したがって、予めプラズマ状態の活性補助ガスの供給を開始し、処理空間205内をプラズマ状態の活性補助ガス雰囲気としておくことで、その後に供給される第二処理ガスのプラズマ成分の寿命を延ばすことが可能となる。第二処理ガスのプラズマ成分の寿命が延びれば、3D構造化が進んだウエハ200が処理対象となる場合であっても、その3D構造における深溝内または深孔内の底部まで、励起状態の第二処理ガスのプラズマが到達する確率が高くなる。したがって、深溝内または深孔内の底部についても、成膜工程で形成する膜(例えば、SiN膜)の膜厚が不足してしまうことがなく、その結果として、より一層ウエハ200に対する成膜工程での処理を良好に行うことが可能になる。
<他の実施形態>
以上に、本発明の一実施形態を具体的に説明したが、本開示が上述の実施形態に限定されることはなく、その要旨を逸脱しない範囲で種々変更が可能である。
上述した実施形態では、基板処理工程の一工程である成膜工程において、第一処理ガス(第一元素含有ガス)としてDCSガスを用い、第二処理ガス(第二元素含有ガス)としてNHガスを用いて、これらを交互に供給することによってウエハ200上にSiN膜を形成する場合を例に挙げたが、本発明がこれに限定されることはない。すなわち、成膜処理に用いる処理ガスは、DCSガスやNHガス等に限られることはなく、他の種類のガスを用いて他の種類の薄膜を形成しても構わない。さらには、3種類以上の処理ガスを用いる場合であっても、これらを交互に供給して成膜処理を行うのであれば、本発明を適用することが可能である。具体的には、第一元素としては、Siではなく、例えばTi、Zr、Hf等、種々の元素であってもよい。また、第二元素としては、Nではなく、例えばO等であってもよい。
また、上述した実施形態では、基板処理工程として、主に、ウエハ表面への薄膜形成を行う場合を例に挙げたが、本発明がこれに限定されることはない。すなわち、本発明は、上述した実施形態で例に挙げた薄膜形成の他に、上述した実施形態で例示した薄膜以外の成膜処理にも適用できる。また、基板処理の具体的内容は不問であり、成膜処理だけでなく、熱処理(アニール処理)、プラズマ処理、拡散処理、酸化処理、窒化処理、リソグラフィ処理等の他の基板処理を行う場合にも適用できる。
また、上述した実施形態では、半導体装置の製造工程の一工程として、ウエハに対する処理を行う場合を例に挙げたが、本発明がこれに限定されることはない。すなわち、処理対象となる基板は、ウエハに限らず、ホトマスク、プリント配線基板、液晶パネル、磁気ディスク、光ディスク等であってもよい。
<本発明の好ましい態様>
以下に、本発明の好ましい態様について付記する。
[付記1]
本発明の一態様によれば、
基板を収容した状態の処理空間に第一処理ガスを供給するとともに前記第一処理ガスのキャリアガスとして不活性ガスを用いる第一工程と、
前記基板を収容した状態の前記処理空間に第二処理ガスのプラズマを供給するとともに前記第二処理ガスのキャリアガスとして活性補助ガスを用いる第二工程と、
を有する半導体装置の製造方法が提供される。
[付記2]
好ましくは、
前記第一工程と前記第二工程とを繰り返すサイクリック処理を行う
付記1に記載の半導体装置の製造方法が提供される。
[付記3]
好ましくは、
前記第一処理ガスは原料ガスであり、
前記第二処理ガスは反応ガスまたは改質ガスである
付記1または2に記載の半導体装置の製造方法が提供される。
[付記4]
好ましくは、
前記不活性ガスはNガスであり、
前記活性補助ガスはArガスである
付記1から3のいずれか1つに記載の半導体装置の製造方法が提供される。
[付記5]
好ましくは、
前記第二工程では、前記処理空間内を他工程よりも低圧にして、前記第二処理ガスの供給を行う
付記1から4のいずれか1つに記載の半導体装置の製造方法が提供される。
[付記6]
好ましくは、
前記第二工程では、プラズマ状態の前記活性補助ガスの前記処理空間への供給を開始した後に、前記第二処理ガスの前記処理空間への供給を開始する
付記1から5のいずれか1つに記載の半導体装置の製造方法が提供される。
[付記7]
本発明の他の一態様によれば、
基板を収容する処理空間と、
前記処理空間に第一処理ガスを供給する第一ガス供給系と、
前記処理空間に前記第一処理ガスのキャリアガスとして不活性ガスを供給する不活性ガス供給系と、
前記処理空間に第二処理ガスを供給する第二ガス供給系と、
前記処理空間に前記第二処理ガスのキャリアガスとして活性補助ガスを供給する活性補助ガス供給系と、
前記第二処理ガスまたは前記活性補助ガスの少なくとも一方を励起してプラズマ状態にするプラズマ生成部と、
を備える基板処理装置が提供される。
[付記8]
好ましくは、
前記第一処理ガスの供給および前記第二処理ガスの供給をサイクリック処理として行わせるように、前記第一ガス供給系、前記不活性ガス供給系、前記第二ガス供給系、前記活性補助ガス供給系および前記プラズマ生成部に対する動作制御を行う制御部
を備える付記7に記載の基板処理装置が提供される。
[付記9]
本発明のさらに他の一態様によれば、
基板を収容した状態の処理空間に第一処理ガスを供給するとともに前記第一処理ガスのキャリアガスとして不活性ガスを用いる第一手順と、
前記基板を収容した状態の前記処理空間に第二処理ガスのプラズマを供給するとともに前記第二処理ガスのキャリアガスとして活性補助ガスを用いる第二手順と、
をコンピュータによって基板処理装置に実行させるプログラムが提供される。
[付記10]
本発明のさらに他の一態様によれば、
基板を収容した状態の処理空間に第一処理ガスを供給するとともに前記第一処理ガスのキャリアガスとして不活性ガスを用いる第一手順と、
前記基板を収容した状態の前記処理空間に第二処理ガスのプラズマを供給するとともに前記第二処理ガスのキャリアガスとして活性補助ガスを用いる第二手順と、
をコンピュータによって基板処理装置に実行させるプログラムが格納されたコンピュータ読み取り可能な記録媒体が提供される。
100…基板処理装置、200…ウエハ(基板)、202…チャンバ、205…処理空間、210…基板支持部、212…基板載置台、230…シャワーヘッド、231a…ガス導入孔、241…第一分散機構、242…共通ガス供給管、243…第一ガス供給系、243a…第一ガス供給管、243b…第一ガス供給源、243c…マスフローコントローラ(MFC)、243d…バルブ、244…第二ガス供給系、244a…第二ガス供給管、244b…第二ガス供給源、244c…マスフローコントローラ(MFC)、244d…バルブ、244e…リモートプラズマユニット(RPU)、246a…第一不活性ガス供給管、246b…不活性ガス供給源、246c…マスフローコントローラ(MFC)、246d…バルブ、247a…活性補助ガス供給管、247b…活性補助ガス供給源、247c…マスフローコントローラ(MFC)、247d…バルブ、280…コントローラ

Claims (4)

  1. 基板を収容した状態の処理空間に第一処理ガスを供給するとともに前記第一処理ガスのキャリアガスとして不活性ガスを用いる第一工程と、
    前記基板を収容した状態の前記処理空間に第二処理ガスのプラズマを供給するとともに前記第二処理ガスのキャリアガスとして活性補助ガスを用いる第二工程と、を有し、
    前記第二工程では、プラズマ状態の前記活性補助ガスの前記処理空間への供給を開始し、前記処理空間が前記活性補助ガスの雰囲気となった後に、プラズマ状態の前記第二処理ガスの前記処理空間への供給を開始する
    半導体装置の製造方法。
  2. 前記第二工程では、前記処理空間内を前記第一工程よりも低圧にして、前記第二処理ガスの供給を行う
    請求項1に記載の半導体装置の製造方法。
  3. 基板を収容する処理空間と、
    前記処理空間に第一処理ガスを供給する第一ガス供給系と、
    前記処理空間に前記第一処理ガスのキャリアガスとして不活性ガスを供給する不活性ガス供給系と、
    前記処理空間に第二処理ガスを供給する第二ガス供給系と、
    前記処理空間に前記第二処理ガスのキャリアガスとして活性補助ガスを供給する活性補助ガス供給系と、
    前記第二処理ガスまたは前記活性補助ガスの少なくとも一方を励起してプラズマ状態にするプラズマ生成部と、
    を備え、
    前記第二ガス供給系、前記活性補助ガス供給系および前記プラズマ生成部は、プラズマ状態の前記活性補助ガスの前記処理空間への供給を開始し、前記処理空間が前記活性補助ガスの雰囲気となった後に、プラズマ状態の前記第二処理ガスの前記処理空間への供給を開始するように構成されている
    基板処理装置。
  4. 基板を収容した状態の処理空間に第一処理ガスを供給するとともに前記第一処理ガスのキャリアガスとして不活性ガスを用いる第一手順と、
    前記基板を収容した状態の前記処理空間に第二処理ガスのプラズマを供給するとともに前記第二処理ガスのキャリアガスとして活性補助ガスを用いる第二手順と、
    をコンピュータによって基板処理装置に実行させるとともに、
    前記第二手順では、プラズマ状態の前記活性補助ガスの前記処理空間への供給を開始し、前記処理空間が前記活性補助ガスの雰囲気となった後に、プラズマ状態の前記第二処理ガスの前記処理空間への供給を開始する
    プログラム。
JP2018019245A 2018-02-06 2018-02-06 半導体装置の製造方法、基板処理装置およびプログラム Active JP6839672B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2018019245A JP6839672B2 (ja) 2018-02-06 2018-02-06 半導体装置の製造方法、基板処理装置およびプログラム
CN201811034110.4A CN110120331A (zh) 2018-02-06 2018-09-05 半导体器件的制造方法、衬底处理装置及记录介质
TW107132094A TWI712086B (zh) 2018-02-06 2018-09-12 半導體裝置之製造方法、基板處理裝置及程式
KR1020180110975A KR20190095086A (ko) 2018-02-06 2018-09-17 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US16/133,247 US10714316B2 (en) 2018-02-06 2018-09-17 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018019245A JP6839672B2 (ja) 2018-02-06 2018-02-06 半導体装置の製造方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
JP2019140146A JP2019140146A (ja) 2019-08-22
JP6839672B2 true JP6839672B2 (ja) 2021-03-10

Family

ID=67477017

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018019245A Active JP6839672B2 (ja) 2018-02-06 2018-02-06 半導体装置の製造方法、基板処理装置およびプログラム

Country Status (5)

Country Link
US (1) US10714316B2 (ja)
JP (1) JP6839672B2 (ja)
KR (1) KR20190095086A (ja)
CN (1) CN110120331A (ja)
TW (1) TWI712086B (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102316239B1 (ko) * 2019-10-17 2021-10-25 세메스 주식회사 기판 처리 장치 및 방법
KR20210089079A (ko) * 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TWI806261B (zh) * 2020-12-24 2023-06-21 日商國際電氣股份有限公司 基板處理方法、半導體裝置之製造方法、基板處理裝置及程式
US20230070804A1 (en) * 2021-09-02 2023-03-09 Wonik Ips Co., Ltd. Substrate processing apparatus

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100760078B1 (ko) 2000-03-13 2007-09-18 다다히로 오미 산화막의 형성 방법, 질화막의 형성 방법, 산질화막의 형성 방법, 산화막의 스퍼터링 방법, 질화막의 스퍼터링 방법, 산질화막의 스퍼터링 방법, 게이트 절연막의 형성 방법
US7122085B2 (en) * 2002-07-30 2006-10-17 Asm America, Inc. Sublimation bed employing carrier gas guidance structures
JP4777717B2 (ja) * 2005-08-10 2011-09-21 東京エレクトロン株式会社 成膜方法、プラズマ処理装置および記録媒体
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP2009283794A (ja) * 2008-05-23 2009-12-03 Hitachi Kokusai Electric Inc 基板処理装置
JP5466526B2 (ja) * 2010-02-15 2014-04-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
TWI562204B (en) 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
JP5807084B2 (ja) 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2015173154A (ja) * 2014-03-11 2015-10-01 東京エレクトロン株式会社 縦型熱処理装置、縦型熱処理装置の運転方法及び記憶媒体
US20150376789A1 (en) 2014-03-11 2015-12-31 Tokyo Electron Limited Vertical heat treatment apparatus and method of operating vertical heat treatment apparatus
JP5762602B1 (ja) * 2014-06-24 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム

Also Published As

Publication number Publication date
TW201935557A (zh) 2019-09-01
CN110120331A (zh) 2019-08-13
KR20190095086A (ko) 2019-08-14
TWI712086B (zh) 2020-12-01
US10714316B2 (en) 2020-07-14
JP2019140146A (ja) 2019-08-22
US20190244790A1 (en) 2019-08-08

Similar Documents

Publication Publication Date Title
JP6839672B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6368732B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP6001131B1 (ja) 基板処理装置、半導体装置の製造方法、プログラム
JP5807084B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101882774B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP5913414B2 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP5793241B1 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
KR20110009624A (ko) 반도체 처리용의 배치 cvd 방법과 장치 및, 컴퓨터 판독 가능한 기억 매체
KR101669752B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20160001609A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록매체
KR20240019717A (ko) 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조방법, 클리닝 방법 및 프로그램
JP2021100047A (ja) 基板処理装置、半導体装置の製造方法およびプログラム
CN110120341B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP2006278619A (ja) 半導体製造装置
JP7118099B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7351865B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20180727

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180821

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20181112

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190313

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20191209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201001

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210215

R150 Certificate of patent or registration of utility model

Ref document number: 6839672

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250