KR20130085900A - 무-염소 등각 질화 규소 필름 증착 방법 - Google Patents

무-염소 등각 질화 규소 필름 증착 방법 Download PDF

Info

Publication number
KR20130085900A
KR20130085900A KR1020120043797A KR20120043797A KR20130085900A KR 20130085900 A KR20130085900 A KR 20130085900A KR 1020120043797 A KR1020120043797 A KR 1020120043797A KR 20120043797 A KR20120043797 A KR 20120043797A KR 20130085900 A KR20130085900 A KR 20130085900A
Authority
KR
South Korea
Prior art keywords
substrate
nitrogen
containing reactant
reactant
plasma
Prior art date
Application number
KR1020120043797A
Other languages
English (en)
Inventor
데니스 하우스만
존 헨리
바르트 반 슈라벤디직
이스워 스리니바산
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130085900A publication Critical patent/KR20130085900A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판상에 질화 규소(SiN) 물질을 제조하는 방법이 개시된다. 이러한 방법에 의해 제조된 개선된 SiN 필름이 또한 포함된다. 한 양상은 무-염소(Cl) 등각 SiN 필름을 증착하는 것에 관한 것이다. 일부 구체 예에서, SiN 필름은 무-염소(Cl-free) 및 무-탄소(carbon-free)이다. 또 다른 양상은 등각 SiN 필름의 스트레스 및/또는 습식 에칭 속도를 조정하는 방법에 관한 것이다. 또 다른 양상은 우수한 품질의 등각 SiN 필름을 증착하는 저온 방법에 관한 것이다. 일부 구체 예에서, 이러한 방법은 규소-함유 전구체로서 트리실릴아민(TSA)을 사용하는 것을 포함한다.

Description

무-염소 등각 질화 규소 필름 증착 방법{METHOD FOR DEPOSITING A CHLORINE-FREE CONFORMAL SIN FILM}
관련 출원의 상호 참조
본 출원은 미국 가출원 61/588,964(2012.1.20. 출원) 및 미국 특허 출원 13/414,619(2012.3.7. 출원)에 대하여 우선권을 주장한다. 이들 출원은 참고문헌으로 본 명세서에 수록된다.
도입
분야
본 발명은 일반적으로 기판상에 SiN 물질을 형성하는 것에 관한 것이다. 더욱 상세하게는, 본 발명은 반도체 기판상에서의 SiN 필름의 형성에 관한 것이다.
배경
질화 규소 (SiN) 박막은 독특한 물리적, 화학적 그리고 기계적 특성을 가지며 이에 따라 다양한 응용분야, 특히 예를 들면 확산 방지막, 게이트 절연체, 측벽 스페이서, 캡슐화 층, 트랜지스터 내 변위 필름(strained film), 등에서의 반도체 소자로서 사용된다. SiN 필름과 관련된 한 가지 쟁점은 필름을 형성하기 위하여 사용되는 비교적 높은 온도인데, 예를 들면, 프런트 엔드 오브 라인(Front End of Line, FEOL) 분야에서, SiN 필름은 전형적으로 디클로로실란 및 암모니아를 사용하여 750℃ 이상의 반응기 내에서 화학 기상 증착(chemical vapor deposition, CVD)에 의해 증착된다. 그렇지만, SiN 필름이 후-단계(late-stage) 반도체 제조 공정에서 사용되고, 소자 치수가 계속하여 수축되기 때문에, SiN 필름이 낮은 온도, 예컨대 600℃ 미만의 온도에서 형성되는 것에 대한 증가하는 수요가 존재한다.
개요
기판상에 질화 규소(SiN) 물질을 제조하는 방법이 개시된다. 상기 방법에 의해 제조된 개선된 SiN 필름이 또한 포함된다. 한 양상은 무-염소(Cl) 등각 SiN 필름을 증착하는 것에 관한 것이다. 일부 구체 예에서, SiN 필름을 무-Cl 및 무-탄소(C)이다. 또 다른 양상은 등각 SiN 필름의 스트레스(stress) 및/또는 습식 에칭 속도(rate)를 조정하는 방법에 관한 것이다. 또 다른 양상은 고 품질의 등각 SiN 필름의 저온 증착 방법에 관한 것이다. 일부 구체 예에서, 상기 방법은 규소-함유 전구체로서 트리실릴아민(TSA)을 사용하는 것을 포함한다.
한 양상은 기판을 무-할로겐 규소-함유 반응물의 증기 상태 흐름에 주기적으로 노출시키는 단계, 여기서 상기 무-할로겐 규소 함유 반응물은 상기 기판의 표면에 흡착됨; 상기 기판을 제1 질소-함유 반응물의 증기 상태 흐름에 노출시키는 단계, 여기서 상기 질소-함유 반응물은 상기 기판의 표면에 흡착됨; 및 증기 상태 질소-함유 반응물이 반응 챔버 내에 존재하고 상기 무-할로겐 규소-함유 반응물의 증기 상태 흐름이 중지되었을 때 플라즈마를 상기 반응 챔버 내에 주기적으로 점화시키는 단계를 포함하는 방법이다. 일부 구체 예에서, 무-할로겐 규소 함유 반응물은 TSA이다. 특정 구체 예에서, 제1 질소-함유 반응물은 무-탄소(carbon-free)이다. 무-탄소 질소-함유 반응물의 예는 암모니아 또는 히드라진을 포함한다. 특정 구체 예에서, 제1 질소-함유 반응물은 아민, 예컨대 C1 -10 알킬 아민이다. 특정 구체 예에서, 제1 질소-함유 반응물은 tert-부틸 아민이다.
일부 구체 예에서, 기판은 상기 제1 질소-함유 반응물과 상이한 제2 질소-함유 반응물의 증기 상태 흐름에 노출된다. 제1 질소-함유 반응물은 무-탄소이고 제2 질소-함유 반응물은 탄소를 함유할 수 있다. 특정 구체 예에서, 제1 질소-함유 반응물 대 제2 질소-함유 반응물의 체적 흐름 비율은 약 1:1 내지 10:1, 예컨대 약 1:1 내지 4:1이다. 특정 구체 예에서, 제1 질소-함유 반응물 대 제2 질소-함유 반응물의 체적 흐름 비율은 약 1:10 내지 1:1, 예컨대 약 1:4 내지 1:1이다.
특정 구체 예에서, 반응 챔버 내 압력은 순환되어서 규소-함유 반응물의 증기 상태 흐름 동안 더 높다. 예컨대, 반응 챔버 내 압력은 제1 압력과 제2 압력 사이에서 순환될 수 있으며, 상기 제1 압력은 약 5 내지 50 Torr이며 상기 제2 압력은 약 1 내지 5 Torr이다.
특정 구체 예에서, 기판이 상기 방법을 통하여 제1 질소-함유 반응물의 증기 상태 흐름에 연속적으로 노출된다. 특정 구체 예에서, 기판을 제1 질소-함유 반응물의 증기 상태 흐름에 주기적으로 노출시킨다.
특정 구체 예에서, 저온 공정이 제공되는데, 온도가 약 400℃ 또는 그 미만에서, 예컨대 375℃, 350℃ 또는 325℃ 미만에서 유지된다. 특정 구체 예에서, 질화 규소 물질의 스트레스(stress)를 조정할 수 있다. 예컨대, 약 -4 GPa 내지 -2 GP의 스트레스를 갖는 질화 규소 물질을 증착할 수 있다. 또 다른 예에서, 약 -2 GPa 내지 1 GP의 스트레스를 갖는 질화 규소 물질을 증착할 수 있다. 질화 규소 물질은 무-할로겐일 수 있다. 특정 구체 예에서, 질화 규소 물질은 무-할로겐 및 무-탄소이다.
본 발명의 한 양상은 기판상에 질화 규소 물질을 형성하는 방법에 관한 것이며, 상기 방법은 기판을 반응 챔버 내에 제공하는 단계; 상기 기판을 증기 상태의 TSA반응물에 노출시켜 상기 TSA 반응물이 상기 기판의 표면에 흡착되도록 하는 단계; 상기 기판을 증기 상태의 질소-함유 반응물에 노출시켜 상기 질소-함유 반응물이 상기 기판의 표면에 흡착되도록 하는 단계; 및 상기 질소-함유 반응물이 증기 상태로 존재하는 동안 플라즈마를 점화시키는 단계를 포함한다. 기판 표면은 돌출 또는 함몰 피처(raised or recessed feature)를 포함할 수 있다. 기판은 예를 들면 구리와 같은 금속, 규소 산화물(silicon oxide)과 같은 절연 물질, 또는 게르마늄-안티몬-텔루르(GST) 합금 중 하나 이상을 포함할 수 있다. 일부 구체 예에서, 질소-함유 반응물은 암모니아 또는 히드라진과 같은 무-탄소 질소 함유 반응물이다. 일부 구체 예에서, 질소-함유 반응물은 탄소-함유 반응물이다. 일부 구체 예에서, 질소-함유 반응물은 탄소-함유 반응물과 무-탄소 질소-함유 반응물의 혼합물이다. 기판 온도는 예를 들면 약 300℃ 내지 약 450℃, 또는 약 300℃ 내지 약 400℃일 수 있다. 일부 구체 예에서, 온도는 400℃ 미만이다. 일부 구체 예에서 RF 전력은 약 0.15-0.5 W/cm2 일 수 있다.
또 다른 양상은 질화 규소 필름을 증착하기 위한 장치에 관한 것이다. 상기 장치는 반응 챔버; 질화 규소 필름을 형성하기 위한 활성화 에너지 공급원; 반응물 유입구; 및 제어기를 포함할 수 있다. 제어기는 증착 사이클 동안 제1 및 제2 질소-함유 반응물을 반응 챔버 내로 유동시키는 명령; 증착 사이클 동안 무-할로겐 규소-함유 반응물을 반응 챔버 내로 주기적으로 유동시키는 명령; 및 규소-함유 반응물의 흐름이 중지되고 제1 및 제2 질소-함유 반응물이 증기 상태로 반응 챔버 내에 존재하는 동안 플라즈마를 반응 챔버 내에서 주기적으로 점화시키는 명령을 포함할 수 있다.
본 발명의 이러한 특징 및 또 다른 특징 및 장점이 관련된 도면을 참조하여 이하에서 더욱 상세하게 설명될 것이다.
도면의 간단한 설명
도 1은 CFD 공정의 대표적인 단계의 시계열적 진행을 나타낸다.
도 2-4는 SiN 필름 제조를 위한 예시적인 공정 흐름을 나타낸다.
도 5는 CFD 공정 스테이션의 예를 도시한다.
도 6은 다중-스테이션 공정 기구의 개략도의 예를 도시한다.
도 7은 TSA/암모니아 시스템을 사용하여 증착된 SiN 필름에 대한 I-V 곡선을 나타낸다.
도 8은 다양한 피처 종횡비을 위하여 TSA/암모니아 시스템을 사용하여 증착된 SiN 필름의 바닥 및 측면 커버리지를 나타낸다.
상세한 설명
개요
본 발명은 특히 반도체 기판상의 SiN 필름의 형성에 관한 것이다. 본 명세서에 기재된 방법은 SiN 필름 내 탄소 함량을 제어하는 방법, 특히 저-탄소 함량 SiN 필름을 형성하는 방법, 뿐만 아니라 SiN 필름을 형성하는 등각 필름 증착 (conformal film deposition, CFD) 방법을 포함한다.
정의
본 명세서에서, 다른 지적이 없는 한 이하의 정의가 적용된다.
"규소-함유 반응물"은 SiN 물질을 제조하기 위하여 사용되는 시약, 시약의 단일물 또는 혼합물이며, 이러한 시약은 최소 한 가지 규소(silicon) 화합물을 함유한다. 규소 화합물은 예를 들면 실란, 할로실란 또는 아미노실란이다. 실란은 수소 및/또는 탄소 그룹을 함유하며, 할로겐을 함유하지 않는다. 실란의 예로는 실란(SiH4), 디실란(Si2H6), 및 유기 실란 예컨대 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 알릴실란, sec-부틸실란, 텍실실란, 이소아밀실란, t-부틸디실란, 디-t-부틸디실란, 등이 있다. 할로실란은 최소 하나의 할로겐 그룹을 함유하며 수소 및/또는 탄소 그룹을 함유하거나 함유하지 않을 수 있다. 할로실란의 예로는 아이오도실란, 브로모실란, 클로로실란 및 플루오로실란이 있다. 비록 할로실란, 특히 플루오로실란이 규소 물질을 에칭할 수 있는 반응성 할라이드 화학종을 형성할 수 있으나, 본 명세서에 기재된 특정 구체 예에서, 플라즈마가 충돌될 때 규소-함유 반응물은 존재하지 않는다. 구체적인 클로로실란은 테트라클로로실란(SiCl4), 트리클로로실란(HSiCl3), 디클로로실란(H2SiCl2), 모노클로로실란(ClSiH3), 클로로알릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로이소프로필실란, 클로로-sec-부틸실란, t-부틸디메틸클로로실란, 텍실디메틸클로로실란, 등이다. 아미노실란은 규소 원자에 결합된 최소 하나의 질소 원자를 포함하며, 또한 수소, 산소, 할로겐 및 탄소를 함유할 수도 있다. 아미노실란의 예는 모노-, 디-, 트리- 및 테트라-아미노실란(각각, H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 뿐만 아니라 치환된 모노-, 디-, 트리- 및 테트라-아미노실란, 예를 들면, t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, 비스(터셔리부틸아미노)실란 (SiH2(NHC(CH3)3)2 (BTBAS), tert-부틸 실릴카르바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 또 다른 예는 트리실릴아민(N(SiH3))이다.
"질소-함유 반응물"은 최소 하나의 질소, 예를 들면, 암모니아, 히드라진, 아민(아민 함유 탄소) 예컨대 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 사이클로프로필아민, sec-부틸아민, 사이클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸히드라진, 뿐만 아니라 아민을 함유하는 방향족, 예컨대 아닐린, 피리딘, 및 벤질아민을 포함한다. 아민은 1차, 2차, 3차 또는 4차(예를 들면, 테트라알킬암모늄 화합물)일 수 있다. 질소-함유 반응물은 질소 이외에 헤테로원자를 함유할 수 있으며, 예를 들면 하이드록실아민, t-부틸옥시카르보닐아민 및 N-t-부틸 하이드록실아민이 질소-함유 반응물이다.
"플라즈마(Plasma)"는 반응 챔버 내에서 점화(ignited)되거나 또는 원격에서 반응 챔버 내로 이송되는 플라즈마를 의미한다. 플라즈마는 본 명세서에 기재된 반응물을 포함할 수 있으며, 또 다른 시약, 예를 들면 운송 기체(carrier gas), 또는 반응성 화학종 예컨대 수소 기체를 포함할 수도 있다. 상기 반응물 및 또 다른 시약은 플라즈마가 충돌할 때 반응 챔버 내에 존재할 수 있거나, 또는 반응물이 존재하는 챔버 내로 원격 플라즈마가 유동될 수 있거나 및/또는 반응물 및/또는 운송 기체가 원격에서 반응 챔버 내로 이송된 플라즈마 내로 점화될 수도 있다. "플라즈마"는 유도-결합 플라즈마 및 마이크로웨이브 표면파 플라즈마를 비롯하여, 기술분야에서 사용 가능한 것으로 알려진 모든 플라즈마를 포함하는 것으로 의도된다. 해당 기술분야의 통상의 기술자는 기술이 발전함에 따라 아직 개발 안된 플라즈마 발생 기술 또한 본 발명의 범위에 포함되는 것으로 이해하여야 한다.
"열적으로 제거가능한 그룹"은 질소-함유 반응물 및 규소-함유 반응물 중 어느 하나 또는 둘 모두 상의 잔기로서 약 200℃ 내지 약 550℃에서 휘발성 성분으로 분해되는 것을 의미한다. 본 명세서에는 2차 또는 3차 탄소 그룹과 같은 비-제한적인 예가 제시되며 이들은 상기 온도 범위에서 제거 반응을 겪게 된다. 해당 분야의 통상의 기술자는 또 다른 그룹들이 또 다른 메커니즘에 의해 기재되는 바와 같이 열적으로 분해하는 것을 이해할 것이며, 예를 들면 t-부틸옥시카르보닐(t-BOC 즉 "BOC") 그룹은 제거 메커니즘을 통하여 열적으로 분해되어 상기 그룹의 t-부틸 부분은 이소부틸렌을 형성하며, 또한 이러한 분해는 이산화탄소를 형성한다. 따라서 열적으로 제거가능한 그룹은 특정한 메커니즘 또는 메커니즘의 조합에 제한되지 않는다. 그룹이 특정 온도 범위에서 분해되어 최소 한 가지 휘발성 성분을 생성하는 한, 열적으로 분해가능한 그룹으로서 자격을 갖는다. 예를 들면, 주어진 조건 하에서, t-부틸에틸아민은 t-부틸 그룹의 열 분해가 진행되어 이소부틸렌을 형성하는 반면 에틸 그룹은 잔류하며, 이에 따라 이소부틸렌과 에틸아민이 열 분해의 생성물이다. 해당 분야의 통상의 기술자는, 성분들의 휘발성이 성분들이 생성되는 반응 조건에 부분적으로 의존한다는 것을 인식할 것이다. 예를 들면, 이소부틸렌은 가열 및 저압 조건 하에서 휘발성일 수 있으며 반응 챔버로부터 제거될 수 있는데 왜냐하면 이소부틸렌은 흡착된 반응물과 반응하지 않기 때문이며, 한편 예를 들면, 암모니아는 일반적으로 휘발성 화합물이나, 기판의 표면상에 흡착된 규소-함유 반응물과 반응한다.
방법
SiN 필름 제조 방법이 본 명세서에 기재된다. 특정 구체 예에서 SiN 필름은 플라즈마-활성 등각 필름 증착(CFD)을 사용하여 제조된다. 일부 구체 예에서, SiN 필름은 아미노실란 규소-함유 반응물을 사용하여 증착된다. 일부 구체 예에서, SiN 필름은 무-할로겐 아미노실란을 사용하여 증착된다. 일부 구체 예에서, SiN 필름은 트리실릴아민을 사용하여 증착된다.
일부 구체 예에서, SiN 필름은 무-탄소 질소-함유 반응물을 사용하여 증착된다. 일부 구체 예에서, SiN 필름은 암모니아를 사용하여 증착된다. 일부 구체 예에서, SiN 필름은 무-탄소 화합물 질소-함유 반응물 및 탄소-함유 질소-함유 반응물의 혼합물을 사용하여 증착된다.
일부 구체 예에서, 무-할로겐 등각 SiN 필름이 증착된다. 일부 구체 예에서, 무-할로겐 및 무-탄소 등각 SiN 필름이 증착된다. 일부 구체 예에서, 조정된 스트레스를 갖는 무-할로겐 등각 SiN 필름이 증착된다. 일부 구체 예에서, 등각 SiN 필름이 약 400℃ 미만의 온도에서 증착된다. 이러한 양상들 각각은 이하에서 더욱 상세하게 설명된다.
특정 구체 예에서, CFD가 SiN 필름을 증착하기 위하여 사용되지만, 본 발명에 기재된 방법들이 CFD에 제한되는 것은 아니다. 또 다른 적절한 방법에는 ALD, PEALD, CVD, PECVD, 및 플라즈마 강화 사이클릭 화학 기상 증착(plasma enhanced cyclic chemical vapor deposition, PECCVD) 등이 포함된다. CFD를 사용하는 필름 형성 방법은 미국 특허 출원 일련번호 13/084,399(2011.4.11. 출원)에 기재되어 있으며, 이는 모든 목적을 위하여 본 명세서의 참고문헌으로 수록된다. 문맥에서, CFD의 간단한 설명이 제공된다.
반도체 소자의 제조는 전형적으로 통합된 제조 공정에서 비-평탄 기판상에 하나 이상의 박막을 증착하는 단계를 포함한다. 통합 공정의 일부 양상에서, 등각 박막(conformal thin film)을 증착하는 것이 유용할 수 있다. 예를 들어, 질화 규소 필름은 상승된 게이트 스택(elevated gate stack)의 상단에 증착되어 다량-도핑된 소스(lightly-doped source) 및 드레인(drain) 영역을 후속하는 이온 주입 공정으로부터 보호하는 스페이서 층(spacer layer)으로서 역할을 할 수 있다.
스페이서 층 증착 공정에 있어서, 화학 기상 증착(chemical vapor deposition, CVD) 공정이 사용되어 비-평탄 기판상에 질화 규소 필름을 형성할 수 있으며, 그 후 비등방적으로(anisotropically) 에칭되어 스페이서 구조를 형성한다. 그렇지만, 게이트 스택들 사이의 거리가 감소함에 따라, CVD 기체 상태 반응의 물질 전달 제한(mass transport limitation)이 "브레드-로핑(bread-loafing)" 증착 효과를 야기할 수도 있다. 이러한 효과는 전형적으로 게이트 스택의 상단 표면에서의 더욱 두꺼운 증착 및 게이트 스택의 하단 코너에서의 더욱 얇은 증착을 나타낸다. 또한, 일부 다이(die)가 서로 다른 소자 밀도의 영역을 가질 수도 있기 때문에, 웨이퍼 표면 전반에서의 물질 전달 효과가 다이-내(within-die) 및 웨이퍼 필름-내(within-wafer film) 두께 변형을 야기할 수도 있다. 이러한 두께 변형은 일부 영역에서의 오버-에칭(over-etching) 및 다른 영역에서의 언더-에칭(under-etching)을 야기할 수도 있다. 이는 소자 성능 및/또는 다이(die) 수율을 감퇴시킬 수 있다.
이러한 문제점을 해결하기 위한 일부 접근법들은 원자 층 증착(atomic layer deposition, ALD)을 포함한다. 열적으로 활성화된 기체 상태 반응이 필름을 증착하기 위하여 사용되는 CVD 공정과는 대조적으로, ALD 공정은 층상 기초(layer-by-layer basis) 상에 필름을 증착하기 위하여 표면-매개된 증착 반응(surface-mediated deposition reaction)을 사용한다. ALD 공정의 한 예에서, 여러 표면 활성 사이트를 포함하는 기판 표면이 제1 반응물(A)의 기체 상태 분배에 노출된다. 반응물 A의 일부 분자들은 기판 표면의 상단에 응축상(condensed phase)을 형성할 수 있으며, 이는 반응물 A의 화학흡착 화학종 및 물리흡착 분자를 포함한다. 반응기는 소개(evacuated)되어 기체상(gas phase) 및 물리흡착 반응물 A를 제거하여 단지 화학흡착 화학종만이 남게 된다. 그 후 제2 필름 반응물(B)이 반응기에 도입되어 반응물 B의 일부 분자들이 기판 표면에 흡착된다. 기판에 제공된 열 에너지가 반응물 A 및 B의 흡착 분자들 사이의 표면 반응을 활성화시켜, 필름 층을 형성한다. 최종적으로, 반응기가 소개되어 반응 부산물과 미반응된 반응물 B를 제거하고, ALD사이클을 종료한다. 추가 ALD 사이클이 포함되어 필름 두께를 추가할 수 있다. 플라즈마, 또는 또 다른 에너지 수단이 가열과 함께 사용되거나, 또는 기판을 가열하는 것에 대체하여 사용되어 반응물 A와 B 사이의 반응을 촉진시킬 수 있다.
반응물 첨가 단계의 노출 시간 및 반응물들의 점착 계수(sticking coefficient)에 따라서, 각각의 ALD 사이클은, 한 실시예에서, 0.5 내지 3 옹스트롱 두께의 필름 층을 증착할 수 있다. 따라서, ALD 공정은 수 나노미터 두께 이상의 필름을 증착할 때 시간 소비적일 수 있다. 또한, 일부 반응물들은 등각 필름을 증착하기 위하여 오랜 노출 시간을 가질 수 있으며, 이는 또한 웨이퍼 처리량을 감소시킬 수 있다.
등각 필름은 또한 평탄 기판상에 증착될 수 있다. 예를 들면, 리소그래픽 패터닝 적용에 대한 반사-방지층이 교대 필름 타입을 포함하는 평탄 스택으로부터 형성될 수 있다. 이러한 반사-방지층은 약 100 내지 1000 옹스트롱 두께일 수 있으며, 이에 따라 ALD 공정이 CVD 공정보다 덜 매력적이다. 그렇지만, 이러한 반사-방지층은 또한, 많은 CVD 공정이 제공할 수 있는 것보다, 웨이퍼-내 두께 변형에 대한 더 낮은 내구성을 가질 수 있다. 예를 들면, 600-옹스트롱 두께의 반사-방지층은 3 옹스트롱 미만의 두께 범위에 대하여 내성이 있을 수 있다.
본 명세서에 기재된 다양한 구체 예들은 SiN 필름을 증착하기 위한 CFD를 포함한다. 일반적으로, CFD는 SiN을 형성하기 위한 반응 이전에 1종 이상의 반응물의 완전한 정화(purge)에 의존하지 않는다. 예를 들면, 플라즈마(또는 또 다른 활성화 에너지)가 충돌할 때, 1종 이상의 반응물이 기체상에 존재할 수 있다. 따라서, ALD 공정에서 기술된 하나 이상의 공정 단계가 CFD 공정의 예에서는 감축 또는 제거될 수 있다. 또한, 일부 구체 예에서, 증착 반응의 플라즈마 활성은 열적으로-활성화된 반응보다 더 낮은 증착 온도를 야기할 수 있으며, 이는 잠재적으로 통합 공정의 열적 예산을 감소시킨다.
도 1은 다양한 공정 파라미터, 예컨대 비활성 기체 흐름, 반응물 A, 반응물 B 및 플라즈마가 충돌하는 시점에 대한 CFD 공정(100)의 대표적인 단계의 시계열적 진행을 나타낸다. 도 1에서, 두 개의 증착 사이클(110A 및 110B)이 제시된다. 해당 분야의 통상의 기술자는 원하는 필름 두께를 증착하기 위하여 임의 적절한 수의 증착 사이클이 CFD 공정에 포함될 수 있음을 이해할 것이다. 예시적인 CFD 공정 파라미터는 비제한적으로 비활성 및 반응물 화학종의 유속, 플라즈마 전력 및 주기, 기판 온도, 및 공정 스테이션 압력을 포함한다.
CFD "사이클"의 개념은 본 명세서의 여러 구체 예의 논의에 관계한다. 일반적으로, 한 사이클은 표면 증착 반응을 한 번 수행하는데 소요되는 작업의 최소 세트이다. 한 사이클의 결과는 기판 표면상의 적어도 부분적인 필름 층의 생성이다. 전형적으로, CFD 사이클은 각각의 반응물을 기판 표면에 전달 및 흡착시키고, 그 후 이러한 흡착된 반응물을 반응시켜 부분적인 필름 층을 형성하기 위하여 필요한 단계만을 포함할 것이다. 사이클은 예컨대 반응물 또는 부산물 중 하나를 스윕핑(sweeping )하는 단계 및/또는 증착되는 부분적 필름을 처리하는 단계와 같은 일부 보조 단계를 포함할 수도 있다. 일반적으로, 한 사이클은 독창적인 순서의 작업의 한 가지 경우만을 포함한다. 예를 들면, 한 사이클은 다음 작업을 포함할 수 있다: (i) 반응물 A전달/흡착, (ii) 반응물 B의 전달/흡착, (iii) 반응 챔버로부터 반응물 B를 스윕(sweep), 및 (iv) 플라즈마를 인가하여 A와 B의 표면 반응을 촉진시켜 표면상에 부분적 필름 층을 형성함.
도 1을 참조하면, 공정(100)의 모든 단계 동안 비활성 기체가 유동된다. 반응물 A 노출 단계(120A)에서, 반응물 A는 제어된 유속으로 공정 스테이션에 공급되어 기판의 노출된 표면을 포화시킨다. 반응물 A는 임의 적절한 증착 반응물, 예컨대 질소-함유 반응물일 수 있다. 도 1에 도시된 구체 예에서, 반응물 A는 증착 사이클(110A 및 110B)을 통하여 연속적으로 유동한다. 필름 전구체(반응물)가 기체상 반응을 방지하기 위하여 분리되는 전형적인 ALD 공정과는 달리, 반응물 A 및 B는 CFD 공정의 일부 구체 예의 기체상 내에 혼합되는 것이 허용될 수 있다. 반응물 A를 공정 스테이션에 연속적으로 공급하는 것은, 먼저 반응물 A가 유입되고 그 후 안정화되어 기판에 노출되고 그 후 정지되고 최종적으로 반응기로부터 제거되는 ALD 공정에 비하여, 반응물 A 유속 유입 및 안정화 시간을 감소 또는 제거할 수 있다. 도 1에 제시된 구체 예가 반응물 A 노출 단계(120A)가 일정한 유속을 갖는 것으로 도시하지만, 가변적인 흐름을 포함하여 임의 적절한 반응물 A의 흐름이 본 명세서의 범위에 포함될 수 있음이 이해될 것이다. 일부 구체 예에서, 반응물 A 노출 단계(120A)는 반응물 A에 대한 기판 표면 포화 시간을 초과하는 기간을 가질 수도 있다. 예컨대, 도 1의 구체 예는 반응물 A 노출 단계(120A)에서 반응물 A 포화-후 노출 시간(130)을 포함한다. 선택적으로, 반응물 A 노출 단계(120A)는 비활성 기체의 제어된 유속을 포함할 수 있다. 예시적인 비활성 기체는 비제한적으로 질소, 아르곤, 및 헬륨을 포함한다. 비활성 기체는 공정 스테이션의 압력 및/또는 온도 제어, 액체 반응물의 증발, 반응물의 더욱 신속한 전달을 돕기 위하여, 및/또는 공정 스테이션 및/또는 공정 스테이션 배관으로부터 공정 기체를 제거하기 위한 스윕 기체(sweep gas)로서 제공될 수 있다.
도 1에 제시된 구체 예의 반응물 B 노출 단계(140A)에서, 반응물 B는 제어된 유속으로 공정 스테이션에 공급되어 노출된 기판 표면을 포화시킨다. 본 실시예에서, 반응물 B는 예컨대 질소-함유 반응물일 수 있다. 도 1의 구체 예가 반응물 B 노출 단계(140A)가 일정한 유속을 갖는 것으로 도시하지만, 가변적인 흐름을 포함하여 임의 적절한 반응물 B의 흐름이 본 명세서의 범위에 포함될 수 있음이 이해될 것이다. 또한, 반응물 B 노출 단계(140A)가 임의 적절한 기간을 가질 수도 있음이 이해될 것이다. 일부 구체 예에서, 반응물 B 노출 단계(140A)는 반응물 B에 대한 기판 표면 포화 시간을 초과하는 기간을 가질 수도 있다. 예컨대, 도 1의 구체 예는 반응물 B 노출 단계(140A)에 포함된 반응물 B 포화-후 노출 시간(150)을 도시한다.
일부 구체 예에서, 표면 흡착된 B 화학종은 기판 표면상에 불연속적인 섬(island)으로 나타날 수 있으며, 이는 반응물 B의 표면 포화 달성을 어렵게 만든다. 다양한 표면 조건이 기판 표면상의 반응물 B의 핵형성 및 포화를 지연시킬 수 있다. 예를 들면, 반응물 A 및/또는 B의 흡착에 방출된 리간드가 일부 표면 활성 사이트를 가로막아서, 반응물 B의 추가 흡착을 방지할 수 있다. 따라서, 일부 구체 예에서, 반응물 B 노출 단계(140A) 동안 반응물 B의 흐름을 조정하고 및/또는 반응물 B를 공정 스테이션 내로 불연속적으로 펄싱(pulsing)함으로써 반응물 B의 연속적인 흡착층(adlayer)이 제공될 수 있다. 이는 일정한 흐름 경우에 비하여, 반응물 B를 보존하는 표면 흡착 및 탈착 공정에 대한 추가 시간을 제공할 수 있다. 부가적으로, 또는 대안적으로, 일부 구체 예에서, 1회 이상의 스윕 단계가 반응물 B의 연속 노출 사이에 포함될 수 있다.
일부 구체 예에서, 플라즈마 활성화 이전에, 기체상 반응물 B가 스윕 단계(160A)에서 공정 스테이션으로부터 제거될 수 있다. 공정 스테이션을 스윕핑하는 것은, 반응물 B가 플라즈마 활성에 불안정하거나 또는 원치 않는 화학종이 형성될 수 있는 기체상 반응을 방지할 수 있다. 또한, 공정 스테이션을 스윕핑하는 것은 잔류하여 필름을 오염시킬 수 있는 표면 흡착된 리간드를 제거할 수 있다. 예시적인 스윕 기체는 비제한적으로 아르곤, 헬륨, 및 질소를 포함할 수 있다. 도 1에 제시된 구체 예에서, 스윕 단계(160A)에 대한 스윕 기체는 연속 비활성 기체 스트림에 의해 공급된다. 일부 구체 예에서, 스윕 단계(160A)는 이러한 공정 스테이션을 소개하기 위한 하나 이상의 소개 하부 단계(evacuation subphase)를 포함할 수 있다. 대안적으로, 스윕 단계(160A)가 일부 구체 예에서 생략될 수도 있음이 이해될 것이다.
스윕 단계(160A)는 임의 적절한 기간을 가질 수 있다. 일부 구체 예에서, 1종 이상의 스윕 기체의 유속을 증가시키는 것은 스윕 단계(160A)의 기간을 감소시킬 수도 있다. 예를 들어, 스윕 기체 유속은 스윕 단계(160A)의 기간을 조정하기 위한 다양한 반응물의 열역학적 특성 및/또는 공정 스테이션 및/또는 공정 스테이션 배관의 기하학적 특성에 따라 조절될 수도 있다. 한 비-제한적 구체 예에서, 스윕 단계의 기간은 스윕 기체 유속의 조절에 의해 최적화될 수 있다. 이는 증착 사이클 시간을 감소시킬 수 있으며, 이는 기판 처리량을 개선 시킬 수 있다.
도 1에 제시된 구체 예의 플라즈마 활성 단계(180A)에서, 표면 흡착 반응물 A와 B의 표면 반응을 활성화하기 위하여 플라즈마 에너지가 제공된다. 예를 들면, 플라즈마는 반응물 A의 기체상 분자를 직접 또는 간접적으로 활성화시켜 반응물 A 라디칼을 형성할 수 있다. 이러한 라디칼들은 그 후 표면 흡착 반응물 B와 반응하여, 필름-형성 표면 반응을 야기할 수 있다. 플라즈마 활성 단계(180A)는 증착 사이클(110A)을 종결시키며, 이는 도 1의 구체 예에 제시된 바와 같이 증착 사이클(110B)이 후속하는데, 반응물 A 노출 단계(120B)에 의해 시작되고, 이어서 B 노출 단계(140B), 스윕 단계(160B) 및 플라즈마 활성 단계(180B)가 후속된다.
일부 구체 예에서, 플라즈마 활성 단계(180A)에서 점화된 플라즈마는 기판 표면 바로 위에서 형성될 수도 있다. 이는 더 큰 플라즈마 밀도를 제공할 수 있고 반응물 A와 B 사이의 표면 반응 속도를 증가시킬 수 있다. 예를 들면, CFD 공정에 대한 플라즈마는 2개의 용량성 결합 플레이트(capacitively coupled plate)를 사용하여 RF(radio frequency) 장을 저압 기체에 인가함으로써 발생될 수 있다. 임의 적절한 기체가 플라즈마를 형성하기 위하여 사용될 수 있다. 이러한 실시예에서, 아르곤 또는 헬륨과 같은 비활성 기체가 반응물 A, 즉 질소-함유 반응물과 함께 사용되어 플라즈마를 형성한다. RF 장에 의한 플레이트 사이의 기체의 이온화는 플라즈마를 점화시키며, 플라즈마 방전 영역에서 자유 전자를 생성한다. 이러한 전자들은 RF 장에 의해 가속화되고 기체상 반응물 분자와 충돌할 수 있다. 이들 전자의 반응물 분자와의 충돌은 증착 공정에 참여하는 라디칼 화학종을 형성할 수 있다. RF 장이 임의 적절한 전극들을 통해 결합(couple)될 수 있음이 이해될 것이다. 전극의 비제한적 예는 공정 기체 분배 샤워헤드 및 기판 지지 받침대를 포함한다. CFD 공정에 대한 플라즈마가 기체에 대한 RF 장의 용량성 결합 이외의 한 가지 이상의 적절한 방법에 의해 형성될 수 있음이 이해될 것이다.
플라즈마 활성 단계(180A)는 임의 적절한 기간을 가질 수 있다. 일부 구체 예에서, 플라즈마 활성 단계(180A)는, 플라즈마-활성 라디칼이 모든 노출된 기판 표면 및 흡착물질과 상호작용하여 기판 표면 상단의 연속 필름을 형성하기 위한 시간을 초과하는 기간을 가질 수 있다. 예를 들어, 도 1에 제시된 구체 예는 플라즈마 활성 단계(180A)에서 플라즈마 포화-후 노출 시간(190)을 포함한다.
일부 구체 예에서, 플라즈마 노출 시간을 연장하거나 및/또는 복수의 플라즈마 노출 단계를 제공하는 것은 증착된 필름의 벌크(bulk) 및/또는 표면-근처 영역의 반응-후 처리를 제공할 수 있다. 한 구체 예에서, 표면 오염을 감소시키는 것은 반응물 A의 증착을 위한 표면을 준비할 수 있다. 예를 들면, 규소-함유 반응물과 질소-함유 반응물의 반응으로부터 형성된 질화 규소 필름은 후속하는 반응물의 흡착에 대하여 저항성인 표면을 가질 수 있다. 이러한 질화 규소 표면을 플라즈마로 처리하는 것은 후속하는 흡착 및 반응 이벤트를 촉진하는 수소 결합을 생성할 수 있다. 본 명세서에 기재된 SiN 필름은 플라즈마-이외의 처리에 노출될 수 있다.
일부 구체 예에서, 플라즈마 처리 이외의 처리가 갓 증착된 필름(as deposited film)의 물성을 개질하기 위하여 사용된다. 이러한 처리는 전자기 방사 처리(electromagnetic radiation treatment), 열처리(예컨대, 어닐링 또는 고온 펄스) 등을 포함한다. 이들 처리 중 임의 것은 단독으로, 또는 플라즈마 처리를 포함하여 다른 처리와 함께 수행될 수 있다. 이러한 처리 중 임의 것이 전술한 플라즈마 처리 중 임의 것의 대체로서 사용될 수 있다. 특정 구체 예에서, 처리는 필름을 자외선 방사에 노출시키는 것을 포함한다. 전술한 바와 같이, 특정 구체 예에서, 상기 방법은 인 시츄(in situ)에서(즉, 필름이 형성되는 동안) UV-방사를 필름에 인가하는 것을 포함한다. 이러한 처리는 결함 구조를 감소 또는 제거하고 개선된 전기적 성능을 제공하는 역할을 한다.
일부 특정 구체 예에서, UV 처리는 플라즈마 처리와 결합될 수 있다. 이러한 두 가지 작업은 동시에 또는 순차적으로 수행될 수 있다. 순차적인 수행에서, 선택적으로 UV 작업이 먼저 수행된다. 동시적인 수행에서, 두 가지 처리는 별개의 공급원(예컨대, 플라즈마를 위한 RF 전력원 및 UV를 위한 램프)으로부터 또는 부산물로서 UV 방사를 생성하는 헬륨 플라즈마와 같은 단일 공급원으로부터 제공될 수 있다.
일부 구체 예에서, 필름 스트레스(film stress, 막 응력), 유전 상수(dielectric constant), 굴절률, 에칭 속도(etch rate)와 같은 필름의 물성은 플라즈마 파라미터를 변화시킴으로써 조절될 수 있다.
본 명세서에 기재된 많은 실시예가 두 가지 반응물(A 및 B)을 포함하지만, 임의 적절한 수의 반응물이 본 명세서의 범위 내에서 사용될 수 있음이 이해될 것이다. 일부 구체 예에서, 표면 반응을 위한 플라즈마 에너지를 공급하기 위하여 사용되는 비활성 기체 및 단일 반응물이 사용될 수 있다. 그 대신에, 일부 구체 예는 필름을 증착하기 위한 복수의 반응물을 사용할 수 있다. 예컨대, 일부 구체 예에서, 질화 규소 필름은 규소-함유 반응물과 1종 이상의 질소-함유 반응물의 반응, 또는 1종 이상의 규소-함유 반응물과 단일 질소-함유 반응물, 또는 1종 이상의 규소-함유 반응물과 1종 이상의 질소-함유 반응물의 반응에 의해 형성될 수 있다.
특정 구체 예에서, 무-할로겐 규소-함유 반응물이 사용되어 무-할로겐 SiN 필름을 증착한다. 도 1은 무-할로겐 SiN 필름을 증착하기 위하여 사용될 수 있는 공정의 예를 제공하며, 여기서 도 1의 실시예에서 트리실릴아민(TSA)이 반응물 B로서 사용된다. 도 1이 SiN 필름 증착 공정의 한 예를 제공하지만, 다양한 변형이 가능하다. 예컨대, 일부 구체 예에서, 반응물 A와 B의 흐름들이 이러한 흐름들 사이의 선택적 정화 기체와 번갈아 공급될 수 있다. 또 다른 실시예에서, 반응물 A는 규소-함유 반응물일 수 있으며, 반응물 B는 질소-함유 반응물일 수 있다. 일부 구체 예에서, 단지 규소-함유 반응물의 흐름이 정지되었을 때에만 플라즈마가 충돌될 수도 있다.
특정 구체 예에서, 무-할로겐 규소-함유 반응물이 사용된다. 특정한 실시예에서, 트리실릴아민(TSA)이 규소-함유 반응물로서 사용된다. TSA는 분리가능하고, 안정한 아미노실란이다.
도 2 및 3은 TSA를 사용하는 공정 흐름(200 및 300)의 예를 도시한다. 먼저 도 2를 참고하면, 기판을 챔버에 제공한다(205). 기판은 그 상부에 SiN 필름이 요구되는 임의 적절한 기판일 수 있다. 예컨대, 기판은 부분적으로 제작된 집적 회로, 플래시 메모리(flash memory) 또는 상-변화 메모리(phase-change memory) 기판일 수 있다. 기판은 베어 기판(bare substrate), 예컨대 베어 규소 기판(bare silicon substrate)으로 제공되거나, 또는 그 상부에 증착된 하나 이상의 층과 함께 제공될 수 있다. 그 상부에 SiN 필름이 증착될 표면은 예컨대, 규소, 폴리규소, 구리, 티타늄, 텅스텐, 이산화규소, 또는 게르마늄-안티몬-텔루르(GST) 합금일 수 있거나 또는 이들을 포함할 수 있다. 일부 구체 예에서, 표면은 하나 이상의 돌출 또는 함몰 피처를 포함한다. 하나 이상의 돌출 피처는 예컨대 2:1 - 10:1의 종횡비를 가질 수 있다. 기판은 TSA에 노출된다(210). 일부 구체 예에서, 작업(210)은 비-플라즈마 작업이다. 일부 구체 예에서, 반응기를 예컨대 약 5 내지 50 Torr의 제1 압력으로 가압시킬 수 있다. 특정 구체 예에서, 압력은 작업(210) 동안 약 20 Torr이다. 이러한 범위 밖의 압력이 원하는 구체 예에 따라 사용될 수도 있다. TSA가 기판 표면에 흡착된다. 원하는 양의 TSA가 표면에 흡착된 이후, TSA의 흐름을 정지시킨다(도시되지 않음). 기판을 그 후 1종 이상의 질소-함유 반응물에 노출시킨다(215). 질소-함유 반응물이 증기상에 존재하는 동안 플라즈마를 충돌시켜(220) 이에 따라 기판상에 무-할로겐 SiN 필름을 형성시킨다. 일부 구체 예에서, 반응기 내의 압력이 순환되어 작업(210) 보다 작업(215 및/또는 220) 동안 더 낮다. 예를 들면, 이들 작업 동안의 압력은 약 1 내지 5 Torr, 예컨대 2 Torr일 수 있다. 일부 구체 예에서, 작업(220) 이후에 질소-함유 반응물의 흐름을 정지시킬 수 있다(도시되지 않음).
한 구체 예에서, 기판의 표면에 흡착되지 않은 TSA는, 플라즈마 충돌 이전에 비활성 기체 및/또는 질소-함유 반응물의 흐름에 의해 챔버로부터 제거된다. 또 다른 구체 예에서, 증기상 규소-함유 반응물을 제거하기 위해 정화(purge)가 사용될 수 있다. 일부 구체 예에서, 정화는 또한 작업(220) 이후에 사용될 수 있다.
SiN 층을 구축(build up)하기 위하여 작업(210-220)의 1회 이상의 반복이 수행될 수 있다. 한 구체 예에서, 이러한 작업들이 반복되어 기판상에 약 1 nm 내지 약 100 nm 두께의 등각 층을 형성한다. 또 다른 구체 예에서, 약 5 nm 내지 약 50 nm 두께이다. 또 다른 구체 예에서, 약 5 nm 내지 약 30 nm 두께이다.
한 구체 예에서,본 명세서에 기재된 방법 중 임의 것을 사용하여, 기판을 약 50℃ 내지 약 550℃, 더욱 특히 약 300℃ 내지 약 450℃, 예컨대 약 350℃ 또는 400℃로 가열한다. 한 구체 예에서, 웨이퍼를 증착 과정 전반에서 가열하거나, 또 다른 구체 예에서 웨이퍼를 증착 동안 또는 증착 단계 이후에 어닐링으로서 주기적으로 가열한다.
도 3은 공정 흐름(300)을 도시하며, 방법의 구체 예의 양상들을 개관한다. 기판을 챔버에 제공한다(305). 1종 이상의 질소-함유 반응물의 흐름을 생성하고 공정 흐름(300) 전반을 통하여 지속시킨다(310). 기판을 주기적으로 TSA에 노출시킨다(315). 또한, 단지 TSA 반응물 흐름이 정지된 때에만, 주기적으로 플라즈마를 충돌시킨다(320). 한 구체 예에서, 기판의 표면에 흡착되지 않은 TSA는 비활성 기체 및/또는 질소-함유 반응물의 흐름에 의해 챔버로부터 제거된다. 또 다른 구체 예에서, 정화(purge)가 사용될 수 있다. 플라즈마 처리 이후에, 사이클이 완료된다. 원하는 두께의 층을 구축(build up)하기 위하여 작업(310-320)이 수 회 반복될 수 있다.
도 4는 공정 흐름(400)을 도시하며, 방법의 구체 예의 양상들을 개관한다. 기판을 챔버에 제공한다(405). 캐리어 흐름을 생성한다(410). 기판을 질소-함유 반응물에 노출시킨다(415). 기판을 규소-함유 반응물에 노출시킨다(420). 규소-함유 반응물의 흐름이 정지된 이후에 플라즈마를 점화시킨다(425). 이러한 반응은 SiN을 형성한다. 원하는 두께의 층을 구축하기 위하여 작업(410-425)의 1회 이상의 반복이 수행된다. 본 방법에서, 작업(415 및 420)이 제시된 순서대로 반드시 수행될 필요는 없다. 질소-함유 반응물 흐름은 연속적이거나 또는 연속적이지 않을 수 있다.
다양한 추가 작업이 전술한 공정 중 임의 것에 포함될 수 있다. 예컨대, 일부 구체 예에서, 모든 또는 일부 SiN 필름이 원하는 두께로 형성된 이후, SiN 필름을 수소 플라즈마에 노출시킬 수 있다. 이는, 만약 존재한다면, 탄소 성분을 SiN 필름으로부터 제거할 수 있다. 한 구체 예에서, 질소, 헬륨 또는 아르곤과 같은 운송 기체와 수소(H2)를 사용하여 수소 플라즈마를 발생시킨다. 증착된 필름을 처리하기 위하여, 원격 플라즈마 공급원에 의해 생성된 또 다른 수소 함유 기체, 또는 활성 수소 원자가 사용될 수 있다. 또한, 일부 구체 예에서, 처리 펄스의 횟수 및 그 기간, 처리 플라즈마의 강도, 기판 온도, 및 처리 기체 조성 중 하나 이상을 변화시킴으로써, 필름의 탄소 함량을 임의 적절한 농도로 조절할 수 있다.
무-할로겐 규소-함유 반응물
도 2-4가 TSA를 사용하는 SiN의 증착을 위한 예시적인 공정 흐름을 제공하지만, 일부 구체 예에서, 또 다른 SiN 필름이 TSA를 대신하여 또는 이에 부가하여 사용될 수 있는 무-할로겐 아미노실란을 사용하여 증착된다. TSA 또는 또 다른 아미노실란은 비치환되거나 또는 임의 무-할로겐 치환체로 선택적으로 치환될 수 있다. 일부 구체 예에서, 비치환 TSA (SiH3)3N이 사용된다. 일부 구체 예에서, 1종 이상의 탄소-함유 치환체로 치환된 TSA가 사용될 수 있다. 탄소-함유 치환체의 예로는 알킬, 알켄일, 알킨일, 및 또 다른 유기 그룹 등이 있다.
무-할로겐 규소-함유 반응물의 사용은 증착 공정의 할라이드 부산물에 의한 부식 및/또는 에칭에 특히 약한 일부 기판상의 증착에 대하여 유리할 수 있다. 여기에는 구리, 텅스텐, 티타늄 기판 및 GST 합금과 같은 금속 기판이 포함된다. 예컨대, 텅스텐과 클로라이드(chloride) 부산물은 반응하여 휘발성 텅스텐 헥사클로라이드를 형성하여, 하부 텅스텐을 제거할 수 있다. 또 다른 실시예에서 클로라이드 기체는 구리를 부식시킬 수 있다.
무-할로겐 규소-함유 반응물의 사용은 또한 저온(예컨대 400℃ 및 400℃ 미만) 반응에 대하여 유리할 수 있다. 이는 왜냐하면 할로겐-함유 규소 반응물이, 저온 반응에서 휘발성 부산물로서 제거될 수 없는 고체 부산물, 예컨대 NH4Cl을 생성할 수 있기 때문이다. TSA는 저온 반응에 대하여 사용될 수 있는데 왜냐하면 TSA가 예컨대 디클로로실란 (DCS)보다 표면에서 더욱 반응성이기 때문이다.
일부 구체 예에서, TSA는 임의 적절한 질소-함유 반응물과 함께 사용될 수 있다. 한 구체 예에서, 질소-함유 반응물은 암모니아, 히드라진, 아민 및 이들의 조합으로 구성된 군으로부터 선택된다. 한 구체 예에서, 질소-함유 반응물은 C1 -10 알킬 아민 또는 C1 -10 알킬 아민의 혼합물을 포함한다. 한 구체 예에서, C1 -10 알킬 아민은 1차 알킬 아민 또는 2차 알킬 아민이다. 한 구체 예에서, C1 -10 알킬 아민은 1차 알킬 아민이다. 한 구체 예에서, C1 -10 알킬 아민은 화학식 I을 따른다:
Figure pat00001
여기서 각각의 R1, R2 및 R3은 서로 독립적으로, H 또는 C1 -3 알킬이거나; 또는 R1, R2 및 R3 중 둘은 이들이 부착된 탄소 원자와 함께 C3 -7 사이클로알킬을 형성하고 R1, R2 및 R3 중 나머지는 H 또는 C1 -3 알킬이다. 한 구체 예에서, C1 -10 알킬 아민은 질소에 직접 부착된 2차 또는 3차 탄소를 가진다. 한 구체 예에서, C1 -10 알킬 아민은 이소프로필아민, 사이클로프로필아민, sec-부틸아민, tert-부틸 아민, 사이클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민 및 텍실아민 (2,3-디메틸부탄-2-아민)으로 구성된 군으로부터 선택된다. 한 구체 예에서, 화학식 I의 C1 -10 알킬 아민에서, 각각의 R1, R2 및 R3은 C1 -3 알킬이다. 한 구체 예에서, C1 -10 알킬 아민은 tert-부틸 아민(TBA)이다. TBA는 기재된 반응에 대하여 특히 유용할 수 있다.
일부 구체 예에서, 생성된 SiN 필름은 바람직하지 않은 탄소 함량을 가진다. 이러한 필름-내 탄소는 누전(electrical leakage)을 야기할 수 있고 일부 유전 장벽 응용분야(dielectric barrier application)에 대하여 필름을 유용하지 않게 할 수 있다. 탄소 함량은 변할 수 있으나, 일부 구체 예에서 대략 10% 탄소(중량%)가 너무 높은 것으로 간주 될 수 있다. 본 명세서에 기재된 방법은 SiN 필름 내 원치 않는 탄소를 해결한다. 본 명세서에 기재된 방법은 2% 미만의 탄소, 한 구체 예에서 1% 미만의 탄소, 또 다른 구체 예에서 0.5% 미만의 탄소를 갖는 SiN 필름을 생성한다. 일부 구체 예에서, 탄소 잔류량의 감소는 FTIR 분광계에서 쉽게 관찰가능하며, 이러한 범위의 탄소 함량을 측정할 수 있는 또 다른 분석 방법이 해당 기술분야의 통상의 기술자에게 공지되어 있다.
일부 구체 예에서, 질소-함유 반응물은 열적으로 제거가능한 그룹을 포함할 수 있다. 열적으로 제거가능한 그룹은 약 200℃ 내지 약 550℃에서 휘발성 성분으로 분해되는 그룹이다. 예를 들면, 2차 및 특히 3차 탄소 그룹은 이러한 온도 범위에서 제거 반응을 겪을 수 있다. 특정 실시예에서, t-부틸 그룹은 이러한 온도 범위에서 분해되어 이소부틸렌을 형성한다. 예를 들면, 가열될 때, t-부틸아민은 제거 반응을 겪어서 이소부틸렌과 암모니아를 형성한다. 또 다른 실시예로서, t-부톡시카르보닐(t-BOC) 그룹이 예컨대 약 150℃에서 열적으로 분해되어 이소부틸렌, 이산화탄소, 및 t-BOC 그룹이 부착되었던 라디칼을 형성한다. 예를 들면, t-부틸카바메이트는 열적으로 분해하여 이소부틸렌 암모니아 및 이산화탄소를 산출한다.
기판을 약 200℃ 내지 약 550℃까지 가열시켜 이들 그룹들이 분해되어 그 탄소 함량을 방출하고 이에 따라 SiN 필름의 탄소 함량을 감소시킬 수 있다. 반응물이 기판상에 흡착되고, 플라즈마를 사용하여 반응물을 SiN 물질로 전환시킨다. 잔류하는 탄소 그룹은 기판을 가열하여 제거할 수 있다. 가열을 전체 증착 동안 또는 주기적으로 수행하여 이러한 열적으로 제거가능한 그룹을 분해시킬 수 있다. 한 구체 예에서, 기판을 약 200℃ 내지 약 550℃까지, 또 다른 구체 예에서 약 350℃ 내지 약 550℃까지, 또 다른 구체 예에서 약 450℃ 내지 약 550℃까지, 또 다른 구체 예에서 약 450℃ 내지 약 500℃까지 가열시킨다. 예컨대 TBA가 사용되는 한 구체 예에서, SiN 필름을 약 1 초 내지 약 30 초, 또는 약 1 초 내지 약 20 초, 또는 약 1 초 내지 약 10 초 동안, 약 450℃ 내지 약 500℃까지 가열시킬 수 있다. 비록 임의 특정한 열적으로 제거가능한 그룹이 특정한 온도 문턱값에서 분해될 것이지만, 더 높은 온도가 분해 속도를 증가시키기 위하여 및/또는 SiN 필름의 물성을 개선하기 위한 어닐링으로서 사용될 수 있다.
전술한 바와 같이, 열적으로 제거가능한 그룹은 2차 또는 3차 탄소 작용성(functionality)을 포함할 수 있다. 규소-함유 반응물 및 질소-함유 반응물 중 어느 하나 또는 둘 모두가 동일하거나 서로 다른 하나 이상의 열적으로 제거가능한 그룹을 포함할 수 있다. 한 구체 예에서, 열적으로 제거가능한 그룹은 화학식 II에 따른다:
Figure pat00002
여기서 각각의 R1, R2 및 R3은 서로 독립적으로 H 또는 C1 -3 알킬이거나; 또는 R1, R2 및 R3 중 둘은 이들이 부착된 탄소 원자와 함께 C3 -7 사이클로알킬을 형성하고 R1, R2 및 R3 중 나머지는 H 또는 C1 -3 알킬이며; 상기 열적으로 제거가능한 그룹의 각각은, 질소-함유 반응물의 일부인 경우, 질소-함유 반응물의 질소 또는 산소에 부착되며, 규소-함유 반응물의 일부인 경우, 규소-함유 반응물의 규소 또는 질소 또는 산소에 부착된다. 한 구체 예에서, 각각의 R1, R2 및 R3은 서로 독립적으로 C1 -3 알킬이다. 한 구체 예에서, 열적으로 제거가능한 그룹은 t-부틸 그룹이다.
무-탄소 질소 함유 반응물S
일부 구체 예에서, 질소-함유 반응물은 무-탄소 질소-함유 반응물이다. 예로서는 암모니아 및 히드라진이 포함된다. 예컨대, 일부 구체 예에서, SiN의 증착을 위하여 TSA 및 암모니아가 각각 규소-함유 반응물 및 질소-함유 반응물로서 사용된다. 일부 구체 예에서, 예컨대, 무-탄소 질소-함유 반응물이 플래시 메모리에 대한 SiO2/SiN/SiO2 (또한 ONO 스택으로 알려짐)의 SiN 필름을 증착시키기 위해 사용된다. 증착된 필름은 심지어 할로겐 또는 탄소의 흔적양도 존재하지 않는 무-할로겐 및 무-탄소일 수 있다(증착 툴에서 이전의 공정 이후에 인간 또는 불충분한 세정과 같은 오염원으로부터 기인하지 않는 경우).
스트레스 및 습식 에칭 속도 조절
일부 구체 예에서, 질소-함유 반응물은 증착된 SiN 필름의 스트레스 및/또는 습식 에칭 속도를 조정하기 위하여 선택될 수 있다. 예를 들어, 암모니아 또는 또 다른 무-탄소 질소-함유 반응물이 빠른 습식 에칭 속도를 갖는 인장성 필름(tensile films)을 증착하기 위하여 사용될 수 있다.
또 다른 실시예에서, TBA 또는 또 다른 탄소-함유 질소-함유 반응물이 에칭 저항성, 압축성 필름을 증착하기 위하여 사용될 수 있다.
일부 구체 예에서, 두 가지 질소-함유 반응물이 원하는 필름의 스트레스를 조정하기 위하여 사용될 수 있다. 예를 들면, 탄소-함유 질소-함유 반응물(예컨대, TBA) 및 무-탄소 질소-함유 반응물(예컨대, 암모니아)이 원하는 스트레스 및 습식 에칭 속도를 갖는 SiN 필름을 증착하기 위하여 사용될 수 있다. 일부 구체 예에서, 이러한 질소-함유 반응물을 TSA와 함께 사용하여 원하는 스트레스 및 습식 에칭 속도를 갖는 무-염소 SiN 필름을 증착할 수 있다.
이러한 방식에서, -4 GPa (압축성) 내지 2 GPa (인장성) 범위의 스트레스를 갖는 SiN 필름이 달성될 수 있다. 예를 들면, 일부 구체 예에서, 약 -4 GPa 내지 약 -2 GPa의 스트레스를 갖는 압축성 SiN 필름을 순수 TBA(또는 또 다른 탄소-함유 질소-함유 반응물)의 질소-함유 반응물 흐름을 사용하여 달성할 수 있다. 일부 구체 예에서, 약 1 GPa 내지 약 2 GPa의 스트레스를 갖는 인장성(tensile) SiN을 순수 암모니아(또는 또 다른 무-탄소 질소-함유 반응물)의 질소-함유 반응물 흐름을 사용하여 달성할 수 있다. 일부 구체 예에서, 약 -2GPa 내지 1GPa의 스트레스를 갖는 SiN 필름을 TBA(또는 또 다른 탄소-함유 질소-함유 반응물)와 암모니아(또는 또 다른 무-탄소 질소-함유 반응물)의 혼합물을 사용하여 달성할 수 있다. 순수 TBA(또는 또 다른 탄소-함유 질소-함유 반응물), 순수 암모니아(또는 또 다른 무-탄소 질소-함유 반응물) 및 이들의 혼합물을 사용하여 달성할 수 있는 스트레스 값은 특정 구체 예 및 본 명세서에 기재된 공정의 플라즈마 단계 동안의 RF 전력과 플라즈마 기간을 비롯한 또 다른 공정 조건에 따라 변할 수 있다. 더 긴 그리고 더 높은 전력 플라즈마가 SiN 필름을 더욱 압축성이 되도록 할 수 있다. 일부 구체 예에서, TSA 및 단지 무-탄소 질소-함유 반응물(예컨대, 암모니아 및/또는 히드라진)을 사용하여 증착된 SiN 필름은 RF 전력을 대략적으로 조절하여 약간 압축성인 예컨대 -0.5 GPa 내지 최대 2 GPa의 인장성 스트레스 중 임의 값의 스트레스를 갖도록 조정될 수 있다.
일부 구체 예에서, 예를 들면, 인장성 (1-2 GPa) SiN 필름을 약 0.15 W/cm2의 HFRF 전력으로 TSA 및 무-탄소 질소-함유 반응물을 사용하여 증착할 수 있으며, 약간 압축성인 SiN 필름을 거의 1 W/cm2의 HFRF 전력으로 TSA 및 무-탄소 질소-함유 반응물을 사용하여 증착할 수 있다. (RF 전력은 W/기판면적으로 표시되며, 예컨대 300 mm 웨이퍼는 약 706 cm2의 면적을 가진다.) TBA 또는 또 다른 탄소-함유 질소-함유 반응물을 첨가하여 필름을 더욱 압축성이 되도록 만들 수 있다. 일부 경우에, TBA를 사용하여 인장성 필름을 형성하는 것이 어려울 수 있는데 왜냐하면 비교적 높은 RF 전력이 TBA 분자의 t-부틸 그룹을 분해하기 위해 사용되기 때문이다. 따라서, 인장성 필름을 달성하기 위하여는 암모니아 또는 히드라진과 같은 무-탄소 질소 함유 반응물을 사용하는 것이 유용할 수 있다. RF 플라즈마는 HF-유일 플라즈마이며, 일부 다른 구체 예에서, 일부 LF 전력이 부가될 수 있다.
TSA와 무-탄소 반응물 시스템을 사용하여 달성가능한 습식-에칭의 범위가 또한 종래 공정보다 더 크다. 예를 들면 TSA/암모니아를 사용하여 증착된 SiN 필름에 대한 열 산화물에 대한 습식 에칭 속도 비율(WERR)은 전술한 바와 같이 낮은 HFRF 전력에 대하여 약 2.0일 수 있다. HFRF 전력을 약 1 W/cm2 또는 그 이상으로 증가시키는 것은 WERR을 약 0.5까지 낮출 수 있다. 이는 약 0.1 내지 1.0 범위일 수 있는 DCS/TBA 시스템에 대한 WERR과 비교된다.
저온에서의 증착
전술한 바와 같이, TSA가 저온 반응을 위해 사용될 수 있는데 왜냐하면 TSA가 예를 들면, 디클로로실란보다 표면에서 더욱 반응성이기 때문이다. 또한, 일부 구체 예에서, 무-탄소 질소 함유 반응물이, TBA 또는 또 다른 질소-함유 반응물에 비하여, 더욱 낮은 온도에서 높은 품질의 SiN 필름의 증착을 가능하게 한다. 예를 들면, DCS/TBA 시스템은, TBA 분자 내 t-부틸 그룹의 나쁜 분해 속도로 인하여, 400℃ 및 그 미만에서 쇠퇴한 측벽 증착을 나타내기 시작한다.
일부 구체 예에서, 무-할로겐 아미노실란 및 무-탄소 질소-함유 반응물을 사용하는 SiN 증착은 DCS/TBA 및 이와 유사한 시스템에 의해 달성가능한 것보다 훨씬 더 낮은 온도에서 우수한 품질의 SiN 필름을 제공할 수 있다. 예를 들면, TSA/암모니아는 350℃, 뿐만 아니라 400℃ 또는 450℃에서 우수한 품질의 증착을 제공한다. 따라서, 일부 구체 예에서, 50℃ 내지 450℃, 또는 50℃ 내지 400℃, 또는 50℃ 내지 350℃ 범위의 온도에서 TSA를 1종 이상의 무-탄소 질소-함유 반응물과 함께 사용할 수 있다.
등각 필름
다양한 구체 예에 따라, 본 명세서에 기재된 공정은 증착되는 기판 표면에 대하여 고도로 등각인(conformal) SiN 필름을 증착할 수 있다. 일부 구체 예에서, 스텝 커버리지는 적어도 90%이다. 이러한 서술의 목적을 위하여, "스텝 커버리지(step coverage)"는 증착된 필름의 두 가지 두께의 비율로서 정의되는데, 하단 스텝 커버리지(bottom step coverage)는 피처의 하단에서의 두께/피처의 상단에서의 두께의 비율이며, 측면 스텝 커버리지는 피처의 측벽의 두께/피처의 상단에서의 두께의 비율이다.
장치
본 발명의 또 다른 양상은 본 명세서에 기재된 방법을 달성하도록 구성된 장치이다. 적절한 장치는 공정 작업을 달성하기 위한 하드웨어 및 본 발명에 따라 공정 작업을 제어하기 위한 명령을 갖는 시스템 제어기를 포함한다.
임의 적절한 공정 스테이션이 전술한 하나 이상의 구체 예와 함께 사용될 수 있음이 이해될 것이다. 예를 들면, 도 5는 CFD 공정 스테이션(1300)을 개략적으로 나타낸다. 간략성을 위하여, CFD 공정 스테이션(1300)을 저-압력 환경을 유지하기 위한 공정 챔버 바디(1302)를 갖는 단독 공정 스테이션으로 도시한다. 그렇지만, 복수의 CFD 공정 스테이션(1300)이 통상적인 저-압력 공정 툴 환경에 포함될 수 있음이 이해될 것이다. 도 5에 도시된 구체 예가 하나의 공정 스테이션을 나타내지만, 일부 구체 예에서, 복수의 공정 스테이션이 공정 툴에 포함될 수 있음이 이해될 것이다. 예를 들면, 도 6은 다중-스테이션 공정 툴(2400)의 구체 예를 도시한다. 또한, 일부 구체 예에서, 이하에서 상세하게 설명되는 것을 포함하여, CFD 공정 스테이션(1300)의 하나 이상의 하드웨어 파라미터가 하나 이상의 컴퓨터 제어기에 의해 프로그램적으로 조절될 수 있음이 이해될 것이다.
CFD 공정 스테이션(1300)은 공정 기체를 분배 샤워헤드(1306)로 전달하기 위하여 반응물 전달 시스템(1301)과 유체 소통한다. 반응물 전달 시스템(1301)은 공정 기체를 혼합 및/또는 제어하여 샤워헤드(1306)로 전달하기 위한 혼합 용기(1304)를 포함한다. 하나 이상의 혼합 용기 유입구 밸브(1320)가 혼합 용기(1304) 내로의 공정 기체의 유입을 제어할 수 있다.
일부 반응물들은 공정 스테이션으로의 전달 또는 후속하는 전달 이전에 액체 형태로 저장될 수 있다. 예를 들면, 도 5의 장치는 혼합 용기(1304)로 공급될 액체 반응물을 기화시키기 위한 기화 지점(1303)을 포함한다. 일부 구체 예에서, 기화 지점(1303)은 가열된 기화기(vaporizer)일 수 있다. 이러한 기화기로부터 생성된 포화 반응물 증기는 하류의 전달 파이프에서 응축될 수 있다. 비혼합성 기체(incompatible gas)를 응축된 반응물에 노출시켜 작은 입자들을 생성할 수 있다. 이러한 작은 입자들은 파이프를 막고, 밸브 작동을 방지하고, 기판을 오염시키는 등을 할 수 있다. 이러한 문제를 해결하기 위한 일부 방법은 잔류 반응물을 제거하기 위하여 전달 파이프를 스윕핑(sweeping) 및/또는 소개(evacuating)시키는 것을 포함한다. 그렇지만, 전달 파이프를 스윕핑하는 것은 공정 스테이션 사이클 시간을 증가시키고, 공정 스테이션 처리량을 감소시킬 수 있다. 따라서, 일부 구체 예에서, 기화 지점(1303) 하류의 전달 파이프를 히트 트레이싱(heat tracing) 한다. 일부 실시예에서, 혼합 용기(1304)를 또한 히트 트레이싱 한다. 일부 비-제한적 예에서, 기화 지점(1303) 하류의 파이프는 약 100℃에서부터 혼합 용기(1304)에서의 약 150℃까지 연장되는 증가하는 온도 프로파일을 가진다.
일부 구체 예에서, 반응물 액체는 액체 주입기에서 기화된다. 예를 들면, 액체 주입기는 액체 반응물의 펄스를 혼합 용기 상류의 운송 기체 스트림에 주입할 수 있다. 한 구체 예에서, 액체 주입기는 액체를 더 높은 압력에서 더 낮은 압력으로 방류함으로써 반응물을 기화시킨다. 또 다른 구체 예에서, 액체 주입기는 분산된 마이크로액적(microdroplet)으로 액체를 원자화시키고, 상기 마이크로액적은 그 후 가열된 전달 파이프에서 기화된다. 더 작은 액적이 더 큰 액적보다 더 빨리 기화될 수 있으며, 이는 액체 주입과 기화 완결 사이의 지연을 감소시킬 수 있음이 이해될 것이다. 더 빠른 기화는 기화 지점(1303)으로부터 하류의 파이프의 길이를 감소시킬 수 있다. 한 구체 예에서, 액체 주입기가 혼합 용기(1304)에 직접 탑재된다. 또 다른 구체 예에서, 액체 주입기가 샤워헤드(1306)에 직접 탑재된다.
일부 구체 예에서, 기화 지점(1303) 상류의 액체 흐름 제어기가, 기화 및 공정 스테이션(1300)으로의 전달을 위한 액체의 질량 흐름의 제어를 위하여 제공된다. 한 실시예에서, 액체 흐름 제어기(LFC)는 LFC 하류에 위치한 열 질량 유량계(thermal mass flow meter, MFM)를 포함한다. LFC의 플런저 밸브가, MFM과 전기적으로 소통하는 비례-적분-미분(proportional-integral-derivative, PID) 제어기에 의해 제공된 피드백 제어 신호에 응답하여 조절된다. 그렇지만, 피드백 제어를 사용하여 유체 흐름을 안정화시키는 것은 1초 또는 그 이상에서 일어난다. 이는 액체 반응물을 투여하기 위한 시간을 연장시킬 수 있다. 따라서, 일부 구체 예에서, LFC를 피드백 제어 모드와 직접 제어 모드 사이에서 역학적으로 스위칭시킨다. 일부 구체 예에서, LFC 및 PID 제어기의 센스 튜브를 무기력하게 함으로써, LFC를 피드백 제어 모드에서 직접 제어 모드로 역학적으로 스위칭시킨다.
샤워헤드(1306)는 공정 기체를 기판(1312)에 분배시킨다. 도 5에 도시된 구체 예에서, 기판(1312)은 샤워헤드(1306) 아래에 위치하며, 받침대(1308) 위에 놓인 것으로 제시된다. 샤워헤드(1306)는 임의 적절한 형상을 가질 수 있고, 공정 기체를 기판(1312)에 분해하기 위한 임의 적절한 수 및 배열의 포트를 가질 수 있음이 이해될 것이다.
일부 구체 예에서, 마이크로공간(1307)이 샤워헤드(1306) 아래에 위치한다. 공정 스테이션의 전체 공간에서보다 마이크로공간 내에서 CFD 공정을 수행하는 것이 반응물 노출 및 스윕 시간을 감소시킬 수 있고, CFD 공정 조건(예컨대, 압력, 온도 등)을 변경하기 위한 시간을 감소시킬 수 있고, 공정 스테이션 로봇의 노출을 공정 기체에 한정하는 것 등을 할 수 있다. 예시적인 마이크로공간 크기는 비-제한적으로 0.1 리터 내지 2 리터를 포함한다.
일부 구체 예에서, 받침대(1308)를 상승 또는 하강시켜 기판(1312)을 마이크로공간(1307)에 노출시키고 및/또는 마이크로공간(1307)의 부피를 변화시킬 수 있다. 예를 들면, 기판 전달 단계에서, 받침대(1308)를 하강시켜 기판(1312)이 받침대(1308) 위에 놓이도록 한다. CFD 공정 단계 동안, 받침대(1308)를 상승시켜 기판(1312)을 마이크로공간(1307) 내에 위치시킨다. 일부 구체 예에서, 마이크로공간(1307)은 기판(1312)뿐만 아니라 받침대(1308)의 일부분을 완전하게 감싸서 CFD 공정 동안 높은 흐름 임피던스(high flow impedance)의 영역을 생성한다.
선택적으로, 마이크로공간(1307) 내에서 공정 압력, 반응물 농도 등을 조절하기 위하여 CFD 공정의 일부 동안 받침대(1308)를 하강 및/또는 상승시킬 수 있다. 공정 챔버 바디(1302)가 CFD 공정 동안 베이스 압력에서 유지되는 한 구체 예에서, 받침대(1308)를 하강시켜 마이크로공간(1307)이 소개(evacuate)되도록 할 수 있다. 마이크로공간 대 공정 챔버 공간의 예시적인 비율은 비-제한적으로 1:500 내지 1:10의 부피 비율을 포함한다. 일부 구체 예에서, 받침대의 높이가 적절한 컴퓨터 제어기에 의해 프로그램적으로 조절될 수 있음이 이해될 것이다.
또 다른 구체 예에서, 받침대(1308)의 높이를 조절하여 CFD 공정에 포함되는 처리 사이클 및/또는 플라즈마 활성 동안 플라즈마 밀도를 변화시킬 수 있다. CFD 공정 단계의 종결점에서, 또 다른 기판 전달 단계 동안 받침대(1308)를 하강시켜 받침대(1308)로부터 기판(1312)이 제거되도록 할 수 있다.
본 명세서에 기재된 예시적인 마이크로공간 변화가 높이-조절가능한 받침대와 관련되는 한편, 일부 구체 예에서, 마이크로공간(1307)의 부피를 변화시키기 위해 샤워헤드(1306)의 위치를 받침대(1308)에 대하여 조절할 수 있음이 이해될 것이다. 또한, 받침대(1308) 및/또는 샤워헤드(1306)의 수직 위치를 임의 적절한 장치를 사용하여 변화시킬 수 있음이 이해될 것이다. 해당 분야의 통상의 기술자는 이러한 장치에, 예를 들면, 유압, 기학, 스프링 장치, 솔레노이드 등이 포함될 수 있음을 이해할 것이다. 일부 구체 예에서, 받침대(1308)는, 예를 들면 기판(1312)의 방향을 회전시키기 위해 기판의 표면에 수직인 축을 따라 회전 장치를 포함할 수 있다. 일부 구체 예에서, 이러한 예시적인 조절 중 하나 이상이 하나 이상의 적절한 컴퓨터 제어기에 의해 프로그램적으로 수행될 수 있음이 이해될 것이다.
도 5의 구체 예로 돌아가서, 샤워헤드(1306) 및 받침대(1308)는 플라즈마에 전력을 공급하기 위하여 RF 전력 공급원(1314) 및 매칭 네트워크(1316)와 전기적으로 소통한다. 일부 구체 예에서, 공정 스테이션 압력, 기체 농도, RF 공급원 전력, an 공급원 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 플라즈마 에너지를 제어한다. 예를 들면, RF 전력 공급원(1314) 및 매칭 네트워크(1316)는 라디칼 화학종의 원하는 조성을 갖는 플라즈마를 형성하기 위하여 임의 적절한 전력에서 작동될 수 있다. 적절한 전력의 예는 비-제한적으로 100W 내지 5000 W의 전력을 포함한다. 이와 유사하게, RF 전력 공급원(1314)은 임의 적절한 주파수의 RF 전력을 제공할 수 있다. 일부 구체 예에서, RF 전력 공급원(1314)은 다른 것들에 대하여 독립적으로 고-주파수 및 저-주파수 RF 전력 공급원을 제어하도록 구성될 수 있다. 예시적인 저-주파수 RF 주파수의 예는 비-제한적으로 50 kHz 내지 500 kHz의 주파수를 포함할 수 있다.예시적인 고-주파수 RF 주파수의 비-제한적인 예는 1.8 MHz 내지 2.45 GHz의 주파수를 포함한다. 표면 반응을 위한 플라즈마 에너지를 제공하기 위하여 임의 적절한 파라미터가 불연속적으로 또는 연속적으로 조절될 수 있음이 이해될 것이다. 한 비-제한적 실시예에서, 플라즈마 전력이 간헐적으로(intermittently) 펄스되어, 연속적으로 전력공급되는 플라즈마에 비하여, 기판 표면에 대한 이온 충돌을 감소시킬 수 있다.
일부 구체 예에서, 하나 이상의 플라즈마 모니터에 의해 인-시츄에서 모니터링 된다. 한 구체 예에서, 플라즈마 전력은 전압, 전류 센서(예컨대 VI 프로브)에 의해 모니터링 된다. 또 다른 구체 예에서, 플라즈마 밀도 및/또는 공정 기체 농도를 하나 이상의 광학 방출 분광분석 센서(optical emission spectroscopy sensor, OES)에 의해 측정한다. 일부 구체 예에서, 하나 이상의 플라즈마 파라미터가 이러한 인-시츄 플라즈마 모니터로부터 획득한 측정치에 기초하여 프로그램적으로 조절되며, 예를 들면 OES 센서가, 플라즈마 전력의 프로그램적 제어를 제공하기 위하여 피드백 루프에서 사용될 수 있다. 일부 구체 예에서, 또 다른 모니터가 플라즈마 또는 또 다른 공정 특성들을 관찰하기 위하여 사용될 수 있음이 이해될 것이다. 이러한 모니터는 비-제한적으로 적외선(IR) 모니터, 음향 모니터(acoustic monitor), 및 압력 트랜스듀서(pressure transducer)를 포함한다.
일부 구체 예에서, 플라즈마는 입력/출력 제어(IOC) 순차 명령을 통해 제어된다. 예를 들면, 플라즈마 공정 단계를 위한 플라즈마 조건을 설정하기 위한 명령이 CFD 공정 레시피(recipe)의 대응하는 플라즈마 활성화 레시피 단계에 포함될 수 있다. 일부 구체 예에서, 공정 레시피 단계가 순차적으로 배열되어, CFD 공정 단계에 대한 모든 명령들이 해당 공정 단계와 동시에 수행된다. 플라즈마 생성의 일부 양상이 플라즈마 공정 단계를 연장(prolong)시킬 수 있는 우수하게 특성화된 과도(transient) 및/또는 안정화 시간을 가질 수도 있음이 이해될 것이다. 즉, 이러한 시간 지연은 예상가능할 수 있다. 이러한 시간 지연은 플라즈마를 충돌시키기 위한 시간 및 지정된 전력 설정에서 플라즈마를 안정화시키기 위한 시간을 포함할 수 있다.
일부 구체 예에서, 받침대(1308)는 히터(1310)를 통하여 제어된 온도일 수 있다. 또한, 일부 구체 예에서, CFD 공정 스테이션(1300)에 대한 압력 제어가 버터플라이 밸브(1318)에 의해 제공될 수 있다. 도 5에 도시된 바와 같이, 버터플라이 밸브(1318)는 하류의 진공 펌프(도시되지 않음)에 의해 제공된 진공을 억압(throttle)한다. 그렇지만, 일부 구체 예에서, 공정 스테이션(1300)의 압력 제어는 또한 CFD 공정 스테이션(1300)으로 유입되는 1종 이상의 기체의 유속을 변화시킴으로써 조절될 수 있다.
전술한 바와 같이, 1종 이상의 공정 스테이션이 다중-스테이션 공정 툴에 포함될 수 있다. 도 6은 인바운드 로드 락(2402) 및 아웃바운드 로드 락(2404)을 포함하는 다중-스테이션 공정 툴(2400)의 개략도를 나타내며, 상기 인바운드 로드 락(2402) 및 아웃바운드 로드 락(2404) 중 어느 하나 또는 둘 모두가 원격 플라즈마 공급원을 포함할 수도 있다. 대기압에서, 로봇(2406)은 팟(2408)을 통하여 부과된 웨이퍼를 대기압 포트(2410)에 의하여 카세트로부터 인바운드 로드 락(2402) 내로 이동시키도록 구성된다. 웨이퍼는 로봇(2406)에 의해 인바운드 로드 락(2402) 내의 받침대(2412 위에 놓이고, 대기압 포트(2410)가 닫히고, 로드 락이 펌핑 다운(pumped down) 된다. 인바운드 로드 락(2402)이 원격 플라즈마 공급원을 포함하는 경우, 웨이퍼는 공정 챔버(2414) 내로 도입되기 이전에 로드 락 내에서 원격 플라즈마 처리에 노출될 수 있다. 추가로, 웨이퍼는 또한 인바운드 로드 락(2402) 내에서 가열되어, 예를 들면 습기 및 흡착된 기체를 제거할 수도 있다. 그 다름으로, 공정 챔버(2414)에 대하여 챔버 운송 포트(2416)가 개방되고, 또 다른 로봇(도시되지 않음)이 웨이퍼를 반응기 내로, 그리고 공정을 위한 반응기 내에 제시된 제1 스테이션의 받침대 위에 놓는다. 도 6에 도시된 구체 예가 로드 락을 포함하지만, 일부 구체 예에서, 공정 스테이션 내로 웨이퍼가 직접적으로 들어가는 것이 제공될 수 있음이 이해될 것이다.
도시된 공정 챔버(2414)는 도 6에 제시된 구체 예에서 숫자 1 내지 4로 표시된 4개의 공정 스테이션을 포함한다. 각각의 스테이션은 가열된 받침대(스테이션 1에 대하여 (2418)에 제시됨), 및 기체 라인 유입구를 가진다. 일부 구체 예에서, 각각의 공정 스테이션이 서로 다른 또는 복수의 목적을 가질 수 있음이 이해될 것이다. 예를 들면, 일부 구체 예에서, 공정 스테이션은 CFD 및 PECVD 공정 모드 사이에서 전환가능하다. 추가로 또는 대안적으로, 일부 구체 예에서, 공정 챔버(2414)는 CFD 및 PECVD 공정 스테이션의 하나 이상의 매칭된 쌍을 포함할 수 있다. 도시된 공정 챔버(2414)가 4개의 스테이션을 포함하지만, 본 명세서에 따르는 공정 챔버가 임의 적절한 수의 스테이션을 가질 수 있음이 이해될 것이다. 예를 들면, 일부 구체 예에서, 공정 챔버는 5개 또는 그 이상의 스테이션을 가질 수 있으며, 또 다른 구체 예에서 공정 챔버는 3개 또는 그 미만의 스테이션을 가질 수 있다.
도 6은 또한 웨이퍼를 공정 챔버(2414) 내로 이동시키기 위한 웨이퍼 핸들링 시스템(2490)을 도시한다. 일부 구체 예에서, 웨이퍼 핸들링 시스템(2490)은 웨이퍼를 여러 공정 스테이션들 사이 및/또는 공정 스테이션과 로드 락 사이로 이동시킬 수 있다. 임의 적절한 웨이퍼 핸들링 시스템이 사용될 수 있음이 이해될 것이다. 비-제한적인 예로는 웨이퍼 캐루셀(wafer carousel) 및 웨이퍼 핸들링 로봇이 포함된다. 도 6은 또한 공정 툴(2400)의 공정 조건 및 하드웨어 상태를 제어하기 위하여 사용되는 시스템 제어기(2450)를 도시한다. 시스템 제어기(2450)는 하나 이상의 메모리 장치(2456),하나 이상의 대용량 저장 장치(2454), 및 하나 이상의 프로세서(2452)를 포함할 수 있다. 프로세서(2452)는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드 등을 포함할 수 있다.
일부 구체 예에서, 시스템 제어기(2450)는 공정 툴(2400)의 모든 활동을 제어한다. 시스템 제어기(2450)는, 대용량 저장 장치(2454)에 저장되고, 메모리 장치(2456)에 로딩되어, 프로세서(2452) 상에서 실행되는 시스템 제어 소프트웨어(2458)를 실행한다. 시스템 제어 소프트웨어(2458)는 타이밍, 기체의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 표적 전력 수준, RF 전력 수준, 기판 받침대, 척크(chuck) 및/또는 서셉터(susceptor) 위치, 공정 툴(2400)에 의해 수행되는 특정 공정의 또 다른 파라미터를 제어하기 위한 명령을 포함한다. 시스템 제어 소프트웨어(2458)는 임의 적절한 방식으로 구성될 수 있다. 예를 들면, 다양한 공정 툴 성분 서브루틴 또는 제어 오브젝트가, 다양한 공정 툴 과정을 수행하기 위해 필수적인 공정 툴 성분의 작동을 제어하기 위하여 기록될 수 있다. 시스템 제어 소프트웨어(2458)는 임의 적절한 컴퓨터 판독가능한 프로그램 언어로 코딩(code)될 수 있다.
일부 구체 예에서, 시스템 제어 소프트웨어(2458)는 전술한 다양한 파라미터를 제어하기 위한 입력/출력 제어(IOC) 순차 명령을 포함할 수 있다. 예를 들면, CFD 공정의 각 단계는 시스템 제어기(2450)에 의한 실행을 위한 하나 이상의 명령을 포함할 수 있다. CFD 공정 단계에 대한 공정 조건을 설정하기 위한 명령이 대응하는 CFD 레시피 단계에 포함될 수 있다. 일부 구체 예에서, CFD 레시피 단계가 시계열적으로 배열되어서, CFD 공정 단계에 대한 모든 명령이 해당 공정 단계와 동시에 실행된다.
시스템 제어기(2450)와 연결된 대용량 저장 장치(2454) 및/또는 메모리 장치(2456)에 저장된 또 다른 컴퓨터 소프트웨어 및/또는 프로그램이 일부 구체 예에서 사용될 수 있다. 이러한 목적을 위한 프로그램 또는 프로그램의 섹션의 예에는 기판 위치지정 프로그램, 공정 기체 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램이 포함된다.
기판 위치지정 프로그램은 기판을 받침대(2418) 위에 로딩하고 기판과 공정 툴(2400)의 다른 부품 사이의 공간을 제어하기 위해 사용되는 공정 툴 성분을 위한 프로그램 코드를 포함할 수 있다.
공정 기체 제어 프로그램은 공정 스테이션 내의 압력을 안정화시키기 위하여, 증착에 앞서, 기체 조성 및 유속을 제어하고 선택적으로 기체를 하나 이상의 공정 스테이션으로 유동시키기 위한 코드를 포함할 수 있다.
압력 제어 프로그램은 예컨대 공정 스테이션의 배출 시스템 내 스로틀 밸브, 공정 스테이션 내로의 기체 흐름 등을 조절함으로써, 공정 스테이션 내 압력을 제어하기 위한 코드를 포함할 수 있다.
히터 제어 프로그램은 기판을 가열하기 위하여 사용되는 가열 유닛(heating unit)에 대한 전류를 제어하기 위한 코드를 포함할 수 있다. 그 대신에, 히터 제어 프로그램은 기판으로의 열 전달 기체(예컨대 헬륨)의 전달을 조절할 수 있다.
플라즈마 제어 프로그램은 하나 이상의 공정 스테이션에서 공정 전극에 인가되는 RF 전력 수준을 설정하기 위한 코드를 포함할 수 있다.
일부 구체 예에서, 시스템 제어기(2450)와 연계된 사용자 인터페이스(user interface)가 존재할 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽 소프트웨어 디스플레이 및/또는 공정 조건, 및 예컨대 지시 장치(pointing device), 키보드, 터치 스크린, 마이크, 등과 같은 사용자 입력 장치를 포함할 수 있다.
일부 구체 예에서, 시스템 제어기(2450)에 의해 조절되는 파라미터는 공정 조건과 관련될 수 있다. 비-제한적 예로는 공정 기체 조성 및 유속, 온도, 압력, 플라즈마 조건(예컨대 RF 바이어스 전력 수준), 압력, 온도, 등이 있다. 이러한 파라미터는 사용자 인터페이스를 사용하여 입력되는 레시피 형태로 사용자에게 제공될 수 있다.
공정을 모니터링 하기 위한 신호가 여러 공정 툴 센서로부터 시스템 제어기(2450)의 아날로그 및/또는 디지털 입력 연결부에 의해 제공될 수 있다. 공정을 제어하기 위한 신호가 공정 툴(2400)의 아날로그 및 디지털 출력 연결부에서 출력될 수 있다. 모니터링 될 수 있는 공정 툴 센서의 비-제한적 예는 질량 흐름 제어기, 압력 센서(예컨대, 마노미터), 열전대(thermocouple) 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘은 공정 조건을 유지하기 위해 이들 센서로부터 획득된 데이터와 함께 사용될 수 있다.
시스템 제어기(2450)는 전술한 증착 공정을 실행하기 위한 프로그램 명령을 제공할 수 있다. 프로그램 명령은 다양한 공정 파라미터, 예컨대 DC 전력 수준, RF 바이어스 전력 수준, 압력, 온도 등을 제어할 수 있다. 명령들은 본 명세서에 기재된 여러 구체 예에 따르는 인-시츄 필름 스택의 증착을 수행하기 위한 파라미터를 제어할 수 있다.
시스템 제어기가 전형적으로 하나 이상의 메모리 장치 및 명령을 실행하도록 구성된 하나 이상의 프로세서를 포함하여서, 이에 따라 장치가 본 발명에 따르는 방법을 수행할 것이다. 본 발명에 따르는 공정 작업을 제어하기 위한 명령을 포함하는 기계-판독가능한 매체가 시스템 제어기에 연결될 수 있다.
패턴화 방법/장치
본 명세서에 기재된 장치/공정은 리소그래픽 패턴화 툴 또는 공정과 함께 사용될 수 있다. 예를 들면, 반도체 소자, 디스플레이, LED, 광기전 판넬(photovoltaic panel) 등의 조립 또는 제조를 위한 것이다. 전형적으로, 필수적인 것은 아니지만, 이러한 툴/공정은 통상적인 조립 설비와 함께 사용되거나 수행될 것이다. 필름의 리소그래픽 패턴화는 전형적으로 다음 단계들 중 일부 또는 전부를 포함하며, 각각의 단계는 많은 가능한 툴에 의해 수행된다: (1) 스핀-온 또는 스프레이-온 툴을 사용하여 포토레지스트를 작업물(workpiece), 즉 기판상에 도포하는 단계; (2) 핫 플레이트 또는 로(furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화시키는 단계; (3) 포토레지스트를 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 빛에 노출시키는 단계; (4) 레지스트를 현상하여 레지스트를 선택적으로 제거하고 이에 따라 습식 벤치(wet bench)와 같은 툴을 사용하여 패턴화시키는 단계; (5) 레지스트를 건식 또는 플라즈마-보조 에칭 툴을 사용하여 하부 필름 또는 작업물로 전송하는 단계; 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계.
한 구체 예에서, 본 명세서에 기재된 방법을 사용하여 SiN 필름을 형성한다. SiN 필름은 예를 들면, 본 명세서에 기재된 목적 중 하나를 위하여 사용된다. 또한, 이러한 방법은 전술한 단계 (1)-(6) 중 하나 이상을 포함한다.
실시예
본 발명은 단지 예시적인 목적인 이하의 실시예를 참조하여 더욱 이해될 것이다. 본 발명은 단지 본 발명의 하나의 양상의 예로서 의도되는 예시적인 구체 예에 의해 그 범위가 제한되지 않는다. 기능적으로 균등한 모든 방법들이 본 발명의 범위에 포함된다. 본 명세서에 기재된 것에 추가하여 본 발명의 다양한 변형이 전술한 상세한 설명 및 첨부된 도면으로부터 통상의 기술자에게 명백해 질 것이다. 또한, 이러한 변형은 첨부된 청구 범위에 포함된다.
실시예 1
표 1은 본 명세서에 기재된 구체 예에 따라 SiN 필름을 제고하기 위하여 사용될 수 있는 많은 반응 파라미터, 및 온도와 압력 파라미터를 포함한다.
공정 ID 규소-함유 반응물 질소-함유 반응물
(%는 vol .%임)
온도
(℃)
RF 전력 밀도
(W/ cm 2 )
A TSA NH3 50-550 0.15-3
B TSA NH3 50-450 0.15-0.5
C TSA NH3 300-400 0.15-0.5
D TSA NH3 300-400 1-3
E TSA 75% NH3 / 25% TBA 50-550 0.15-3
G TSA 50% NH3 / 50% TBA 50-550 1-3
H TSA 25% NH3 / 75% TBA 50-550 1-3
I TSA TBA 400-550 1-3
공정 A는 규소-함유 반응물로서 TSA를, 질소-함유 반응물로서 암모니아를 사용한다. 온도는 공정처리되는 기판의 조건에 따라 50℃ 내지 약 550℃ 범위일 수 있다. RF 전력은 전술한 바와 같이 스트레스를 조정하기 위하여 약 0.15 W/cm2 내지 약 3 W/cm2 범위일 수 있다.
공정 B는 공정 A의 특정 실시예이며, 열적인 예산 관련사항에 따라서, 인장성 SiN 필름을 증착하기 위해 약 50℃ 내지 450℃의 낮은 온도에서 TSA 및 암모니아를 사용한다. 구리 필름을 갖는 기판은 예를 들면 구리의 입자 구조(grain structure)의 변형을 방지하기 위해 약 400℃ 미만에서 처리될 수 있다. GST 합금은 예를 들면 약 350℃-375℃ 온도에서 처리될 수 있다. 전술한 바와 같이, TSA 및 암모니아는 상당한 증착 속도로 이러한 저온에서 큰 스텝 커버리지를 갖는 우수한 품질의 필름을 제공하기 위하여 사용될 수 있다. RF 전력은 인장성 필름을 달성하기에 비교적 낮다.
공정 C는 공정 A의 또 다른 특정 실시예이며, 열적인 예산 관련사항에 따라서, 인장성 SiN 필름을 증착하기 위해 약 300℃ 내지 400℃의 낮은 온도에서 TSA 및 암모니아를 사용한다. 이러한 범위의 온도는, 큰 증착 속도를 유지하면서, 구리, GST 합금, 및 또 다른 열 민감성 물질을 포함하는 기판상에 SiN 필름을 증착하는데 유용할 수 있다.
공정 D는 공정 A의 또 다른 특정 실시예이며, 공정 C와 유사하나 더욱 압축성인 필름을 증착하기 위해 더 큰 RF 전력을 사용한다.
공정 E-H는, 증착된 SiN 필름의 스트레스 및 습식 에칭 속도를 조정하기 위해 질소-함유 반응물로서 암모니아와 TBA의 혼합물을 사용하는 공정의 예이다. 한 실시예에서, 공정 E는 필름을 더욱 압축성으로 만드는 TBA의 존재 하에, 공정 D와 같은 높은 RF 수준을 사용할 수 있다.
공정 I는 규소-함유 반응물과 질소-함유 반응물로서 각각 TSA와 TBA를 사용하는 공정의 예이다. 공정 I는 예를 들면 압축성 무-할로겐 SiN 필름을 증착하기 위하여 사용될 수 있다.
전술한 공정의 예에서, 히드라진과 같은 무-탄소 질소-함유 반응물이 암모니아 대신에 또는 암모니아에 추가하여 사용될 수 있다. 전술한 또 다른 탄소-함유 반응물이 TBA 대신에 또는 TBA에 추가하여 사용될 수 있다.
실시예 2
300 mm 웨이퍼를 진공 챔버 안에 위치시킨다. 웨이퍼를 챔버 안에서 알루미늄 받침대 위에 지지시키며, 상기 알루미늄 받침대는 과정 전반에 걸쳐 가열된다. 예를 들면, 받침대를 약 50℃ 내지 약 550℃의 일정한 온도에서 가열한다. 트리실릴아민(TSA)을 약 0.25 slm 내지 약 5 slm (standard liters per minute, 분 당 표준 리터)의 증기 상태 흐름으로서 약 1 초 내지 약 30 초 동안 반응기 내로 도입시켜 TSA를 웨이퍼의 표면상에 흡착시킨다. TSA 흐름을 정지시킨 후, 반응기 내의 비활성 기체 흐름을 사용하여 잔류하는 증기 상태 TSA 및 모든 부산물을 정화시킨다. 그 후, 암모니아 증기 상태 흐름을 약 1 slm 내지 약 10 slm으로 약 1 초 내지 약 30 초 동안 반응기 내에 형성시킨다. 예를 들면 150 W 전력에서 13.56 MHz인 플라즈마를 웨이퍼 상부에서 약 1 초 내지 약 15 초 동안 점화시킨다. 반응기 내의 비활성 기체 흐름을 사용하여 잔류하는 증기 상태 암모니아 및 모든 부산물을 정화시킨다. TSA 흐름, 비활성 기체 정화, 암모니아 흐름, 플라즈마 및 비활성 기체 정화를 반복하여 원하는 두께의 SiN 필름을 증착시킨다. 전술한 바와 같은 각각의 사이클은 약 0.5 Å 내지 약 1.5Å의 SiN 필름을 증착한다.
그 대신에, 1-5 slm의 TBA가 암모니아 대신에 또는 암모니아에 추가하여 사용될 수 있다.
또 다른 대안에서, CFD가 유동할 때, 암모니아 흐름(및/또는 TBA 흐름)이 연속적으로 유동한다. 이러한 작동에서, 암모니아 흐름이 먼저 형성되고 유지되는 점을 제외하고는 전술한 바와 같은 조건이 사용된다. TSA 흐름을 전술한 것과 동일한 속도 및 시간에서 반응기 내로 도입하고, 후속하여 전술한 바와 같이 비활성 기체 정화를 실시한다. 플라즈마를 전술한 바와 같이 점화하고, 후속하여 전술한 바와 같이 비활성 기체 정화를 실시한다. TSA 흐름, 비활성 기체 정화, 플라즈마 점화 및 비활성 기체 정화를 반복하여 원하는 두께의 SiN 필름을 증착시킨다. 전술한 바와 같은 각각의 사이클은 약 0.5 Å 내지 약 1.5Å의 SiN 필름을 증착한다.
실시예 3
실시예 2에 기재된 공정을 450℃에서 TSA 및 암모니아를 사용하여 SiN 필름을 증착하기 위해 사용하였다. 도 7은 증착된 필름에 대한 I-V 곡선을 나타낸다. 현저하게도, SiN 필름은 최대 약 22 MV/cm의 인가된 장에 대하여 붕괴(break down)를 나타내지 않는다. 도 8은 여러 피처 종횡비에 대한 하단 및 측면 스텝 커버리지를 나타낸다.
다음 시스템으로 SiN 필름을 증착하는 공정을 사용하였다: DCS/TBA, TSA/TBA 및 TSA/암모니아. 각각의 필름에 대한 굴절률은 다음과 같다; DCS/TBA: 1.83, TSA/TBA: 1.83 및 TSA/암모니아: 1.90.
비록 앞서 이해의 명확성을 위해 일부 상세하게 설명하였지만, 일정한 변형 및 수정이 첨부된 청구범위 내에서 실시될 수 있음이 이해될 것이다. 전술한 공정, 시스템 및 장치를 실시하기 위한 많은 대안적인 방식이 존재할 수 있다. 따라서, 전술한 구체 예는 예시적인 것이며, 제한적으로 간주되어서는 안 된다.

Claims (39)

  1. 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법에 있어서, 상기 방법은
    상기 기판을 무-할로겐 규소-함유 반응물의 증기 상태 흐름에 주기적으로 노출시키는 단계, 여기서 상기 무-할로겐 규소 함유 반응물은 상기 기판의 표면에 흡착됨;
    상기 기판을 제1 질소-함유 반응물의 증기 상태 흐름에 노출시키는 단계, 여기서 상기 질소-함유 반응물은 상기 기판의 표면에 흡착됨; 및
    증기 상태 질소-함유 반응물이 반응 챔버 내에 존재하고 상기 무-할로겐 규소-함유 반응물의 증기 상태 흐름이 중지되었을 때 플라즈마를 상기 반응 챔버 내에서 주기적으로 점화시키는 단계;
    를 포함하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  2. 제 1 항에 있어서, 상기 무-할로겐 규소 함유 반응물은 트리실릴아민(TSA)임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  3. 제 1 항 또는 제 2 항에 있어서, 상기 제1 질소-함유 반응물은 무-탄소(carbon-free)임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  4. 제 3 항에 있어서, 상기 제1 질소-함유 반응물은 암모니아 또는 히드라진임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  5. 제 1 항 또는 제 2 항에 있어서, 상기 제1 질소-함유 반응물은 아민임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  6. 제 1 항 또는 제 2 항에 있어서, 상기 기판을 상기 제1 질소-함유 반응물과 상이한 제2 질소-함유 반응물의 증기 상태 흐름에 노출시키는 단계를 더욱 포함함을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  7. 제 6 항에 있어서, 상기 제1 질소-함유 반응물은 무-탄소(carbon-free)이고 상기 제2 질소-함유 반응물은 탄소를 함유함을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  8. 제 7 항에 있어서, 상기 제1 질소-함유 반응물은 암모니아 또는 히드라진임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  9. 제 7 항에 있어서, 상기 제2 질소-함유 반응물은 C1 -10 알킬 아민임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  10. 제 9 항에 있어서, 상기 제2 질소-함유 반응물은 tert-부틸 아민임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  11. 제 9 항에 있어서, 상기 제1 질소-함유 반응물 대 상기 제2 질소-함유 반응물의 체적 흐름 비율이 약 1:1 내지 10:1임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  12. 제 11 항에 있어서, 상기 제1 질소-함유 반응물 대 상기 제2 질소-함유 반응물의 체적 흐름 비율이 약 1:1 내지 4:1임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  13. 제 9 항에 있어서, 상기 제1 질소-함유 반응물 대 상기 제2 질소-함유 반응물의 체적 흐름 비율이 약 1:10 내지 1:1임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  14. 제 13 항에 있어서, 상기 제1 질소-함유 반응물 대 상기 제2 질소-함유 반응물의 체적 흐름 비율이 약 1:4 내지 1:1임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  15. 제 1 항에 있어서, 상기 기판은 게르마늄-텔루르-안티몬(germanium-tellurium-antimony) 합금을 포함함을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  16. 제 1 항에 있어서, 상기 기판은 그 상부에 질화 규소 물질이 형성되는 금속 표면을 포함함을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  17. 제 1 항에 있어서, 상기 기판은 그 상부에 질화 규소 물질이 형성되는 산화물 표면을 포함함을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  18. 제 1 항에 있어서, 반응 챔버 내 압력이 순환되며 규소-함유 반응물의 증기 상태 흐름 동안 더 높음을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  19. 제 18 항에 있어서, 반응 챔버 내 압력은 제1 압력과 제2 압력 사이에서 순환되며, 상기 제1 압력은 약 5 내지 50 Torr이며 상기 제2 압력은 약 1 내지 5 Torr임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  20. 제 1 항에 있어서, 상기 기판은 상기 방법 전반에 걸쳐 상기 제1 질소-함유 반응물의 증기 상태 흐름에 연속적으로 노출됨을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  21. 제 1 항에 있어서, 상기 기판은 상기 제1 질소-함유 반응물의 증기 상태 흐름에 주기적으로 노출됨을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  22. 제 1 항에 있어서, 상기 플라즈마는 약 0.15 W/cm2 내지 0.5 W/cm2의 전력을 갖는 RF 플라즈마임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  23. 제 1 항에 있어서, 상기 플라즈마는 약 1 W/cm2 내지 3 W/cm2의 전력을 갖는 RF 플라즈마임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  24. 제 1 항에 있어서, 상기 플라즈마는 HF-유일 RF 플라즈마임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  25. 제 1 항에 있어서, 상기 기판 온도가 약 400℃ 이하에서 유지됨을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  26. 제 1 항에 있어서, 상기 기판 온도가 약 375℃ 이하에서 유지됨을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  27. 제 1 항에 있어서, 상기 기판 온도가 약 350℃ 이하에서 유지됨을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  28. 제 1 항에 있어서, 상기 기판 온도가 약 325℃ 이하에서 유지됨을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  29. 제 1 항에 있어서, 상기 질화 규소 물질의 스트레스(stress)가 약 -4 GPa 내지 -2 GPa임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  30. 제 1 항에 있어서, 상기 질화 규소 물질의 스트레스가 약 -2 GPa 내지 1 GPa임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  31. 제 1 항에 있어서, 상기 질화 규소 물질은 무-할로겐(halogen-free)임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  32. 제 31 항에 있어서, 상기 질화 규소 물질은 무-탄소(carbon-free)임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  33. 제 1 항에 있어서, 상기 기판 표면은 하나 이상의 돌출 또는 함몰 피처를 포함하고 상기 질화 규소 물질은 하나 이상의 돌출 또는 함몰 피처에 대하여 등각(conformal)임을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  34. 제 1 항에 있어서, 포토레지스트를 상기 기판에 도포하는 단계, 상기 포토레지스트를 빛에 노출시키는 단계, 레지스트를 패턴화하여 패턴을 상기 기판에 전송하는 단계 및 상기 포토레지스트를 상기 기판으로부터 선택적으로 제거하는 단계를 더욱 포함함을 특징으로 하는, 반응 챔버 내 기판상에 질화 규소 물질을 형성하는 방법.
  35. 질화 규소 필름을 반도체 웨이퍼상에 증착하기 위한 장치에 있어서, 상기 장치는
    (a) 반응 챔버;
    (b) 질화 규소 필름을 형성하기 위한 활성화 에너지의 공급원;
    (c) 반응물 유입구; 및
    (d) 다음 명령을 포함하는 제어기:
    증착 사이클 동안 제1 및 제2 질소-함유 반응물을 상기 반응 챔버 내로 유동시키는 명령;
    증착 사이클 동안 무-할로겐 규소-함유 반응물을 상기 반응 챔버 내로 주기적으로 유동시키는 명령;
    상기 규소-함유 반응물의 흐름이 중지되고 상기 제1 및 제2 질소-함유 반응물이 증기 상태로 상기 반응 챔버 내에 존재하는 동안 플라즈마를 상기 반응 챔버 내에서 주기적으로 점화시키는 명령;
    를 포함하는, 질화 규소 필름을 반도체 웨이퍼상에 증착하기 위한 장치.
  36. 제 35 항에 있어서, 상기 활성화 에너지의 공급원은 플라즈마 발생기임을 특징으로 하는, 질화 규소 필름을 반도체 웨이퍼상에 증착하기 위한 장치.
  37. 제 35 항에 있어서, 진공 포트를 더욱 포함함을 특징으로 하는, 질화 규소 필름을 반도체 웨이퍼상에 증착하기 위한 장치.
  38. 제 36 항에 있어서, 상기 플라즈마 발생기가 유도 코일(induction coil) 및 마이크로웨이브 공급원 중 적어도 하나를 포함함을 특징으로 하는, 질화 규소 필름을 반도체 웨이퍼상에 증착하기 위한 장치.
  39. 제 35항의 장치 및 스텝퍼(stepper)를 포함하는 시스템.
KR1020120043797A 2012-01-20 2012-04-26 무-염소 등각 질화 규소 필름 증착 방법 KR20130085900A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261588964P 2012-01-20 2012-01-20
US61/588,964 2012-01-20
US13/414,619 US8592328B2 (en) 2012-01-20 2012-03-07 Method for depositing a chlorine-free conformal sin film
US13/414,619 2012-03-07

Publications (1)

Publication Number Publication Date
KR20130085900A true KR20130085900A (ko) 2013-07-30

Family

ID=47709842

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120043797A KR20130085900A (ko) 2012-01-20 2012-04-26 무-염소 등각 질화 규소 필름 증착 방법

Country Status (7)

Country Link
US (3) US8592328B2 (ko)
EP (1) EP2618365A3 (ko)
JP (2) JP6635640B2 (ko)
KR (1) KR20130085900A (ko)
CN (1) CN103225071A (ko)
SG (2) SG10201505472UA (ko)
TW (1) TWI609426B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170039567A (ko) * 2015-09-24 2017-04-11 램 리써치 코포레이션 칼코게나이드 재료를 캡슐화하기 위한 방법

Families Citing this family (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
JP6500014B2 (ja) 2013-09-27 2019-04-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 蒸着用前駆体およびその作製方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6236709B2 (ja) * 2014-10-14 2017-11-29 大陽日酸株式会社 シリコン窒化膜の製造方法及びシリコン窒化膜
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9474163B2 (en) * 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US20180265417A1 (en) * 2015-01-23 2018-09-20 Hewlett-Packard Development Company, L.P. Susceptor materials for 3d printing using microwave processing
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
CN108028171A (zh) * 2015-09-18 2018-05-11 应用材料公司 氮化硅在高深宽比结构上的低温保形沉积
KR102080114B1 (ko) * 2015-09-21 2020-02-24 주식회사 원익아이피에스 질화막의 제조방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
DE102016200506B4 (de) * 2016-01-17 2024-05-02 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10224235B2 (en) 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
CN108713243B (zh) * 2016-03-11 2022-11-01 大阳日酸株式会社 硅氮化膜的制造方法及硅氮化膜
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
WO2017189581A1 (en) * 2016-04-25 2017-11-02 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
WO2017200827A1 (en) * 2016-05-17 2017-11-23 The Government Of The United States Of America, As Represented By The Secretary Of The Navy DAMEGE-FREE PLASMA-ENHANCED CVD PASSIVATION OF AlGaN/GaN HIGH ELECTRON MOBILITY TRANSISTORS
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
JP6568508B2 (ja) 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) * 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10483102B2 (en) * 2017-04-07 2019-11-19 Applied Materials, Inc. Surface modification to improve amorphous silicon gapfill
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6946769B2 (ja) * 2017-06-15 2021-10-06 東京エレクトロン株式会社 成膜方法、成膜装置、及び記憶媒体
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP6839672B2 (ja) * 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI821283B (zh) * 2018-04-29 2023-11-11 美商應用材料股份有限公司 沉積方法
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11211243B2 (en) * 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217443B2 (en) * 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11482414B2 (en) * 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114641A1 (en) * 2021-12-14 2023-06-22 Lam Research Corporation Conformal deposition of silicon nitride
WO2023178216A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Low-k dielectric protection during plasma deposition of silicon nitride
WO2023230170A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Hybrid atomic layer deposition
WO2023230296A1 (en) * 2022-05-27 2023-11-30 Lam Research Corporation Single wafer reactor, low temperature, thermal silicon nitride deposition

Family Cites Families (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) * 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) * 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) * 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) * 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100340716B1 (ko) * 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
AU4902201A (en) * 1999-11-02 2001-07-03 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
WO2001082368A2 (en) * 2000-04-25 2001-11-01 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) * 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) * 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) * 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
EP1616043B1 (en) 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) * 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) * 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) * 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
WO2007118026A2 (en) 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
JP4929811B2 (ja) * 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) * 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) * 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US10378106B2 (en) * 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
JP2013515376A (ja) * 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
JP5742185B2 (ja) * 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8784951B2 (en) * 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170039567A (ko) * 2015-09-24 2017-04-11 램 리써치 코포레이션 칼코게나이드 재료를 캡슐화하기 위한 방법

Also Published As

Publication number Publication date
EP2618365A2 (en) 2013-07-24
SG192375A1 (en) 2013-08-30
TWI609426B (zh) 2017-12-21
US9070555B2 (en) 2015-06-30
SG10201505472UA (en) 2015-09-29
US20150259791A1 (en) 2015-09-17
CN103225071A (zh) 2013-07-31
US8592328B2 (en) 2013-11-26
TW201342476A (zh) 2013-10-16
US20140141626A1 (en) 2014-05-22
JP2013153164A (ja) 2013-08-08
US20130189854A1 (en) 2013-07-25
US9670579B2 (en) 2017-06-06
JP6635640B2 (ja) 2020-01-29
JP2018174327A (ja) 2018-11-08
EP2618365A3 (en) 2014-05-14

Similar Documents

Publication Publication Date Title
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
US8647993B2 (en) Methods for UV-assisted conformal film deposition
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
JP6710032B2 (ja) Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
CN111247269A (zh) 介电膜的几何选择性沉积
US20110256734A1 (en) Silicon nitride films and methods
KR20160118968A (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
KR20160131943A (ko) 박막들의 잔여 응력을 조절하는 방법들
CN115398032A (zh) 原子层沉积过程中的损失预防
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20141118

Effective date: 20150526