CN111247269A - 介电膜的几何选择性沉积 - Google Patents

介电膜的几何选择性沉积 Download PDF

Info

Publication number
CN111247269A
CN111247269A CN201880067801.6A CN201880067801A CN111247269A CN 111247269 A CN111247269 A CN 111247269A CN 201880067801 A CN201880067801 A CN 201880067801A CN 111247269 A CN111247269 A CN 111247269A
Authority
CN
China
Prior art keywords
plasma
substrate
film
conformal film
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880067801.6A
Other languages
English (en)
Other versions
CN111247269B (zh
Inventor
丹尼斯·M·豪斯曼
亚历山大·R·福克斯
大卫·查尔斯·史密斯
巴特·J·范施拉文迪克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111247269A publication Critical patent/CN111247269A/zh
Application granted granted Critical
Publication of CN111247269B publication Critical patent/CN111247269B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供了用于在图案化特征的侧壁表面上选择性地沉积材料的方法。在一些实施方案中,所述方法包括:提供衬底,所述衬底具有从所述衬底的表面凹陷的特征。所述特征具有底部和从所述底部延伸的侧壁。使用原子层沉积(ALD)处理在所述特征上沉积保形膜。通过使所述衬底暴露于定向等离子体而使沉积在所述底部上的所述保形膜改性,使得在所述底部上的所述保形膜比在所述侧壁上的所述保形膜较不致密。优先对于沉积在所述特征的所述底部上的已改性的所述保形膜进行蚀刻。还提供了用于在图案化的特征的水平表面上选择性沉积的方法。

Description

介电膜的几何选择性沉积
相关申请的交叉引用
本申请要求享有2017年8月18日提交的名称为“GEOMETRICALLY SELECTIVEDEPOSITION OF A DIELECTRIC FILM”的美国申请No.15/681,268的优先权,该申请通过引用并入本文以用于所有目的。
背景技术
随着半导体产业中的设备和特征尺寸持续收缩,需要处理方法以辅助设备收缩并且实现特定的三维架构。目前的半导体制造方案可受益于在图案化特征的侧壁上沉积材料,而不在特征的底部或顶部上沉积材料。
发明内容
本文描述的主题的一个方面涉及用于在图案化特征的侧壁表面上选择性地沉积材料的方法。所述方法包括:提供衬底,所述衬底具有从所述衬底的表面凹陷的特征。所述特征具有底部和从所述底部延伸的侧壁。使用原子层沉积(ALD)处理在所述特征上沉积保形膜。通过使所述衬底暴露于定向等离子体而使沉积在所述底部上的所述保形膜改性,使得在所述底部上的所述保形膜比在所述侧壁上的所述保形膜较不致密。优先对沉积在所述特征的所述底部上的已改性的所述保形膜进行蚀刻。
在一些实施方案中,所述ALD处理是等离子体增强ALD(PE-ALD)处理,所述PE-ALD处理在所述保形膜的所述沉积期间使用所述定向等离子体。此外,在多种实施方案中,所述PE-ALD处理包括以下操作的多个循环:(a)使所述衬底暴露于含硅前体,以在所述衬底表面上形成所述含硅前体的吸附层,以及(b)使所述吸附层暴露于所述定向等离子体。
在一些实施方案中,所述沉积和所述改性同时进行。
在一些实施方案中,所述定向等离子体由氨(NH3)产生,且所述保形膜是氮化硅(SiN)膜。
在一些实施方案中,所述定向等离子体由含氧气体产生,且所述保形膜是氧化硅膜。
在一些实施方案中,所述定向等离子体由含胺气体产生,且所述保形膜是碳化硅膜。
在一些实施方案中,已改性的所述区域向所述定向等离子体的暴露将降低在已改性的所述区域处的所述保形膜的碳含量。
在一些实施方案中,所述定向等离子体是含氧等离子体,并且使在所述底部上的所述保形膜改性包括去除碳。
在一些实施方案中,所沉积的所述保形膜选自由氧化铪(HfO2)、氧化锆(ZrO2)和氧化钛(TiO2)组成的群组。
在一些实施方案中,所述蚀刻包括湿蚀刻。
在一些实施方案中,所述蚀刻包括等离子体蚀刻。
本文描述的主题的另一个方面涉及用于在特征的暴露的水平表面上沉积保形膜的方法。所述方法包括:提供衬底,所述衬底具有从所述衬底的表面凹陷的特征。所述特征具有底部和从所述底部延伸的侧壁。使用原子层沉积(ALD)处理在所述特征上沉积保形膜。ALD处理包括使所述衬底暴露于定向等离子体,使得沉积在所述底部上的所述保形膜的厚度大于沉积在所述侧壁上的所述保形膜的厚度。
在一些实施方案中,所述方法包括:优先对沉积在所述特征的所述侧壁上的所述保形膜进行蚀刻。
在一些实施方案中,所述ALD处理是等离子体增强ALD(PE-ALD)处理,所述PE-ALD处理在所述保形膜的所述沉积期间使用所述定向等离子体。
在一些实施方案中,所述定向等离子体由氮(N2)产生,且所述保形膜是氮化硅膜。
在一些实施方案中,所述定向等离子体由电容耦合反应器(CCP)提供。
这些和其它方面将在下面参照附图进一步说明。
附图说明
图1A为处理流程图,其示出了沉积在特征上的保形膜的改性。
图1B为处理流程图,其示出了在保形膜沉积时,同时进行保形膜的改性。
图1C为处理流程图,其示出了形成氮化硅的方法的示例。
图2示出了在保形膜沉积(CFD)处理中的示例性阶段的时间进展。
图3为处理流程图,其用于在衬底上沉积保形膜。
图4为时序图,其示出了多个循环的示例。
图5A-5C为概要图,其示出了施加定向等离子体以进行保形膜的改性。
图5D和图5E为实验结果的图像。
图6A-6D为概要图,其示出了将等离子体施加在保形膜上。
图6E-6I为实验结果的图像。
图7为执行某些所公开的实施方案的示例性处理站的概要图。
图8描绘了多站处理工具的概要图的示例。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实施所公开的实施方案。在其他情形下,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方案。虽然将结合具体的实施方案描述了所公开的实施方案,但是应理解的是具体的实施方案并不意在限制所公开的实施方案。
下文所公开的实现方式描述了材料在半导体衬底(例如晶片或者其他工件)上的沉积。衬底具有从衬底表面凹陷的特征。衬底可具有各种形状及尺寸,并且包含各种材料。
目前的半导体整合方案可受益于在已图案化的特征的侧壁上沉积材料,而在特征的暴露的水平表面(例如,特征的底部或场区域(顶部))上具有或不具有较少的材料沉积。类似地,某些整合方案可受益于在特征的顶部和底部水平表面中的一或两者上沉积材料,而在侧壁表面上具有较少的沉积。这样的沉积处理在几何上是选择性的,因为它们相对于特征几何结构的一部分选择性地沉积在特征几何结构的另一部分上。然而,相对于特征顶部和底部,仅在特征侧壁上沉积膜而与侧壁的化学组成无关的单一步骤沉积方法是未知的。
本文中提供了在已图案化的特征上几何选择性地沉积介电膜的方法。还提供了被配置成执行所公开的方法的装置。在一些实施方案中,使用原子层沉积(ALD)处理以将膜(例如,氮化硅(SiN))保形地沉积在特征中。特征可从衬底的顶部凹陷,并且由特征底部以及从特征底部朝向衬底顶部延伸的侧壁进行限定。在一些实施方案中,在衬底上沉积SiN期间,衬底同时暴露于定向(即,各向异性)等离子体,以使特征的暴露的水平表面改性,以准备这些水平表面用于后续的蚀刻。替代地,在一些实施方案中,可在沉积之后进行特征的暴露水平表面的改性。然后进行湿式或干式蚀刻,以优先除去膜的先前被改性的区域。结果,相较于水平表面,在侧壁上保留的沉积材料较多。
在替代的实施方案中,可调整等离子体的施加,以在特征的某些区域上优先产生用于沉积的活性位置。例如,由氮气(N2)所产生的富含离子的等离子体可流向保形地沉积在特征上的SiN膜,以在SiN膜的暴露水平表面上产生活性位置。等离子体的离子浓度可高于自由基浓度,并且可以短脉冲施加,以使沉积在特征的底部和顶部上的保形SiN膜的厚度大于沉积在特征侧壁上的保形SiN膜的厚度。随后蚀刻沉积在特征中的SiN膜可从特征侧壁去除该膜的所有或大部分,从而在特征底部或顶部的加厚区域处留下SiN材料。
如上所述,在沉积期间调整施加至特征的等离子体可使特征上的沉积的膜改性,以准备用于后续的蚀刻。本文中所公开的方法可涉及,使用三甲硅烷基胺(TSA)做为硅源、以及氨(NH3)做为氮源以形成氮化硅(SiN),其可通过等离子体增强原子层沉积(PE-ALD)而沉积在特征上做为保形膜。施加偏置至衬底表面,伴随着用于随后的蚀刻剂物质流动的高功率及高压力设定,可蚀刻已沉积的SiN膜的改性区域。
替代地,在其它实施方案中,从N2气体衍生的富含离子的等离子体可被定向地施加至沉积于特征上的SiN膜的某些区域,例如在顶部和底部处。施加富含离子的等离子体可产生适合在SiN膜上进行额外的SiN沉积的活性位置,以使这些区域变厚。
可调整沉积处理,以实现上述结果中的任一者,即(1)在水平表面上沉积膜且同时使该膜改性,以准备用于后续蚀刻的改性膜:或(2)通过沉积额外的膜于水平表面上的材料上,以使水平表面上的材料变厚。
图1A中显示方法100A,其用于沉积材料在衬底上的特征的侧壁上。在操作102中,启动方法100A。在操作104中,提供衬底,例如半导体衬底。衬底可为硅晶片,例如200mm晶片、300mm晶片或450mm晶片。衬底可包括其上沉积有一或多个材料层的晶片。
在一些实施方案中,在操作104中所提供的衬底可具有如图5A-5C所示的特征502,其从衬底的顶部凹陷。特征的一示例是形成在衬底中的沟槽。形成在图5A-5C的衬底500中的特征502具有平行的侧壁512,侧壁512从底部区域510A或510B竖直向上延伸。然而,本领域技术人员应了解,其它类型的特征可具有彼此不完全平行的侧壁,或可具有不从被限定的底部区域竖直向上延伸的侧壁。在整个本公开中提及水平表面,其包括底部区域510A的表面和顶部区域508A表面的表面。顶部区域508A也称为场区域。
返回图1A,在操作106中,使用原子层沉积(ALD)处理以将保形膜(例如SiN)沉积在特征上。在一些实施方案中,可沉积其它类型的保形膜,例如可沉积氧化铪(HfO2)、氧化锆(ZrO2)或氧化钛(TiO2),而不是SiN。对于SiN沉积,可通过使含氮反应物(例如NH3、胺或N2气体)与含硅共反应物(例如硅卤化物)进行反应而形成SiN。硅卤化物可包括含有氯(Cl)、溴(Br)或碘(I)的硅卤化物。在特征上形成和沉积SiN的方法在图1B中更详细地讨论。
通常,ALD为使用序列自限制反应而沉积薄材料层的方法。在一些实施方案中,可利用等离子体、或可利用热来实施ALD。此外,操作106可在一或更多循环中实施,该循环在本文中称为“ALD循环”。
ALD循环的概念与本文中某些实施方案的讨论相关。通常,ALD循环为用于实施一次表面沉积反应的最小一组操作。例如,一个成功的ALD循环的结果为,在期望的衬底表面上(例如在图5A-5C所示的衬底500中所形成的特征502上)产生至少一部分含硅膜层。通常,ALD循环包括,将至少一种反应物传送并且吸附至衬底表面的操作。因此,被吸附的反应物可与驻留在衬底表面上的一或更多反应物进行反应,以形成部分膜层。ALD循环可以包括其它操作,例如清扫反应物或副产物中的一者,和/或处理刚沉积的部分膜。通常,循环包含独特的系列操作的一实例。举例而言,ALD循环可包括下列操作:(i)传送/吸附含硅前体,(ii)从室中吹净含硅前体,(iii)传送第二反应物(例如由NH3所提供的氮)和等离子体、及(iv)从室中吹净等离子体。
通过ALD操作或循环,可将氮化硅(SiN)沉积在感兴趣的衬底上。可在衬底的特征上沉积其它材料,包括沉积氧化物,例如氧化铪(HfO2)、氧化锆(ZrO2)或氧化钛(TiO2),以取代沉积SiN。为了沉积含硅膜,可使用各种类型的含硅前体。例如,为了形成SiN,NH3和各种胺可与硅卤化物前体进行反应,硅卤化物前体包括氯(Cl)、溴(Br)和碘(I)。
在一些实施方案中,通过ALD所沉积的膜可为保形的(conformal)。保形膜跟随(follow)下伏表面的轮廓。台阶覆盖率可用于表征保形膜,台阶覆盖率通过比较在特征底部或侧壁上的沉积膜的平均厚度与在特征顶部上的沉积膜的平均厚度而计算得到。例如,沉积在特征上的特定保形膜的台阶覆盖率可按以下方式计算:将在侧壁上的沉积膜的平均厚度除以在顶部的沉积膜的平均厚度,并且将结果乘以100,以得到百分比。
返回图1A,在操作108,通过将衬底暴露于定向等离子体,使沉积在特征底部上的保形膜改性。在操作108处通过定向等离子体使保形膜改性,导致沉积在特征底部上的膜变得比沉积在从底部延伸的侧壁上的膜较不致密,如图5A-5C所示。此外,在一些实施方案中,还可通过暴露于定向等离子体,使沉积在特征顶部或场区域处的膜改性,如图5A中的区域508A所示。
相较于其它膜沉积方法,通过ALD在特征上沉积的膜可具有较低的密度。较低的密度可导致在使衬底暴露于定向等离子体时,特别容易使膜改性。所使用的定向等离子体会对膜造成损坏而使其较不致密,并且可能比周围的未改性区域看起来“较松软”。已改性的区域具有较高的蚀刻率,因此在操作110处进行的后续蚀刻时较容易去除,因而优先留下沉积在特征侧壁上的材料。方法100A在操作112处结束。
可将处理参数优化,以使沉积膜的暴露水平区域改性。在一些实施方案中,离子会驱动膜的暴露水平表面的改性。等离子体可流向衬底,并且将偏置同时施加至保持衬底的基座,以吸引离子至水平表面。
如图1A所示,在某些实施方案中,操作106及108可在同一室内进行,以使在同一室中进行保形膜的沉积及改性。在衬底上的沉积与沉积在衬底上的膜的改性可在操作110的沉积膜的改性区域的优先蚀刻之前同时发生。以下参考图1B描述同时进行沉积和改性的方法。
在某些实施方案中,操作108的全部或一些可在操作106之后发生。在这些实施方案中,后续的改性可在与操作106相同或不同的室中实施。例如,在沉积保形膜之后,可使该膜暴露至惰性气体等离子体,例如由Ar衍生的等离子体。通过适当地对衬底施加偏置,由Ar衍生的等离子体中的离子将优先使沉积在水平表面上的膜改性,如上所述。
除了SiN之外,还可对任何类型的膜使用等离子体活化反应物,以实施关于图1A所述的方法。如上所述,可在特征侧壁上选择性地沉积氧化物,例如HfO2、ZrO2和TiO2。此外,可通过图1A中所示的方法沉积其它氧化物,例如氧化硅(例如SiO2)、氧化铝(例如A12O3)、SnO2,以及氮化硅、氮化铝及氮化锡。
在一些实施方案中,该膜为含碳膜。沉积在特征上的含碳膜的等离子体介导的(plasma-mediated)定向离子轰击可减少在暴露的水平区域处的膜的碳含量。在操作110,该减少的碳含量将导致低碳含量区域与高碳含量区域之间的蚀刻率的差异,从而容许在侧壁表面上的净选择性沉积。在膜中的较高碳含量会降低湿蚀刻率;因此,消耗水平表面上的碳会增加这些表面上的湿蚀刻率(相对于侧壁而言)。
例如,氧掺杂的碳化硅(ODC)或氮掺杂的碳化硅(NDC)膜的保形沉积以及接着进行的定向离子轰击将导致特征的底部及顶部处的碳含量较低。在一些实施方案中,例如,可通过暴露于含氧等离子体、或由氢气(H2)或NH3衍生的含氢等离子体,降低沉积在水平面上的膜中的碳含量。在等离子体中所包含的氧或氢可与含碳膜中的碳进行反应,以去除碳并留下碳耗尽的材料或残留物,其以比其它保留的无孔沉积材料更快的速率被蚀刻。
可定制含碳沉积处理,以控制导向特征的侧壁或水平表面的碳量。具体而言,可使用等离子体功率及前体选择,以调整碳输入至沉积在特征中的保形膜的位置。使用较低的等离子体功率电平所沉积的含碳膜中的碳可能倾向于偏向特征的顶部及底部,较高的功率电平用于在侧壁中获得更多的碳。可增加在胺反应物中的碳量,以输入额外的碳至膜中。因此,在一些实施方案中,碳可优先沉积在侧壁表面中,然后才在水平表面中被优先消耗。
返回图1A,可通过干蚀刻或湿蚀刻以进行在已沉积的含碳膜的改性区域在操作110处的优先蚀刻。通常,干蚀刻是指,通过将材料暴露至由反应性气体产生的等离子体所提供的离子轰击以去除沉积材料,通常是半导体材料的掩模图案。合适的反应性气体可包括氟碳化合物、氧气(O2)、氯气(Cl2)或含硼气体,偶尔添加N2气体,其用于从暴露表面去除部分材料。干蚀刻处理通常以定向的方式进行蚀刻,并且可用于蚀刻沉积膜的改性区域,相较于沉积膜的未改性区域,沉积膜的改性区域相对较容易蚀刻。可通过干蚀刻以适当地蚀刻任何类型的膜,例如SiN、ODC或NDC。
与上述的干蚀刻处理不同,湿蚀刻处理通常涉及将感兴趣的衬底暴露至液相蚀刻剂物质。例如,可将衬底浸泡在蚀刻剂浴中。可通过干蚀刻以适当地蚀刻任何类型的膜,例如SiN、ODC或NDC。湿蚀刻剂的示例包括氟化氢(HF)、缓冲氧化物蚀刻(BOE)以及氢氧化钾(KOH)。
图IB示出了方法100B,其使用同时进行的沉积和改性,以在衬底的特征上进行保形膜的沉积和改性。在操作114,该方法开始,在操作116,将衬底提供至合适的反应室,其分别类似于如图1A中所示的操作102和104。
在操作128,在特征上沉积保形膜,例如SiN。在操作130,调整处理参数,以实现在衬底的水平表面处所沉积的膜的同时改性。在一些实施方案中,某些区域的改性可延伸至特征的顶部区域。在操作128所进行的沉积和在操作130所进行的沉积SiN的同时改性可统称为操作132。类似于先前在图1A中所示的操作110,操作134涉及优先蚀刻沉积膜的已改性区域,以在操作136、方法100B的结束之前去除这些区域。
图1C为处理流程图,其显示用于产生保形SiN膜的方法126的示例。方法126可例如在图1A及1B的框106或128中使用。方法126包括操作118-122,其涉及在操作118处使衬底暴露于含硅反应物、在操作120处周期性暴露于含氮反应物、以及在操作122处当停止含硅反应物流动时周期性点燃等离子体。包括在方法126中的处理可如2011年4月11日所提交的、发明名称为“IMPROVED SILICON NITRIDE FILMS AND METHODS”且转让给NovellusSystems,Inc.的美国专利申请No.13/084,304中所述发生,其全部内容合并于本文中。
如在本文中所提及,在操作118中所使用的“含硅反应物”为用于制造SiN材料的单一试剂、或是多种试剂的混合物。试剂包含至少一种硅化合物。硅化合物可为,例如,硅烷、齿硅烷或胺基硅烷。硅烷包含氢和/或碳基团,但不包含卤素。硅烷的示例包括硅烷(SiH4)、乙硅烷(Si2H6)和有机硅烷,如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷(thexylsilane)、异戊硅烷,叔丁基乙硅烷、二叔丁基乙硅烷等。卤代硅烷含有至少一个卤素基团,并且可以含有或可以不含有氢和/或碳基团。卤代硅烷的示例是碘硅烷、溴硅烷、氯硅烷和氟硅烷。虽然卤代硅烷,尤其是氟硅烷,可以形成可以蚀刻硅材料的反应性卤化物,但在本发明所描述的某些实施方案中,当等离子体被激励或点燃时,含硅反应物不存在。具体的氯硅烷是四氯硅烷(SiCl4)、三氯硅烷(HSiCl3)、二氯硅烷(H2SiCl2)、单氯硅烷(ClSiH3)、氯烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二-叔丁基氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷(thexyldimethylchlorosilane)以及类似物。氨基硅烷包含键合到硅原子的至少一个氮原子,但也可以含有氢、氧、卤素和碳。氨基硅烷的示例是单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷(分别为H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4),以及经取代的单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷,例如,叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺,双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、叔丁基甲硅烷基氨基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3以及类似物。
如在操作120中所使用的“含氮反应物”包含至少一个氮,例如氨,肼,胺类(例如含碳的胺类),如甲胺,二甲胺,乙胺,异丙胺,叔丁胺,二叔丁胺,环丙胺,仲丁胺,环丁胺,异戊胺,2-甲基丁-2-胺,三甲胺,二异丙基胺,二乙基异丙基胺,二叔丁基肼,以及含芳烃的胺类,如苯胺,吡啶,和苄胺。胺类可以是伯胺、仲胺、叔胺或季胺(例如,四烷基铵化合物)。含氮的反应物可含有除氮以外的杂原子,例如,羟基胺、叔丁氧羰基胺和N-叔丁基羟基胺是含氮的反应物。
图1C中所示的操作122的等离子体122可为在反应室中点燃的等离子体、或在远程点燃并传送至反应室的等离子体。等离子体可包括本文中所述的反应物,并且可包括其它试剂,例如载气或反应性物质,例如氢气(H2)。当激励等离子体时,反应物及其它试剂可存在于反应室中,或者远程等离子体可流至存在反应物的室中,和/或反应物和/或载气可在远程点燃成等离子体并且被带入反应室中。“等离子体”意指包括已知在技术上可行的任何等离子体,包括感应耦合等离子体及微波表面波等离子体。本领域技术人员将理解,技术上的进步将发生,因此研发中的等离子体产生方法被认为落在本公开内容的范围内。
返回图1C,在操作118,使衬底暴露于含硅反应物。在操作120,使衬底暴露于含氮反应物。在操作122,当含氮反应物以气相存在时,激励等离子体,从而在衬底上形成氮化硅(SiN)材料。在一些实施方案中,在激励等离子体之前,通过惰性气体和/或含氮反应物的流动,将未吸附至衬底表面的含硅反应物清扫出室。在其它实施方案中,可以使用清扫以去除气相含硅反应物。可实施或重复118-122的一或多个迭代,以在衬底的特征上建构SiN层。在将SiN膜制成期望的厚度之后,可任选地使该膜进行一或多个沉积后处理。例如,可使SiN膜暴露于氢等离子体,以从SiN膜中去除期望量的碳。
如图1C所示,操作118在操作120之前执行。然而,在其它实施方案中,操作120可在操作118之前执行。在这样的替代配置中,可在操作118的含硅反应物的气相流动已经停止之后,在反应室中点燃等离子体。
可实施或重复通过方法126进行的SiN形成,以在衬底上形成任何适当厚度的保形层,例如在约1nm与约100nm之间的厚度的保形层。
参考图2,显示在SiN的保形膜沉积(CFD)中的示例性阶段或脉冲的时间进展。图2中所示的阶段进一步描述于2017年3月7日所授权的、发明名称为“METHOD OF DEPOSITINGAMMONIA FREE AND CHLORINE FREE CONFORMAL SILICON NITRIDE FILM”、授予给Henri等人并转让给Lam Research Corp.的美国专利No.9,589,790中,其全部内容合并在本文中。
图2显示了在原子层沉积(ALD)处理200的示例中的多个阶段的多种处理参数,例如载气流动、硅前体流动、等离子体及NH3流动。Ar被表示为示例性载气,而Si2H6可为一种含硅前体。从左到右延伸的实线表示含硅前体、或含NH3反应物的流动或等离子体何时活化或失活。示例性处理参数包括,但不限于,惰性及反应物物质的流率、等离子体功率及频率、衬底温度及处理站压力。
在将保形膜沉积(例如,原位沉积)至衬底的特征上期间,惰性气体可流入反应室中。惰性气体用做载气。示例性的载气包括Ar、氦(He)以及氖(Ne)。在一些实施方案中,载气不是氢,以使没有或很少的氢并入到沉积的SiN膜中。替代地,可以使用含氢载气。在图2中的示例性序列使用Ar做为示例性载气,其在整个处理期间持续地流动。可提供惰性气体,以辅助处理室的压力和/或温度控制、液体反应物的蒸发、反应物的更快速传送和/或做为清除气体以从处理室和/或处理室管道中去除处理气体。在一些实施方案中,NH3可在如图1A中的方法100A所示的操作106-108中流动,并且之后点燃而做为由NH3衍生的等离子体。
在ALD处理中可包括任何数目的沉积循环,以沉积期望厚度的SiN膜。在图2中的时序序列在二沉积循环210A及210B中描绘图1A或图1B的各种操作。如图所示,在每一循环中,使衬底暴露于含硅前体,例如乙硅烷。例如,在沉积循环210A期间,在含硅前体阶段220A期间,使衬底暴露于含硅前体,并且在沉积循环210B期间,在含硅前体暴露阶段220B期间,使衬底暴露于含硅前体。应注意,在含硅前体暴露阶段220A及220B期间,关闭等离子体、没有NH3流至反应室、且载气(例如Ar)持续流动。根据流率及衬底表面面积,衬底暴露于含硅前体的时间可在约0.2秒与约6秒之间。
使衬底暴露于含硅前体,以使含硅前体吸附至衬底表面上以形成吸附层。含硅前体以自限制的方式吸附至衬底表面上。例如,一旦活性位置被含硅前体占据,则没有或很少额外的含硅前体将被吸附在衬底表面上。含硅前体可吸附至约60%的衬底表面上。当含硅前体流动至站时,含硅前体吸附至衬底表面上的活性位置上,从而在表面上形成含硅前体的薄层。此层可小于单层,并且可具有在约
Figure BDA0002454967270000121
与约
Figure BDA0002454967270000122
之间的厚度。本文中所提出的方法可在低于约450℃的温度下实施。在大于约450℃的处理温度下,一些含硅前体可能分解而形成硅层。
与化学气相沉积(CVD)或类CVD的处理不同,在ALD处理200中的含硅前体不会分解而形成硅层。在一些实施方案中,执行图1A的操作106、或图1B的操作118,以使并非所有在沉积膜上的活性位置都被含硅前体占据。
施加在ALD处理200中的含硅前体可不包括任何氮-氢键、一级或二级胺、或任何NH3基团。含硅前体可为不含卤素及碳的,并且可具有在约12:3至约12:5之间的氢与硅原子比。
适合在所公开的实施方案中使用的示例性含硅前体包括聚硅烷(H3Si-(SiH2)n-SiH3),其中n>1,如硅烷、乙硅烷、三硅烷、四硅烷;和三甲硅烷基胺:
Figure BDA0002454967270000131
在多种实施方案中,任选地清扫处理站,以去除未吸附至衬底表面上的过量的气相含硅前体,如沉积循环210A中的清扫阶段240A以及沉积循环210B中的清扫阶段280B所示。清扫可涉及清除气体(sweep gas),其可为在其它操作中所使用的载气或不同的气体。在一些实施方案中,清扫可涉及抽空室。在清扫阶段240A或清扫阶段240B中,关闭含硅前体(例如乙硅烷)的流动、没有等离子体被点燃、且没有氮气被供应到站。载气(例如Ar气体)持续流动,以从站清扫任何过量的Si2H6。在一些实施方案中,清扫阶段240A可包括一或多个抽空子阶段以抽空处理站。替代地,应当理解,在一些实施方案中,可省略清扫阶段240A。清扫阶段240A可具有任何合适的持续时间,例如在约0秒与约60秒之间,或约0.01秒。在一些实施方案中,增加一或多个清扫气体的流率可减少清扫阶段240A的持续时间。例如,可根据处理站和/或处理站管道的各种反应物热力学特性和/或几何特性来调整清扫气体流率,以改变清扫阶段240A的持续时间。在一非限制性示例中,可通过调节清扫气体流率来调整清扫阶段的持续时间。这可减少沉积循环时间,其可改善衬底产量。在清扫之后,含硅前体保持吸附在衬底表面上。
在多种实施方案中,等离子体(例如,由NH3衍生的等离子体)是原位等离子体,以使等离子体直接形成在站中的衬底表面上方。原位等离子体可在约0.2122W/cm2与约2.122W/cm2之间的功率/衬底面积下点燃。例如,对于处理四个300mm晶片的室,功率可在约600W至约6000W的范围内。例如,可使用二个电容耦合板而施加射频(RF)场至气体,以产生用于ALD处理的等离子体。在板之间的气体通过RF场的电离而点燃等离子体,从而在等离子体放电区域中产生自由电子。这些电子被RF场加速,并可与气相反应物分子产生碰撞。这些电子与反应物分子的碰撞可形成参与沉积处理的自由基物质。应当理解,RF场可通过任何合适的电极耦合。电极的非限制性示例包括处理气体分配喷头以及衬底支撑基座。应当理解,用于ALD处理的等离子体可通过除了RF场与气体的电容耦合以外的一或更多合适的方法形成。在一些实施方案中,等离子体是远程等离子体,使得NH3在站上游的远程等离子体产生器中被点燃,然后传送至容纳衬底的站。
较高频率的等离子体可产生比离子更多的自由基,由于自由基与含硅前体之间的反应性较高,因而改善了氮化硅的沉积。因此,在此操作期间所需的自由基密度取决于等离子体频率。在多种实施方案中,使用具有至少约13.56MHz、或至少约27MHz、或至少约40MHz、或至少约60MHz的频率的高频等离子体。在一些实施方案中,较高的离子密度降低了膜的保形性。此外,在一些实施方案中,例如图IB中所示,可能需要较高的离子密度,以在沉积期间使用定向等离子体以进行膜的改性。
一旦等离子体将NH3气体激活,氮自由基及离子与吸附在衬底表面上的含硅前体进行反应,从而形成硅-氮键及SiN薄膜。
参考图3,其显示方法300,其用于在特征底部上沉积保形膜,例如SiN或其它氮化物。方法300与方法100A及100B不同之处在于,方法300在操作306通过以下方式沉积膜:使衬底暴露于由N2衍生的等离子体,而不是由NH3衍生的等离子体,使得沉积产生的膜在水平表面上比在特征侧壁表面上更厚。
通常,由NH3衍生的等离子体用于沉积中,以在特定特征上产生厚度均匀的膜,如在图1A所示的方法100A中可能需要,在方法100A中沉积膜被改性,以在后续的蚀刻中去除。相反地,如图3的方法300中所示,在短持续时间或“突发(burst)”中施加由N2衍生的等离子体,在膜的顶部和底部的暴露水平表面处产生活性位置,所述活性位置上面适于额外的沉积。暴露水平表面相对于侧壁的沉积或增厚有助于后续的侧壁优先蚀刻。然而,长时间(例如,大于10秒)施加由N2衍生的等离子体可能开始使特征顶部及底部处的沉积膜劣化,因而实质上彻底改变了侧壁的优先蚀刻位置。
方法300在操作302处开始,并且进行到操作304,在操作304中提供衬底,衬底具有从衬底表面凹陷的特征。所提供的衬底具有限定的底部以及从底部延伸的侧壁。接着,通过原子层沉积(ALD)处理、通过使衬底暴露于定向等离子体,在特征上沉积保形膜(例如,氮化硅(SiN)),使得沉积在特征底部上的保形膜的厚度大于沉积在侧壁上的保形膜的厚度。暴露的水平定向表面(例如,在特征底部或顶部处)被准备以在其上进行额外的沉积。
在操作306,富含离子、由N2气体衍生的等离子体流向沉积膜的某些区域,例如,在特征底部或顶部处的暴露水平表面,以在膜上产生活性位置。活性位置容纳在其上的SiN的额外沉积。调整相关的等离子体参数,例如频率、功率等,以产生较高浓度的离子相对于自由基的期望比例。而且,由N2气体衍生的等离子体(例如,如在操作306中所使用的)快速地且以短突发的方式传送或流动到衬底,并且可由等离子体源(例如电容耦合反应器(CCP)提供。施加至保持衬底的基座的偏置是可选的,因为由N2气体衍生出的等离子体物质可显示固定的方向性而朝向某些区域,例如,特征的底部以及,任选地,特征的顶部。
在已沉积的保形SiN膜上的活性位置容纳在其上的SiN的额外沉积,因此沉积在这些区域更多的SiN。选择用于该“增厚”的区域包括特征的底部以及可选地包括顶部,以使在这些区域的SiN层比保形膜的周围区域相对更厚。在操作306的沉积完成之后,使蚀刻剂物质流至容纳感兴趣的衬底的反应室中,以优先蚀刻在特征侧壁上所沉积的保形膜,例如,SiN或其它氮化物。合适的蚀刻参数可包括施加至反应室的高功率、以及流至反应室中的蚀刻剂物质的高压、及(可选的)施加至保持衬底的基座的偏置。在特征的底部或可选的顶部的保形膜的增厚区域在蚀刻后继续存在,如图6D所示,成为沉积在场区域608D及底部610D上的残留SiN。
参考图4,显示在氮化硅(SiN)的保形膜沉积(CFD)中的示例性阶段的时间进展。图4中所示的阶段可类似于先前图2中所示的阶段发生。然而,对于图3中所示的方法300,ALD处理400涉及施加由N2气体衍生的等离子体(而不是由NH3衍生的等离子体)以在特征上形成及沉积SiN,如图2中的示例性ALD沉积处理200中所示。与ALD沉积处理200类似,ALD沉积处理400可包括一或多个沉积循环,例如沉积循环410A及在410A之后立即进行的410B,直到沉积在特征上的SiN达到期望的厚度。
如上所述,几何选择性沉积可通过以下方式执行:(1)在水平表面上沉积膜或在沉积后处理该膜,以使其相较于在侧壁表面上的膜更容易蚀刻,如参考图1A及IB所述,或(2)在水平表面上沉积或处理在水平表面上所沉积的膜,以相对于侧壁表面增加在这些水平表面上的膜的量,如参考图3所述。如上所述,通过机制(1),由NH3衍生的等离子体更有利于在侧壁上的选择性SiN沉积,以及通过机制(2),由N2衍生的等离子体更有利于在顶部及底部表面上的选择性SiN沉积。也就是说,如以下进一步解释的,在一些实施方案中,NH3可使用于机制(2),反之亦然。
为了在水平表面上沉积膜或在沉积后处理该膜,以使其对后续的蚀刻而言相较于在侧壁表面上的膜更容易蚀刻,可使用主要由自由基沉积所驱动的沉积,其中在沉积期间或之后通过离子进行改性。如上所述,SiN沉积可使用由NH3所产生的等离子体。NH3等离子体主要通过NH2自由基及H自由基以驱动沉积,NH2自由基及H自由基的寿命长,且易于扩散通过特征以在最初的1或2秒内沉积具有均匀厚度及组成的膜。然而,增加离子轰击将影响等离子体的水平表面。离子轰击可以通过下述方式中的一或多者高到足以损坏在水平表面上的膜:增加等离子体中的离子数量、增加离子的离子能量、以及增加等离子体时间。此增加可发生在沉积期间或做为沉积后处理。如以上关于机制(1)所述,离子轰击的调节使得例如能通过湿蚀刻而去除在水平表面上的膜,同时在侧壁上留下膜。在某些实施方案中,可以通过添加Ar或N2至NH3增加离子能量。或者,可使用Ar或N2等离子体以处理沉积后的膜。还应注意,可以使用不含NH3的由N2衍生的等离子体,以选择性地沉积在侧壁表面上:例如,如果将水平表面暴露于由N2衍生的等离子体足够长的时间(例如,大于十秒),则它将会损坏这些表面。
由NH3衍生的等离子体亦可用于图3中所述的实施方案-通过使用具有足够的离子特性或持续时间的等离子体,以使在水平表面上的膜致密化但不被破坏。例如,如果使特征暴露于由NH3所产生的等离子体长达5秒,则该等离子体可使水平表面致密化而不损坏它们。相比之下,由N2(不含NH3)所产生的等离子体导致膜的沉积在竖直平面和水平表面上有所不同。这是因为与NH3有所不同,此沉积是离子驱动的。因此,由N2衍生的等离子体既可用于在水平表面上沉积比在侧壁表面上更多的膜又使在水平表面上的膜致密化。这使得后续的蚀刻能优先蚀刻侧壁表面。
虽然提出了用于沉积SiN的上述说明,但是可以将所述方法或处理外推至其它膜的沉积:通过上述机制,自由基驱动的沉积化学品可用于选择性地在侧壁表面上形成膜,而离子驱动的沉积化学品可用于选择性地在水平表面上形成膜。
实验
图5A-5C描绘了在如上所述的处理的操作期间的特征502的侧视图。如图5A所示,薄膜504与特征502保形。在施加定向等离子体时被选定以进行改性的区域包括顶部区域508A以及底部区域510,顶部区域508A从特征的侧壁512垂直地延伸,底部区域510与顶部区域508A平行,并且将一侧壁512连接至邻接的侧壁512,如图5A所示。
由NH3衍生的等离子体被定向地流动至被选定以进行改性的区域。在施加定向等离子体时,底部区域510A及可选的顶部区域508A被改性或损坏,如图5B中的改性底部区域510B及改性顶部区域508B所示。接着使改性区域(例如,改性底部区域510B及改性顶部区域508B暴露于蚀刻剂物质,如图5B所示,其用于蚀刻及去除改性区域,仅容许侧壁512在蚀刻后保留,如图5C所示。
图5D及5E显示图5A-5C中所示的实验结果。参考图5D,保形SiN膜504D沉积在衬底500D上。在衬底500D上所沉积的保形膜504D具有约18.4nm的厚度,衬底500D具有形成在其中的特征502D。使用大约1500W的处理室功率设定,以将碳输入至侧壁512D中,同时使用150W的功率设定以用于等离子体脉冲。
如图5D所示,保形膜504D沉积在凹入部分506D上,具有约6.46nm的深度或厚度,以使每一侧壁512D具有约7.3nm的宽度或厚度。保形膜504D沉积在底部510D上,具有约13.5nm的深度。在多种实施方案中,如先前所讨论的,沉积在衬底的特征502D上的保形膜504D的高度或宽度值可对应于特定的台阶覆盖百分比,如图5D所示。厚度为7.3nm的每一侧壁512D的台阶覆盖百分比为40%,底部510D的厚度为13.5nm,台阶覆盖百分比为74%。
图5D所示者涉及由三甲硅烷基胺(TSA)所形成的氮化硅(SiN)保形膜504D的沉积,三甲硅烷基胺(TSA)做为含硅源,NH3做为含氮源或反应物。导致保形膜504D沉积(如图5D所示)的沉积处理被调整,以在被选定的顶部区域508D和底部510D处同时进行保形膜504D的改性、或损坏。流向顶部区域508D和底部510D的定向等离子体所提供的离子轰击会使这些区域改性,以使它们分散或更蓬松,因此在蚀刻时更容易去除。用浓度为100:1的氢氟(HF)酸进行湿蚀刻,以蚀刻顶部区域508D及底部510D,从而得到图5E所示的结果。
如图5E所示,蚀刻剂物质流向衬底500E以蚀刻及去除已改性的区域,例如,顶部区域508E及底部510E。此外,侧壁512E被蚀刻至5.86nm的宽度或厚度。
图6A-6D描绘上文在图3及4所示及所述的沉积及蚀刻处理的各个阶段中的特征602(例如,显示为沟槽)的侧视图,特征602形成在晶片或非平面半导体衬底600中。图6A显示了保形膜604,保形膜604沉积在衬底600上,衬底600具有形成于其中的特征602。如先前关于图5A所述,保形膜604可沉积在特征602上。然而,不是施加由NH3源衍生的定向等离子体,而是定向地施加离子多的由N2衍生的等离子体,如图6B所示,以在保形膜604的暴露水平表面上产生活性位置,例如在底部610B及(可选地)在顶部区域608B。这样的活性位置容纳在其上的SiN的额外沉积,如图6C中所示的增厚的顶部区域608C及增厚的底部区域610C所示。增厚的区域608C及610C通过膜604的后续蚀刻(例如,湿蚀刻或干蚀刻)而保留,如顶部区域608D及底部610D所示。具体而言,蚀刻剂物质会蚀刻顶部区域608C、侧壁及底部610C上的膜604,以去除沉积在侧壁上的膜,如图6D所示。增厚的区域608C及610C(例如,其上沉积有额外SiN的区域)被部分蚀刻,但仍作为顶部区域608D及底部610D保留。
现在参考图6E-6F,示出了实验结果的各种图像,这些图像显示,台阶覆盖率的变化取决于所使用的等离子体类型,例如,由NH3衍生的等离子体,相较于由N2衍生的等离子体。主要的差异可包括,相较于由NH3衍生的等离子体,使用由N2衍生的等离子体时的膜保形性不佳,这是由于由N2等离子体所产生的氮自由基的寿命短。因此观察到,如图6E所示,在底部610E和顶部区域608E之间的台阶覆盖率不佳,例如小于50%。相反,当应用在具有相同参数或处理条件序列的处理中时,由NH3衍生的等离子体达到大于95%的台阶覆盖率,如在图6F中的底部610F与顶部608F的比较所示。因此,相较于由NH3衍生的等离子体,当使用由N2衍生的等离子体时,需要较长的暴露时间,以使衬底特征的底部610E饱和。
图6G-6I示出了,在使用由N2衍生的等离子体时,通过延长等离子体暴露(例如射频(RF)等离子体暴露)时间以改善沉积膜保形性的成果。短的RF等离子体暴露时间对应于小于50%的台阶覆盖率,如图6G中的底部610G和顶部区域608G之间所示。相反,逐渐增长的RF暴露时间对应于改善的台阶覆盖率,例如大于70%(如图6H所示)以及大于90%(如图6I所示)。
装置
图7绘出了具有用于保持低压环境的处理室主体702的原子层沉积(ALD)处理站700的一实施方案的示意图。多个ALD处理站700可以包含在通常低压处理工具环境中。例如,图7绘出了多站处理工具700的一实施方案。在一些实施方案中,ALD处理站700的一个或一个以上的硬件参数(包含下文详细讨论的那些)可以由一个或一个以上的计算机控制器750以编程方式调节。
ALD处理站700与反应物输送系统701a流体连通,以将处理气体输送至分配喷头706。反应物输送系统701a包含混合容器704,混合容器704用于混合和/或调节处理气体以输送至喷头706。一个或一个以上的混合容器入口阀720可以对处理气体导入至混合容器704进行控制。
举例而言,图7的实施方案包含汽化点703,汽化点703用于汽化将供应至混合容器704的液体反应物。在一些实施方案中,汽化点703可以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送管道凝结。不兼容气体暴露至凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点703下游的输送管道可以被热追踪。在一些实施例中,混合容器704也可以被热追踪。在一个非限制性示例中,汽化点703下游的管道具有增大的温度分布,在混合容器304处从约100℃延伸至约150℃。
在一些实施方案中,液体前体或者液体反应物可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载体气体流中。在一个实施方案中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点703下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器704。在另一个方案中,液体喷射器可以直接装载到喷头706。
在一些实施方案中,可以在汽化点703上游设置液体流控制器(LFC)来控制用于汽化并输送至处理站700的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,这可以通过禁用PID控制器和LFC的感测管道来执行。
喷头706朝衬底712分配处理气体。在图7所示的实施方案中,衬底712位于喷头706下方,并且示出为安置在基座708上。喷头706可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底712。
在一些实施方案中,微体积707位于喷头706下方。在微体积中执行所公开的实施方案而不是在处理室的整个体积中执行所公开的实施方案,这样可以减少反应物的暴露和清扫次数,可减少用于改变处理参数(例如,压力、温度等)的次数,可以限制处理站的机械手暴露于处理气体等。示例性的微体积尺寸包括但不限于介于0.1升和2升之间的体积。这也影响生产的产量。在一些实施方案中,所公开的实施方案不在微体积中执行。
在一些实施方案中,基座708可以升高或降低以暴露衬底712给微体积707和/或改变微体积707的体积。例如,在衬底传送阶段,基座708可被升高以将衬底712定位在微体积707内。在一些实施方案中,微体积707可完全围绕衬底712以及基座708的一部分,以创建高流动性阻抗区域。
任选地,可将基座708在处理的部分期间降低和/或升高以调节微体积707内的处理压强、反应物浓度等。在处理室主体702在处理期间保持在基本压强的一种情况下,降低基座708可使得微体积707能被排空。微体积比处理室体积的示例性比率包括,但不限于,介于1:500和1:10之间的体积比。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器750通过编程方式进行调节。
在另一种情况下,调节基座708的高度可以使得等离子体密度在包含在处理中的等离子体活化循环期间能够变化。在处理阶段结束时,基座708可以在另一衬底传送阶段被降低以使得衬底712能从基座708移走。
虽然在本发明描述的示例性微体积变化指的是高度可调的基座708,但应该理解的是,在一些实施方案中,喷头706的位置可以相对于基座708调节以改变微体积707的体积。此外,应当理解的是,基座708和/或喷头706的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座708可包括用于旋转衬底712的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器750以编程方式执行。
在如上所述可以使用等离子体的一些实施方案中,喷头706和基座708电连接射频(RF)功率源714和匹配网络716来对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源714和匹配网络716可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例包括在上文中。同样,RF功率源714可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源714可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包括,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率可以包括,但不限于,介于1.8MHz和2.45GHz之间的频率,例如,大于约13.56MHz,或大于27MHz,或大于40MHz,或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调制以提供用于表面反应的等离子体能量。在一个非限制性实例中,等离子体功率可以间歇地施以脉冲,以相对于被连续激励的等离子体减少对衬底表面的离子轰击。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其他处理特性。这样的监控器可包括,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器750的指令。在一个示例中,用于设置处理阶段的参数的指令可被包括在处理配方的相应的配方阶段中。在某些情况下,处理配方阶段可按顺序排列,使得用于处理阶段的所有指令与该处理阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包括在配方阶段中。例如,第一配方阶段可以包括用于设置惰性气体和/或反应气体(例如,第一前体,例如乙硅烷)的流率的指令、用于设置载气(诸如Ar)的流率的指令、以及用于第一配方阶段的时延指令。接下来的第二配方阶段可以包含用于调制或者停止惰性气体和/或反应气体的流率的指令、用于调制载体或者清扫气体的流率的指令、以及用于第二配方阶段的时延指令。第三配方阶段可以包含用于设定惰性气体和/或反应气体的流率的指令,所述惰性气体和/或反应气体可与第一配方阶段中使用的气体相同或不同(例如,等离子体反应物,例如氮)、用于调制载气的流率的指令、以及用于第三配方阶段的时延指令。第四配方阶段可以包含用于调制或者停止惰性气体和/或反应气体的流率的指令、用于调制载气或者清扫气体的流率的指令、以及用于第四配方阶段的时延指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。
在一些实施方案中,基座708可以经由加热器710进行温度控制。此外,在一些实施方案中,对于处理站700的压力控制可以由蝶形阀718提供。如在图7的实施方案中所示,蝶形阀718对由下游真空泵(图7中未示出)提供的真空进行节流。然而,在一些实施方案中,压力控制处理站700还可以通过改变引入至处理站700的一种或多种气体的流率来调节。
如上所述,一个或一个以上的处理站可以包含在多站处理工具中。图8示出了多站式处理工具800的一个实施方案的概要视图,所述处理工具800具有入站装载锁802和出站装载锁804,两者之一或者该两者可以包含远程等离子体源。处于大气压的机械手806被配置为将晶片从通过晶舟808装载的盒经由大气端口810移动至入站装载锁802内。晶片由机械手806放置在入站装载锁802中的基座812上,关闭大气端口810,且抽空装载锁。当入站装载锁802包含远程等离子体源时,晶片在被引入处理室814之前,可以暴露于在装载锁中的远程等离子体处理。此外,衬底或晶片另外也可以在入站装载锁802中加热,例如以移除湿气和吸附的气体。接下来,通向处理室814的室传输端口816被打开,且另一个机械手(图8中未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图4中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使晶片直接进入处理站。
绘出的处理室814包含4个处理站,在图8所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为818)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在原子层沉积(ALD)与等离子体增强的ALD处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室814可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽管绘出的处理室814包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图8绘出了用于在处理室814内传输晶片的晶片搬运系统890的一个实施方案。在一些实施方案中,晶片搬运系统890可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图8还绘出了用来控制处理工具800的处理参数和硬件状态的系统控制器850的一个实施方案。系统控制器850可以包含一个或多个存储器设备856、一个或多个海量存储设备854和一个或多个处理器852。处理器852可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器450控制处理工具400的所有活动。系统控制器450执行存储在海量存储设备454、载入存储器设备456、并由处理器452执行的系统控制软件458。可替代地,控制逻辑可以在控制器450中硬编码。特定应用集成电路、可编程逻辑设备(例如,现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件458可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具800执行的特定处理的其它参数的指令。系统控制软件858可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件858可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件858可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与系统控制器850关联的、存储在海量存储设备854和/或存储器设备856的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座818,并控制处理工具800的其它部分和衬底之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,本文所述的TMA、氨气和清扫气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器850相关联的用户界面。用户界面可以包含显示屏、装置和/或处理参数的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器850调节的参数会涉及处理参数。非限制性示例包含处理气体组成和流率、温度、压强、等离子体参数(例如,RF偏置功率电平)、压强、温度等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器850的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具800的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性示例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理参数。
系统控制器850可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作膜叠层的原位沉积。
系统控制器850将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器850。
在一些实现方式中,系统控制器850是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理参数和/或系统的类型,系统控制器850可以被编程以控制本文公开的任何处理,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器850可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器850的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器850可以是与系统集成、耦合或者说是通过网络连接系统、或它们的组合的计算机的一部分或者与该计算机耦合。例如,系统控制器850可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理或者开始新的处理。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后被从远程计算机传送到系统。在一些示例中,系统控制器850接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的处理类型以及工具类型,系统控制器850被配置成连接或控制该工具类型。因此,如上所述,系统控制器850可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的一个示例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,它们结合以控制室内的处理。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,系统控制器850可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
用于执行本文公开的方法的适当装置在2011年4月11日提交的名称为“等离子体激活的共形膜沉积(PLASMA ACTIVATED CONFORMAL FILM DEPOSITION)”的美国专利申请No.13/084,399(现在的美国专利No.8,728,956);2011年4月11日提交的名称为“氮化硅膜和方法(SILICON NITRIDE FILMS AND METHODS)”的美国专利申请No.13/084,305中进一步讨论并说明,这些专利中的每个整体并入本文。
本文所述的装置/处理可以与光刻图案化工具或处理结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/处理将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件(即,衬底)上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然上述实施方案已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方案的过程、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (18)

1.一种方法,其包括:
提供衬底,所述衬底具有从所述衬底的表面凹陷的特征,所述特征具有底部和从所述底部延伸的侧壁:
使用原子层沉积(ALD)处理在所述特征上沉积保形膜;
通过使所述衬底暴露于定向等离子体而使沉积在所述底部上的所述保形膜改性,使得在所述底部上的所述保形膜比在所述侧壁上的所述保形膜较不致密;以及
优先对沉积在所述特征的所述底部上的已改性的所述保形膜进行蚀刻。
2.根据权利要求1所述的方法,其中所述ALD处理是等离子体增强ALD(PE-ALD)处理,所述PE-ALD处理在所述保形膜的所述沉积期间使用所述定向等离子体。
3.根据权利要求2所述的方法,其中所述沉积和所述改性同时进行。
4.根据权利要求2所述的方法,其中所述PE-ALD处理包括以下操作的多个循环:
(a)使所述衬底暴露于含硅前体,以在所述衬底表面上形成所述含硅前体的吸附层,以及
(b)使所述吸附层暴露于所述定向等离子体。
5.根据权利要求4所述的方法,其中所述定向等离子体由氨(NH3)产生,且所述保形膜是氮化硅(SiN)膜。
6.根据权利要求4所述的方法,其中所述定向等离子体由含氧气体产生,且所述保形膜是氧化硅膜。
7.根据权利要求4所述的方法,其中所述定向等离子体由含胺气体产生,且所述保形膜是碳化硅膜。
8.根据权利要求1所述的方法,其中已改性的所述区域向所述定向等离子体的暴露将降低在已改性的所述区域处的所述保形膜的碳含量。
9.根据权利要求1所述的方法,其中所述定向等离子体是含氧等离子体,并且使在所述底部上的所述保形膜改性包括去除碳。
10.根据权利要求1所述的方法,其中所沉积的所述保形膜选自由氧化铪(HfO2)、氧化锆(ZrO2)和氧化钛(TiO2)组成的群组。
11.根据权利要求1所述的方法,其中所述蚀刻包括湿蚀刻。
12.根据权利要求1所述的方法,其中所述蚀刻包括等离子体蚀刻。
13.一种方法,其包括:
提供衬底,所述衬底具有从所述衬底的表面凹陷的特征,所述特征具有底部和从所述底部延伸的侧壁;以及
使用原子层沉积(ALD)处理,通过使所述衬底暴露于定向等离子体而在所述特征上沉积保形膜,使得沉积在所述底部上的所述保形膜的厚度大于沉积在所述侧壁上的所述保形膜的厚度。
14.根据权利要求13所述的方法,其还包括:
优先对沉积在所述特征的所述侧壁上的所述保形膜进行蚀刻。
15.根据权利要求13所述的方法,其中所述ALD处理是等离子体增强ALD(PE-ALD)处理,所述PE-ALD处理在所述保形膜的所述沉积期间使用所述定向等离子体。
16.根据权利要求15所述的方法,其中所述定向等离子体由氮(N2)产生,且所述保形膜是氮化硅膜。
17.根据权利要求13所述的方法,其中所述定向等离子体由电容耦合反应器(CCP)提供。
18.根据权利要求13所述的方法,其中在所述ALD处理期间,所述定向等离子体不施加至所述侧壁。
CN201880067801.6A 2017-08-18 2018-08-17 介电膜的几何选择性沉积 Active CN111247269B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/681,268 2017-08-18
US15/681,268 US10763108B2 (en) 2017-08-18 2017-08-18 Geometrically selective deposition of a dielectric film
PCT/US2018/000331 WO2019036041A2 (en) 2017-08-18 2018-08-17 GEOMETRICALLY SELECTIVE DEPOSITION OF A DIELECTRIC FILM

Publications (2)

Publication Number Publication Date
CN111247269A true CN111247269A (zh) 2020-06-05
CN111247269B CN111247269B (zh) 2023-02-17

Family

ID=65361158

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880067801.6A Active CN111247269B (zh) 2017-08-18 2018-08-17 介电膜的几何选择性沉积

Country Status (5)

Country Link
US (1) US10763108B2 (zh)
KR (1) KR20200033978A (zh)
CN (1) CN111247269B (zh)
TW (1) TW201920738A (zh)
WO (1) WO2019036041A2 (zh)

Families Citing this family (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
WO2017056187A1 (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR20200028490A (ko) 2017-08-04 2020-03-16 램 리써치 코포레이션 수평 표면들 상에 SiN의 선택적인 증착
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10811269B2 (en) * 2018-02-19 2020-10-20 Tokyo Electron Limited Method to achieve a sidewall etch
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11293098B2 (en) * 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210032844A (ko) 2019-09-17 2021-03-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP7274039B2 (ja) * 2020-02-27 2023-05-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230158472A (ko) * 2021-03-22 2023-11-20 가부시키가이샤 코쿠사이 엘렉트릭 처리 방법, 반도체 장치의 제조 방법, 처리 장치 및 프로그램
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103119695A (zh) * 2010-09-23 2013-05-22 诺发系统公司 共形膜的等离子体激活沉积
US20140106574A1 (en) * 2010-04-15 2014-04-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite peald and pecvd method
CN105789027A (zh) * 2015-01-12 2016-07-20 朗姆研究公司 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
US20170221718A1 (en) * 2016-02-03 2017-08-03 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6723611B2 (en) 2002-09-10 2004-04-20 International Business Machines Corporation Vertical hard mask
JP4376715B2 (ja) 2004-07-16 2009-12-02 三洋電機株式会社 半導体装置の製造方法
US8985379B1 (en) 2004-07-27 2015-03-24 Ronald G. Evans Food plate and beverage container holder
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
DE102007004884A1 (de) 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US20110207323A1 (en) 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US8895379B2 (en) 2012-01-06 2014-11-25 International Business Machines Corporation Integrated circuit having raised source drains devices with reduced silicide contact resistance and methods to fabricate same
US9368579B2 (en) 2012-02-07 2016-06-14 The Board Of Trustees Of The Leland Stanford Junior University Selective area growth of germanium and silicon-germanium in silicon waveguides for on-chip optical interconnect applications
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10529554B2 (en) * 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) * 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10319583B2 (en) * 2016-03-13 2019-06-11 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140106574A1 (en) * 2010-04-15 2014-04-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite peald and pecvd method
CN103119695A (zh) * 2010-09-23 2013-05-22 诺发系统公司 共形膜的等离子体激活沉积
CN105789027A (zh) * 2015-01-12 2016-07-20 朗姆研究公司 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
US20170221718A1 (en) * 2016-02-03 2017-08-03 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes

Also Published As

Publication number Publication date
US10763108B2 (en) 2020-09-01
WO2019036041A3 (en) 2019-04-04
KR20200033978A (ko) 2020-03-30
WO2019036041A2 (en) 2019-02-21
US20190057858A1 (en) 2019-02-21
CN111247269B (zh) 2023-02-17
TW201920738A (zh) 2019-06-01

Similar Documents

Publication Publication Date Title
CN111247269B (zh) 介电膜的几何选择性沉积
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
CN107680903B (zh) 用于半导体图案化应用的掺杂ald膜
CN108425100B (zh) 氧化硅的选择性沉积
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
US9865455B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102538780B1 (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
CN112368804A (zh) 含金属硬掩模薄膜的选择性生长
US9786496B2 (en) Method of densifying films in semiconductor device
US20220208543A1 (en) Modulated atomic layer deposition
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
CN115398032A (zh) 原子层沉积过程中的损失预防
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
CN114761612A (zh) 原位pecvd覆盖层

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant