TW201920738A - 介電膜之幾何性選擇沉積 - Google Patents

介電膜之幾何性選擇沉積 Download PDF

Info

Publication number
TW201920738A
TW201920738A TW107128760A TW107128760A TW201920738A TW 201920738 A TW201920738 A TW 201920738A TW 107128760 A TW107128760 A TW 107128760A TW 107128760 A TW107128760 A TW 107128760A TW 201920738 A TW201920738 A TW 201920738A
Authority
TW
Taiwan
Prior art keywords
plasma
film
deposition
substrate
conformal
Prior art date
Application number
TW107128760A
Other languages
English (en)
Inventor
丹尼斯 M 豪斯曼恩
亞歷山大 R 福克斯
大衛 查爾斯 史密斯
史貴凡迪 巴頓 J 凡
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201920738A publication Critical patent/TW201920738A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提出一種在圖案化特徵部之側壁表面上進行材料之選擇沉積之方法。在一些實施例中,該方法涉及,提供基板,基板具有從基板表面凹陷之特徵部。特徵部具有底部及從底部延伸之側壁。使用原子層沉積(ALD)處理以沉積保形膜在特徵部上。藉由使基板暴露至指向性電漿而使沉積在底部上之保形膜進行改質,俾使在底部上之保形膜比在側壁上之保形膜較不緻密。優先對於沉積在特徵部底部上之已改質的保形膜進行蝕刻。亦提出一種在圖案化特徵部之水平表面上進行選擇沉積之方法。

Description

介電膜之幾何性選擇沉積
本發明係關於介電膜之幾何性選擇沉積。
隨著半導體產業中之元件及特徵部尺寸持續微縮,需要處理方法以輔助元件微縮並且實現特定的三維架構。目前的半導體製造方案可受益於在圖案化特徵部之側壁上沉積材料、而不在特徵部之底部或頂部上沉積材料。
本文中所述之標的之一態樣關於在圖案化特徵部之側壁表面上進行材料之選擇沉積之方法。該方法涉及,提供基板,基板具有從基板表面凹陷之特徵部。特徵部具有底部及從底部延伸之側壁。使用原子層沉積(ALD)處理以沉積保形膜在特徵部上。藉由使基板暴露至指向性電漿而使沉積在底部上之保形膜進行改質,俾使在底部上之保形膜比在側壁上之保形膜較不緻密。優先對於沉積在特徵部底部上之已改質的保形膜進行蝕刻。
在一些實施例中,ALD處理為電漿加強ALD(PE-ALD)處理,其在保形膜之沉積期間使用指向性電漿。此外,在各種實施例中,PE-ALD處理包括複數循環之:(a) 使基板暴露至含矽前驅物,以形成含矽前驅物之吸附層在基板表面上,及 (b) 使吸附層暴露至指向性電漿。
在一些實施例中,沉積及改質為同時進行。
在一些實施例中,指向性電漿由氨(NH3 )所產生,保形膜為氮化矽(SiN)膜。
在一些實施例中,指向性電漿由含氧氣體所產生,保形膜為氧化矽膜。
在一些實施例中,指向性電漿由含胺氣體所產生,保形膜為碳化矽膜。
在一些實施例中,已改質的區域之暴露至指向性電漿會降低在已改質的區域處之保形膜之碳含量。
在一些實施例中,指向性電漿為含氧電漿,使在底部上之保形膜進行改質之步驟包括去除碳。
在一些實施例中,沉積的保形膜選自於由氧化鉿(HfO2 )、氧化鋯(ZrO2 )及氧化鈦(TiO2 )所組成之群組。
在一些實施例中,蝕刻包括濕蝕刻。
在一些實施例中,蝕刻包括電漿蝕刻。
本文中所述之標的之另一態樣關於在特徵部之外露水平表面上沉積保形膜之方法。該方法涉及,提供基板,基板具有從基板表面凹陷之特徵部。特徵部具有底部及從底部延伸之側壁。使用原子層沉積(ALD)處理以沉積保形膜在特徵部上。ALD處理涉及,使基板暴露至指向性電漿,俾使沉積在底部上之保形膜之厚度大於沉積在側壁上之保形膜之厚度。
在一些實施例中,該方法涉及,優先對於沉積在特徵部之側壁上之保形膜進行蝕刻。
在一些實施例中,ALD處理為電漿加強ALD(PE-ALD)處理,其在保形膜之沉積期間使用指向性電漿。
在一些實施例中,指向性電漿由氮(N2 )所產生,保形膜為氮化矽膜。
在一些實施例中,指向性電漿由電容耦合反應器(CCP)提供。
以下將參考圖式以進一步說明這些及其它態樣。
在以下的敘述中,將提出數個特定細節以提供對所述實施例之徹底瞭解。所揭示的實施例可在缺乏部分或全部此些特定細節之情況下實施。在其它情況下,不詳細說明習知的處理操作,以免不必要地模糊所揭示的實施例。雖然將利用特定的實施例來說明所揭示的實施例,但應當瞭解,其並非意圖限制所揭示的實施例。
以下所揭示之實行例描述在半導體基板(例如,晶圓或其它工作件)上之材料沉積。基板具有從基板表面凹陷之特徵部。基板可具有各種形狀及尺寸,並且包括各種材料。
目前的半導體整合方案可受益於在已圖案化的特徵部之側壁上沉積材料,而在特徵部之外露的水平表面(例如,特徵部之底部或場區域(頂部))上具有或不具有較少的材料沉積。類似地,某些整合方案可受益於在特徵部之頂部及底部水平表面其中一或兩者上沉積材料,而在側壁表面上具有較少的沉積。這樣的沉積處理在幾何上是選擇性的,因為它們相對於特徵部幾何形狀之另一部分而選擇性地沉積在特徵部幾何形狀之一部分上。然而,僅在特徵部側壁上沉積膜(相對於頂部及底部)、與側壁之化學組成無關之單一步驟沉積方法是未知的。
本文中提出在已圖案化的特徵部上幾何選擇性地沉積介電膜之方法。亦提出用以實施所揭示的方法之設備。在一些實施例中,使用原子層沉積(ALD)處理以將膜(例如,氮化矽(SiN))保形地沉積在特徵部中。特徵部可從基板之頂部凹陷,並且由特徵部底部以及從特徵部底部朝向基板頂部延伸之側壁加以界定。在一些實施例中,在基板上沉積SiN期間,基板係同時暴露至指向性(亦即,非等向性)電漿,以對特徵部之外露水平表面進行改質,以準備這些水平表面用於後續的蝕刻。或者,在一些實施例中,可在沉積之後進行特徵部之外露水平表面之改質。然後進行濕式或乾式蝕刻,以優先除去先前被改質的膜之區域。結果,相較於水平表面,在側壁上餘留的沉積材料較多。
在替代實施例中,可調整電漿之施加,以在特徵部之某些區域上優先產生用於沉積之活性位置。例如,由氮氣(N2 )所產生之富含離子的電漿可流向保形地沉積在特徵部上之SiN膜,以在SiN膜之外露水平表面上產生活性位置。電漿之離子濃度可高於自由基濃度,並且可以短脈衝施加,俾使沉積在特徵部底部及頂部上之保形SiN膜之厚度大於沉積在特徵部側壁上之保形SiN膜之厚度。隨後蝕刻沉積在特徵部中之SiN膜可從特徵部側壁去除所有或大部分的膜,從而在特徵部底部或頂部之加厚區域處留下SiN材料。
如上所述,在沉積期間調整施加至特徵部之電漿可對特徵部上之沉積膜進行改質,以準備後續的蝕刻。本文中所揭示之方法可涉及,使用三甲矽烷基胺(TSA)做為矽源、以及氨(NH3 )做為氮源以形成氮化矽(SiN),其可藉由電漿加強原子層沉積(PE-ALD)而沉積在特徵部上做為保形膜。施加偏壓至基板表面,伴隨著用於隨後的蝕刻劑物種流動之高功率及高壓力設定,可蝕刻已沉積的SiN膜之改質區域。
或者,在其它實施例中,由N2 氣體衍生的富含離子的電漿可被指向性地施加至沉積於特徵部上之SiN膜之某些區域,例如在頂部及底部處。施加富含離子的電漿可產生適合在SiN膜上進行額外的SiN沉積之活性位置,以使該等區域變厚。
可調整沉積處理,以實現上述結果之任一者,亦即 (1) 在水平表面上沉積膜及同時進行改質,以準備用於後續蝕刻之改質膜;或 (2) 藉由沉積額外的膜於其上,以使水平表面上之材料變厚。
圖1A中顯示方法100A,用於沉積材料在基板上之特徵部之側壁上。在操作102中,啟動方法100A。在操作104中,提供基板,例如半導體基板。基板可為矽晶圓,例如200 mm晶圓、300 mm晶圓或450mm晶圓。基板可包括其上沉積有一或更多材料層之晶圓。
在一些實施例中,在操作104中所提供之基板可具有如圖5A-5C所示之特徵部502,其從基板之頂部凹陷。特徵部之一範例是形成在基板中之溝槽。形成在圖5A-5C之基板500中之特徵部502具有平行的側壁512,側壁512從底部區域510A或510B垂直向上延伸。然而,熟悉此項技藝者應了解,其它類型之特徵部可具有彼此不完全平行之側壁、或可具有不從被界定的底部區域垂直向上延伸之側壁。在整個本揭示內容中提及水平表面,其包括底部區域510A之表面及頂部區域508A之表面。頂部區域508A也稱為場區域。
返回圖1A,在操作106中,使用原子層沉積(ALD)處理以將保形膜(例如SiN)沉積在特徵部上。在一些實施例中,可沉積其它類型之保形膜,例如氧化鉿(HfO2 )、氧化鋯(ZrO2 )或氧化鈦(TiO2 ),而不是SiN。對於SiN沉積,可藉由使含氮反應物(例如NH3 、胺或N2 氣體)與含矽共反應物(例如矽鹵化物)進行反應而形成SiN。矽鹵化物可包括含有氯(Cl)、溴(Br)或碘(I)之矽鹵化物。在特徵部上形成及沉積SiN之方法在圖1B中更詳細地討論。
通常,ALD為使用序列自限制反應而沉積薄材料層之方法。在一些實施例中,可利用電漿、或可利用熱來實施ALD。此外,操作106可在一或更多循環中實施,在本文中稱為「ALD循環」。
ALD循環之概念與本文中某些實施例之討論相關。通常,一ALD循環為用於實施表面沉積反應一次之最小一組操作。例如,一成功的ALD循環之結果為,在期望的基板表面上產生至少一部分含矽膜層,例如在圖5A-5C所示之基板500中所形成之特徵部502上。通常,一ALD循環包括,將至少一反應物傳送及吸附至基板表面之操作。因此,被吸附的反應物可與駐留在基板表面上之一或更多反應物進行反應,以形成部分膜層。 ALD循環可以包括其它操作,例如吹掃反應物或副產物其中一者,及∕或處理沉積之部分膜。通常,一循環包含獨特的一系列操作之一實例。做為一範例,ALD循環可包括下列操作:(i) 傳送∕吸附含矽前驅物、(ii) 從腔室中吹淨含矽前驅物、(iii) 傳送第二反應物(例如由NH3 所提供之氮)及電漿、及 (iv) 從腔室中吹淨電漿。
藉由ALD操作或循環,可將氮化矽(SiN)沉積在感興趣的基板上。可在基板之特徵部上沉積其它材料,包括氧化物,例如氧化鉿(HfO2 )、氧化鋯(ZrO2 )及氧化鈦(TiO2 ),以取代SiN之沉積。為了沉積含矽膜,可使用各種類型之含矽前驅物。例如,為了形成SiN,NH3 及各種胺可與矽鹵化物前驅物進行反應,矽鹵化物前驅物包括氯(Cl)、溴(Br)及碘(I)。
在一些實施例中,藉由ALD所沉積之膜可為保形的(conformal)。保形膜依循下方表面之輪廓。階梯覆蓋率可用於描述保形膜之特性,階梯覆蓋率係藉由比較在特徵部底部或側壁上之沉積膜之平均厚度與在特徵部頂部上之沉積膜之平均厚度而加以計算。例如,沉積在特徵部上之特定保形膜之階梯覆蓋率之計算,可藉由將在側壁上之沉積膜之平均厚度除以在頂部之沉積膜之平均厚度、並且將結果乘以100,而得到百分比。
返回圖1A,在操作108,藉由使基板暴露至指向性電漿,對沉積在特徵部底部上之保形膜進行改質。在操作108處藉由指向性電漿對保形膜進行改質,導致沉積在特徵部底部上之膜變得比沉積在從底部延伸之側壁上之膜較不緻密,如圖5A-5C所示。此外,在一些實施例中,亦可藉由暴露至指向性電漿,對沉積在特徵部頂部或場區域處之膜進行改質,如圖5A中之區域508A所示。
相較於其它膜沉積方法,藉由ALD在特徵部上沉積之膜可具有較低的密度。較低的密度可導致,在使基板暴露至指向性電漿時,特別容易對膜進行改質。所使用之指向性電漿會對膜造成損壞而使其較不緻密,並且可能比周圍的未改質區域看起來「較鬆軟」。已改質的區域具有較高的蝕刻率,因此在操作110處進行之後續蝕刻時較容易去除,因而優先留下沉積在特徵部側壁上之材料。方法100A在操作112處結束。
可將處理參數最佳化,以對沉積膜之外露水平區域進行改質。在一些實施例中,離子會驅動膜之外露水平表面之改質。電漿可流向基板,並且將偏壓同時施加至固持基板之基座,以吸引離子至水平表面。
如圖1A所示,在某些實施例中,操作106及108可在同一腔室內進行,俾使在同一腔室中進行保形膜之沉積及改質。在基板上之沉積與沉積在基板上之膜之改質可在操作110之沉積膜之改質區域之優先蝕刻之前同時發生。以下參考圖1B描述同時沉積及改質之方法。
在某些實施例中,操作108之全部或一些可在操作106之後發生。在這些實施例中,後續的改質可在與操作106相同或不同的腔室中實施。例如,在沉積保形膜之後,可使該膜暴露至惰性氣體電漿,例如由Ar衍生的電漿。藉由適當地對基板施加偏壓,由Ar衍生的電漿中之離子將優先對沉積在水平表面上之膜進行改質,如上所述。
除了SiN之外,還可對任何類型之膜使用電漿活化反應物,以實施關於圖1A所述之方法。如上所述,可在特徵部側壁上選擇性地沉積氧化物,例如HfO2 、ZrO2 及TiO2 。此外,可藉由圖1A中所示之方法沉積其它氧化物,例如氧化矽(例如SiO2 )、氧化鋁(例如Al2 O3 )、SnO2 ,以及氮化矽、氮化鋁及氮化錫。
在一些實施例中,該膜為含碳膜。沉積在特徵部上之含碳膜之電漿介導(plasma-mediated)指向性離子轟擊可減少在外露的水平區域處之膜之碳含量。在操作110,此減少的碳含量將導致低碳含量與高碳含量區域之間之蝕刻率之差異,從而容許在側壁表面上之淨選擇性沉積。在膜中之較高碳含量會降低濕蝕刻率;因此,消耗水平表面上之碳會增加那些表面上之濕蝕刻率(相對於側壁而言)。
例如,氧摻雜的碳化矽(ODC)或氮摻雜的碳化矽(NDC)膜之保形沉積、及接著的指向性離子轟擊將導致特徵部之底部及頂部處之較低碳含量。在一些實施例中,例如,可藉由暴露至含氧電漿、或由氫氣(H2 )或NH3 衍生的含氫電漿,降低沉積在水平面上之膜中之碳含量。在電漿中所包含之氧或氫可與含碳膜中之碳進行反應,以去除碳並留下碳耗盡的材料或殘留物,其以比其它餘留的無孔沉積材料更快之速率被蝕刻。
可量身訂做含碳沉積處理,以控制特徵部之側壁或水平表面之碳量。具體而言,可使用電漿功率及前驅物選擇,以調整碳輸入至沉積在特徵部中之保形膜之位置。使用較低的電漿功率位準所沉積之含碳膜中之碳可能傾向於偏向特徵部之頂部及底部,較高的功率位準用於在側壁中獲得更多的碳。可增加在胺反應物中之碳量,以輸入額外的碳至膜中。因此,在一些實施例中,在水平表面中之碳被優先消耗之前,碳可優先沉積在側壁表面中。
返回圖1A,可藉由乾蝕刻或濕蝕刻以進行在已沉積的含碳膜之改質區域在操作110處之優先蝕刻。通常,乾蝕刻是指,藉由將材料暴露至由反應性氣體產生之電漿所提供之離子轟擊以去除沉積材料,通常是半導體材料之遮罩圖案。合適的反應性氣體可包括氟碳化合物、氧氣(O2 )、氯氣(Cl2 )或含硼氣體,偶爾添加N2 氣體,用以從外露表面去除部分材料。乾蝕刻處理通常以指向性的方式進行蝕刻,並且可用於蝕刻沉積膜之改質區域,相較於沉積膜之未改質區域,沉積膜之改質區域相對較容易蝕刻。可藉由乾蝕刻以適當地蝕刻任何類型的膜,例如SiN,ODC或NDC。
與上述之乾蝕刻處理不同,濕蝕刻處理通常涉及將感興趣的基板暴露至液態蝕刻劑物種。例如,可將基板浸泡在蝕刻劑浴槽中。可藉由乾蝕刻以適當地蝕刻任何類型的膜,例如SiN,ODC或NDC。濕蝕刻劑之範例包括氟化氫(HF)、緩衝氧化物蝕刻(BOE)及氫氧化鉀(KOH)。
圖1B顯示方法100B,使用同時的沉積及改質,以在基板之特徵部上進行保形膜之沉積及改質。在操作114,該方法開始,在操作116,將基板提供至合適的反應腔室,分別類似於操作102及104,如圖1A中所示。
在操作128,在特徵部上沉積保形膜,例如SiN。在操作130,調整處理參數,以達成在基板之水平表面處所沉積之膜之同時改質。在一些實施例中,某些區域之改質可延伸至特徵部之頂部區域。在操作128所進行之沉積以及在操作130所進行之沉積SiN之同時改質,可統稱為操作132。類似於先前圖1A中所示之操作110,操作134涉及優先蝕刻沉積膜之已改質區域,以在操作136、方法100B之結束之前去除這些區域。
圖1C為處理流程圖,顯示用於產生保形SiN膜之方法126之範例。方法126可使用在,例如,圖1A及1B之方塊106或128中。方法126包括操作118-122,其關於在操作118處使基板暴露至含矽反應物、在操作120處週期性暴露至含氮反應物、以及在操作122處當停止含矽反應物流動時周期性點燃電漿。包括在方法126中之處理可如2011年4月11日所申請、發明名稱為「IMPROVED SILICON NITRIDE FILMS AND METHODS」且受讓給Novellus Systems, Inc.之美國專利申請案第13/084,304中所述發生,其全部內容係合併於本文中。
當使用在本文中時,如在操作118中所使用,「含矽反應物」為用於製造SiN材料之單一試劑、或是複數試劑之混合物。試劑包含至少一矽化合物。矽化合物可為,例如,矽烷、鹵矽烷或胺基矽烷。矽烷包含氫及∕或碳基團,但不包含鹵素。矽烷之範例為矽烷(SiH4 )、二矽烷(Si2 H6 )、及有機矽烷,例如甲基矽烷、乙基矽烷、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、二級丁基矽烷、叔己基矽烷、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷等。鹵矽烷包含至少一鹵素基團,可包含或可不包含氫及∕或碳基團。鹵矽烷之範例為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵矽烷(尤其是氟矽烷)可能形成能夠蝕刻矽材料之反應性鹵化物物種,但在本文所述之某些實施例中,當電漿點燃時,含矽反應物並不存在。具體之氯矽烷為四氯矽烷(SiCl4 )、三氯矽烷(HSiCl3 )、二氯矽烷(H2 SiCl2 )、一氯矽烷(ClSiH3 )、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯二級丁基矽烷、叔丁基二甲基氯矽烷、叔己基二甲基氯矽烷等。胺基矽烷包含與矽原子鍵結之至少一氮原子,但亦可包含氫、氧、鹵素、及碳。胺基矽烷之範例為一、二、三、及四胺基矽烷(分別為H3 Si(NH2 )4 、H2 Si(NH2 )2 、HSi(NH2 )3 及Si(NH2 )4 ),以及取代的一、二、三、及四胺基矽烷,例如叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、雙(叔丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 ,BTBAS)、叔丁基矽基胺甲酸酯(tert-butyl silylcarbamate)、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 等。
如在操作120中所使用,「含氮反應物」包含至少一個氮,例如氨、聯胺、胺類(例如,帶有碳之胺類),胺類例如為甲基胺、二甲基胺、乙基胺、異丙基胺、叔丁基胺、二叔丁基胺、環丙基胺、二級丁基胺、環丁基胺、異戊基胺、2-甲基丁-2-胺、三甲基胺、二異丙基胺、二乙基異丙基胺、二叔丁基聯胺,以及含芳香族之胺類(例如,苯胺、吡啶、及苯甲胺)。胺類可為一級、二級、三級、或四級(例如,四烷基銨化合物)。含氮反應物可包含除了氮以外之雜原子,例如,羥胺、叔丁氧羰基胺、及N-叔丁基羥基胺係含氮反應物。
圖1C中所示之操作122之電漿122可為在反應腔室中點燃之電漿、或在遠端點燃並傳送至反應腔室之電漿。電漿可包括本文中所述之反應物,並且可包括其它試劑,例如載氣或反應性物種,例如氫氣(H2 )。當點燃電漿時,反應物及其它試劑可存在於反應腔室中,或者遠端電漿可流至存在反應物之腔室中及∕或反應物及∕或載氣可在遠端點燃成電漿並且帶入反應腔室中。「電漿」意味著包括已知在技術上可行之任何電漿,包括感應耦合電漿及微波表面波電漿。熟悉此項技藝者將理解,技術上的進步將發生,因此發展中的電漿產生方法被認為落在本揭示內容之範圍內。
返回圖1C,在操作118,使基板暴露至含矽反應物。在操作120,使基板暴露至含氮反應物。在操作122,當含氮反應物以氣態存在時,點燃電漿,從而在基板上形成氮化矽(SiN)材料。在一些實施例中,在點燃電漿之前,藉由惰性氣體及∕或含氮反應物之流動,將未吸附至基板表面之含矽反應物吹掃出腔室。在其它實施例中,可以使用吹淨(purge)以去除氣態含矽反應物。可實施或重複118-122之一或更多迭代(iteration),以在基板之特徵部上建構SiN層。在將SiN膜製成期望的厚度之後,可任選地使該膜進行一或更多沉積後處理。例如,可使SiN膜暴露至氫電漿,以從SiN膜中去除期望量之碳。
如圖1C所示,操作118係在操作120之前實施。然而,在其它實施例中,操作120可在操作118之前實施。在這樣的替代配置中,可在操作118之含矽反應物之氣態流動已經停止之後,在反應腔室中點燃電漿。
可實施或重複藉由方法126之SiN形成,以在基板上形成任何適當厚度之保形層,例如在約1 nm與約100 nm之間之厚度。
參考圖2,顯示在SiN之保形膜沉積(CFD)中之示例性階段或脈衝之時間進展。圖2中所示之階段進一步描述於2017年3月7日所領證、發明名稱為「METHOD OF DEPOSITING AMMONIA FREE AND CHLORINE FREE CONFORMAL SILICON NITRIDE FILM」、授予給Henri等人並轉讓給Lam Research Corp.之美國專利第9,589,790號中,其全部內容係合併在本文中。
圖2顯示在原子層沉積(ALD)處理200之範例中之複數階段之各種處理參數,例如載氣流動、矽前驅物流動、電漿及NH3 流動。Ar被表示為示例性載氣,Si2 H6 可為一種含矽前驅物。從左到右延伸之實線表示含矽前驅物、或含NH3 的反應物、流動或電漿何時活化或失活。示例性處理參數包括,但不限於,惰性及反應物物種之流率、電漿功率及頻率、基板溫度及處理站壓力。
在將保形膜沉積(例如,原位沉積)至基板之特徵部上期間,惰性氣體可流入反應腔室中。惰性氣體做為載氣。示例性載氣包括Ar、氦(He)及氖(Ne)。在一些實施例中,載氣不是氫,俾使沒有或很少氫包含在沉積的SiN膜中。或者,可以使用含氫載氣。在圖2中之示例性序列係使用Ar做為示例性載氣,其在整個處理期間係持續地流動。可提供惰性氣體,以輔助處理室之壓力及∕或溫度控制、液體反應物之蒸發、反應物之更快速傳送及∕或做為吹掃氣體以從處理腔室及∕或處理腔室配管中去除處理氣體。在一些實施例中,NH3 可在如圖1A中之方法100A所示之操作106-108中流動,並且之後點燃而做為由NH3 衍生的電漿。
在ALD處理中可包括任何數目之沉積循環,以沉積期望膜厚之SiN。在圖2中之時序序列在二沉積循環210A及210B中描繪圖1A或圖1B之各種操作。如圖所示,在每一循環中,使基板暴露至含矽前驅物,例如二矽烷。例如,在沉積循環210A期間,在含矽前驅物階段220A期間,使基板暴露至含矽前驅物,並且在沉積循環210B期間,在含矽前驅物暴露階段220B期間,使基板暴露至含矽前驅物。應注意,在含矽前驅物暴露階段220A及220B期間,關閉電漿、沒有NH3 流至反應腔室、且載氣(例如Ar)持續流動。取決於流率及基板表面面積,基板暴露至含矽前驅物之時間可在約0.2秒與約6秒之間。
使基板暴露至含矽前驅物,俾使含矽前驅物吸附至基板表面上以形成吸附層。含矽前驅物係以自限制的方式吸附至基板表面上。例如,一旦活性位置被含矽前驅物佔據,沒有或很少額外的含矽前驅物將被吸附在基板表面上。含矽前驅物可吸附至約60%之基板表面上。當含矽前驅物流動至工作站時,含矽前驅物吸附至基板表面上之活性位置上,在表面上形成含矽前驅物之薄層。此層可小於單層,並且可具有在約0.2 Å與約0.4 Å之間之厚度。本文中所提出之方法可在低於約450 °C之溫度下實施。在大於約450 °C之處理溫度下,一些含矽前驅物可能分解而形成矽層。
與化學氣相沉積(CVD)或類CVD的處理不同,在ALD處理200中之含矽前驅物不會分解而形成矽層。 在一些實施例中,實施圖1A之操作106、或圖1B之操作118,俾使並非所有在沉積膜上之活性位置都被含矽前驅物佔據。
應用在ALD處理200中之含矽前驅物可不包括任何氮 - 氫鍵、一級或二級胺、或任何NH3 基團。 含矽前驅物可為不含鹵素及碳的,並且可具有在約12:3至與12:5之間之氫與矽原子比。
適合在所揭示的實施例中使用之示例性含矽前驅物包括聚矽烷(H3 Si-(SiH2 )n -SiH3 ),其中n 1,例如矽烷、二矽烷、三矽烷、四矽烷、及三甲矽烷基胺:

矽烷 二矽烷 三矽烷 四矽烷 三甲矽烷基胺
在各種實施例中,可選地吹淨處理工作站,以去除未吸附至基板表面上之過量的氣態含矽前驅物,如沉積循環210A中之吹淨階段240A及沉積循環210B中之吹淨階段280B所示。吹淨可涉及吹掃氣體(sweep gas),其可為在其它操作中所使用之載氣或不同的氣體。在一些實施例中,吹淨可涉及抽空腔室。在吹淨階段240A或吹淨階段240B中,關閉含矽前驅物(例如二矽烷)之流動、沒有電漿被點燃、且沒有氮氣被供應到工作站。載氣(例如Ar氣體)持續流動,以從工作站吹淨任何過量的Si2 H6 。在一些實施例中,吹淨階段240A可包括一或更多抽空子階段以抽空處理工作站。或者,應當理解,在一些實施例中,可省略吹淨階段240A。吹淨階段240A可具有任何合適的持續時間,例如在約0秒與約60秒之間,或約0.01秒。在一些實施例中,增加一或更多吹掃氣體之流率可減少吹淨階段240A之持續時間。例如,可根據處理工作站及∕或處理工作站配管之各種反應物熱力學特性及∕或幾何特性來調整吹淨氣體流率,以改變吹淨階段240A之持續時間。在一非限制性範例中,可藉由調節吹掃氣體流率來調整吹掃階段之持續時間。此可減少沉積循環時間,其可改善基板產量。在吹淨之後,含矽前驅物保持吸附在基板表面上。
在各種實施例中,電漿(例如,由NH3 衍生的電漿)是原位電漿,俾使電漿直接形成在工作站中之基板表面上方。原位電漿可在約0.2122 W/cm2 與約2.122 W/cm2 之間之功率∕基板面積下點燃。例如,對於處理四個300 mm晶圓之腔室,功率可在約600 W至約6000 W之範圍內。例如,可使用二電容耦合板而施加射頻(RF)場至氣體,以產生用於ALD處理之電漿。在板之間藉由RF場之氣體離子化而點燃電漿,在電漿放電區域中產生自由電子。這些電子被RF場加速,並可與氣態反應物分子產生碰撞。這些電子與反應物分子之碰撞可形成參與沉積處理之自由基物種。應當理解,RF場可藉由任何合適的電極耦合。電極之非限制性範例包括處理氣體分配噴淋頭及基板支撐基座。應當理解,用於ALD處理之電漿可藉由除了RF場與氣體之電容耦合以外之一或更多合適的方法而形成。在一些實施例中,電漿是遠端電漿,俾使NH3 在工作站上游之遠端電漿產生器中被點燃,然後傳送至容納基板之工作站。
較高頻率的電漿可產生比離子更多之自由基,由於自由基與含矽前驅物之間之反應性較高,因而改善了氮化矽之沉積。因此,在此操作期間所需之自由基密度係取決於電漿頻率。在各種實施例中,使用具有至少約13.56 MHz、或至少約27 MHz、或至少約40 MHz、或至少約60 MHz之頻率之高頻電漿。在一些實施例中,較高的離子密度降低了膜之保形性。此外,在一些實施例中,例如圖1B中所示,可能需要較高的離子密度,以在沉積期間使用指向性電漿以進行膜之改質。
一旦電漿將NH3 氣體活化,氮自由基及離子與吸附在基板表面上之含矽前驅物進行反應,形成矽 - 氮鍵及SiN薄膜。
參考圖3,其顯示方法300,用於在特徵部底部上沉積保形膜,例如SiN或其它氮化物。方法300與方法100A及100​​B不同之處在於,方法300在操作306之膜沉積係藉由使基板暴露至由N2 衍生的電漿,而不是由NH3 衍生的電漿,俾使沉積產生之膜上在水平表面上比在特徵部側壁表面上更厚。
通常,由NH3 衍生的電漿用於沉積中,以在特定特徵部上產生厚度均勻之膜,如在圖1A所示之方法100A中可能需要,在方法100A中沉積膜被改質,以在後續的蝕刻中去除。相反地,如圖3之方法300中所示,在短持續時間或「突發(burst)」中施加N2 衍生的電漿,在膜之頂部及底部之外露水平表面處產生適於額外沉積於其上之活性位置。外露水平表面相對於側壁之沉積或增厚有助於後續的側壁優先蝕刻。然而,長時間(例如,大於10秒)施加N2 衍生的電漿可能開始使特徵部頂部及底部處之沉積膜劣化,因而實質上徹底改變了側壁之優先蝕刻位置。
方法300在操作302處開始,並且進行到操作304,在操作304中提供基板,基板具有從基板表面凹陷之特徵部。所提供之基板具有界定之底部以及從底部延伸之側壁。接著,藉由原子層沉積(ALD)處理以沉積保形膜(例如,氮化矽(SiN))在特徵部上,藉由使基板暴露至指向性電漿,俾使沉積在特徵部底部上之保形膜之厚度大於沉積在側壁上之保形膜之厚度。外露的水平位向表面(例如,在特徵部底部或頂部處)被準備以在其上進行額外的沉積。
在操作306,富含離子、由N2 衍生的電漿流向沉積膜之某些區域,例如,在特徵部底部或頂部處之外露水平表面,以在膜上產生活性位置。活性位置容納SiN之額外沉積在其上。調整相關的電漿參數,例如頻率、功率等,以產生較高濃度之離子相對於自由基之期望比例。而且,由N2 氣體衍生的電漿(例如,如在操作306中所使用)快速地且以短突發的方式傳送或流動到基板,並且可由電漿源(例如電容耦合反應器(CCP))提供。施加至固持基板之基座之偏壓是可選的,因為由N2 氣體衍生出的電漿物種可顯示固定的方向性而朝向某些區域,例如,特徵部之底部及,可選地,特徵部之頂部。
在已沉積的保形SiN膜上之活性位置容納SiN之額外沉積在其上,因此沉積更多SiN在這些區域。選擇用於此「增厚」之區域包括特徵部之底部及可選地包括頂部,俾使在這些區域之SiN層比保形膜之周圍區域相對更厚。在操作306之沉積完成之後,使蝕刻劑物種流至容納感興趣的基板之反應腔室中,以優先蝕刻在特徵部側壁上所沉積之保形膜,例如,SiN或其它氮化物。合適的蝕刻參數可包括施加至反應腔室之高功率、及流至反應腔室中之蝕刻劑物種之高壓、及(可選的)將偏壓施加至固持基板之基座。在特徵部之底部或可選的頂部之保形膜之增厚區域在蝕刻後繼續存在,如圖6D所示,成為沉積在場區域608D及底部610D上之餘留SiN。
參考圖4,顯示在氮化矽(SiN)之保形膜沉積(CFD)中之示例性階段之時間進展。圖4中所示之階段可類似於圖2中所示之階段發生。然而,對於圖3中所示之方法300,ALD處理400涉及,施加由N2 氣體衍生的電漿以在特徵部上形成及沉積SiN,而不是由NH3 衍生的電漿,如圖2中之示例性ALD沉積處理200中所示。與ALD沉積處理200類似,ALD沉積處理400可包括一或更多沉積循環,例如沉積循環410A及在410A之後立即進行之410B,直到沉積在特徵部上之SiN達到期望的厚度。
如上所述,幾何性選擇沉積之實施可藉由 (1) 在水平表面上沉積膜或在沉積後處理膜,以使其更容易蝕刻(相較於在側壁表面上之膜),如參考圖1A及1B所述,或 (2) 沉積或處理在水平表面上所沉積之膜,以增加在那些表面上之膜量(相對於側壁表面),如參考圖3所述。如上所述,藉由機制 (1),由NH3 衍生的電漿更有利於在側壁上之選擇性SiN沉積,藉由機制 (2),由N2 衍生的電漿更有利於在頂部及底部表面上之選擇性SiN沉積。也就是說,如以下之進一步解釋,在一些實施例中,NH3 可使用於機制 (2),反之亦然。
為了在水平表面上沉積膜或在沉積後處理膜,以使其對後續的蝕刻而言更容易蝕刻(相較於在側壁表面上之膜),可使用主要由自由基沉積所驅動之沉積,在沉積期間或之後藉由離子進行改質。如上所述,SiN沉積可使用由NH3 所產生之電漿。NH3 電漿主要藉由NH2 自由基及H自由基以驅動沉積,NH2 自由基及H自由基之壽命長,且易於擴散通過特徵部以在最初的1或2秒內沉積具有均勻厚度及組成之膜。然而,增加離子轟擊將影響電漿之水平表面。離子轟擊可能高到足以損壞在水平表面上之膜,其係藉由下述之一或多者:增加電漿中之離子數量、增加離子之離子能量、以及增加電漿時間。此增加可發生在沉積期間或做為沉積後處理。如以上關於機制 (1) 所述,離子轟擊之調節允許,例如,藉由濕蝕刻而去除在水平表面上之膜,同時在側壁上留下膜。在某些實施例中,可以藉由添加Ar或N2 至NH3 以增加離子能量。或者,可使用Ar或N2 電漿以處理沉積後之膜。亦應注意,可能使用不含NH3 之由N2 衍生的電漿,以選擇性地沉積在側壁表面上:例如,如果將水平表面暴露至由N2 衍生的電漿足夠長的時間(例如,大於十秒),它將會損壞那些表面。
由NH3 衍生的電漿亦可用於圖3中所述之實施例 - 藉由使用具有足夠的離子特性或持續時間之電漿,俾使在水平表面上之膜緻密化但不被破壞。例如,如果使特徵部暴露至由NH3 所產生之電漿長達5秒,則該電漿可使水平表面緻密化而不損壞它們。相較之下,由N2 (不含NH3 )所產生之電漿導致膜之沉積在垂直及水平表面上有所不同。這是因為與NH3 有所不同,此沉積是離子驅動的。因此, 由N2 衍生的電漿可用於在水平表面上沉積比在側壁表面上更多的膜、以及使在水平表面上之膜緻密化兩者。此允許後續的蝕刻會優先蝕刻側壁表面。
雖然提出了用於沉積SiN之上述說明,但是可以將所述方法或處理外推至其它膜之沉積:藉由上述機制,自由基驅動的沉積化學品可用於選擇性地形成膜在側壁表面上,離子驅動的沉積化學品可用於選擇性地形成膜在水平表面上。
實驗
圖5A-5C描繪了在如上所述之處理之操作期間之特徵部502之側視圖。如圖5A所示,薄膜504與特徵部502保形。在施加指向性電漿時被選定以進行改質之區域包括頂部區域508A及底部區域510,頂部區域508A從特徵部之側壁512垂直地延伸,底部區域510與頂部區域508A平行,並且將一側壁512連接至鄰接的側壁512,如圖5A所示。
由NH3 衍生的電漿係指向性地流動至被選定以進行改質之區域。在施加指向性電漿時,底部區域510A及可選的頂部區域508A被改質或損壞,如圖5B中之改質底部區域510B及改質頂部區域508B所示。接著使改質區域(例如,改質底部區域510B及改質頂部區域508B)暴露至蝕刻劑物種,如圖5B所示,其用於蝕刻及去除改質區域,僅容許側壁512在蝕刻後餘留,如圖5C所示。
圖5D及5E顯示圖5A-5C中所示之實驗結果。參考圖5D,保形SiN膜504D沉積在基板500D上。在基板500D上所沉積之保形膜504D具有約18.4 nm之厚度,基板500D具有特徵部502D形成在其中。使用大約1,500 W之處理腔室功率設定,以將碳輸入至側壁512D中,同時使用150 W之功率設定以用於電漿脈衝。
如圖5D所示,保形膜504D沉積在凹入部分506D上,具有約6.46 nm之深度或厚度,俾使每一側壁512D具有約7.3 nm之寬度或厚度。保形膜504D沉積在底部510D上,具有約13.5 nm之深度。在各種實施例中,如先前所討論,沉積在基板之特徵部502D上之保形膜504D之高度或寬度值可對應於特定的階梯覆蓋百分比,如圖5D所示。厚度為7.3 nm之每一側壁512D之階梯覆蓋百分比為40%,底部510D之厚度為13.5 nm,階梯覆蓋百分比為74%。
圖5D所示者關於由三甲矽烷基胺(TSA)所形成之氮化矽(SiN)保形膜504D之沉積,三甲矽烷基胺(TSA)做為含矽源,NH3 做為含氮源或反應物。導致保形膜504D沉積(如圖5D所示)之沉積處理被調整,以在被選定的頂部區域508D及底部510D處同時進行保形膜504D之改質、或損壞。流向頂部區域508D及底部510D之指向性電漿所提供之離子轟擊會使這些區域改質,以使它們分散或更蓬鬆,因此在蝕刻時更容易去除。用濃度為100:1之氫氟(HF)酸進行濕蝕刻,以蝕刻頂部區域508D及底部510D,而得到圖5E所示之結果。
如圖5E所示,蝕刻劑物種流向基板500E以蝕刻及去除已改質的區域,例如,頂部區域508E及底部510E。此外,側壁512E被蝕刻至5.86 nm之寬度或厚度。
圖6A-6D描繪在圖3及4所示及所述之沉積及蝕刻處理之各個階段中之特徵部602(例如,顯示為溝槽)之側視圖,特徵部602形成在晶圓或非平面半導體基板600中。圖6A顯示保形膜604,保形膜604沉積在基板600上,基板600具有形成於其中之特徵部602。如先前關於圖5A所述,保形膜604可沉積在特徵部602上。然而,不是施加由NH3 源衍生的指向性電漿,而是指向性地施加離子多的、由N2 衍生的電漿,如圖6B所示,以產生活性位置在保形膜604之外露水平表面上,例如在底部610B及(可選地)在頂部區域608B。這樣的活性位置容納SiN之額外沉積於其上,如圖6C中之增厚的頂部區域608C及增厚的底部區域610C所示。增厚的區域608C及610C通過膜604之後續蝕刻(例如,濕蝕刻或乾蝕刻)而餘留,如頂部區域608D及底部610D所示。詳言之,蝕刻劑物種會蝕刻頂部區域608C、側壁及底部610C上之膜604,以去除沉積在側壁上之膜,如圖6D所示。增厚的區域608C及610C(例如,其上沉積有額外SiN之區域)被部分蝕刻,但仍餘留成為頂部區域608D及底部610D。
現在參考圖6E-6F,實驗結果之各種圖像顯示,階梯覆蓋率之變化取決於所使用之電漿類型,例如,由NH3 衍生的電漿,相較於由N2 衍生的電漿。主要的差異可包括,相較於由NH3 衍生的電漿,使用由N2 衍生的電漿時之膜保形性不佳,此係由於由N2 電漿所產生之氮自由基之壽命短。因此觀察到,如圖6E所示,在底部610E及頂部區域608E之間之階梯覆蓋率不佳,例如小於50%。相反地,當應用在具有相同參數或處理條件序列之處理中時,由NH3 衍生的電漿達到大於95%之階梯覆蓋率,如在圖6F中之底部610F與頂部608F之比較所示。因此,相較於由NH3 衍生的電漿,當使用由N2 衍生的電漿時,需要較長的暴露時間,以使基板特徵部之底部610E飽和。
圖6G-6I顯示,在使用由N2 衍生的電漿時,藉由延長電漿暴露(例如射頻(RF)電漿暴露)時間以改善沉積膜保形性之成果。短的RF電漿暴露時間係對應至小於50%之階梯覆蓋率,如圖6G中之底部610G及頂部區域608G之間所示。相反地,逐漸增長的RF曝光時間係對應至改善的階梯覆蓋率,例如大於70%(如圖6H所示)及大於90%(如圖6I所示)。
設備
圖7描繪原子層沉積(ALD)處理工作站700之實施例之概要圖,處理工作站700具有用以維持低壓環境之處理腔室本體702。在共同的低壓處理工具環境中,可包括複數ALD處理工作站。例如,圖8描繪多工作站式處理工具800之一實施例。在一些實施例中,藉由一或更多電腦控制器750,可編程地調整ALD處理工作站700之一或更多硬體參數,包含以下所詳細討論者。
ALD處理工作站700與反應物傳送系統701a流體連通,反應物傳送系統701a用於將處理氣體傳送至分配噴淋頭706。反應物傳送系統701a包括混合容器704,用於混合及∕或調節處理氣體以傳送至噴淋頭706。一或更多混合容器入口閥720可控制處理氣體至混合容器704之引入。
做為一範例,圖7之實施例包括汽化點703,用於將待供應至混合容器704之液體反應物汽化。在一些實施例中,汽化點703可為加熱的汽化器。由此類汽化器所產生之飽和反應物蒸汽可能在下游的傳送管路中凝結。讓不相容的氣體暴露至凝結的反應物可能產生小微粒。這些小微粒可能堵塞管道、阻礙閥操作、污染基板等。解決這些問題之一些方法涉及吹淨及∕或抽空傳送管路,以去除殘留的反應物。然而,吹淨傳送管路可能增加處理工作站之循環時間,從而降低處理工作站之產能。因此,在一些實施例中,汽化點703下游之傳送管路可為伴熱的(heat-traced)。在一些範例中,混合容器704亦可為伴熱的。在一非限制性範例中,汽化點703下游之管路具有漸增的溫度分佈,從大約100 °C至在混合容器704處之大約150 °C。
在一些實施例中,液體前驅物或液體反應物可在液體注射器被汽化。例如,液體注射器可將液體反應物脈衝注入至在混合容器704上游之載氣流中。在一實施例中,液體注射器可藉由使液體從較高壓力快速移動至較低壓力而使反應物汽化。在另一範例中,液體注射器可使液體霧化為分散的微滴,該分散的微滴隨後在加熱的傳送管路中被汽化。較小的液滴之汽化可能比較大的液滴更快,因而縮短在液體注入與完全汽化之間之延遲。較快的汽化可減少在汽化點703下游之管路長度。在一情況中,液體注射器可直接安裝至混合容器704。在另一情況中,液體注射器可直接安裝至噴淋頭706。
在一些實施例中,可設置在汽化點703上游之液體流量控制器(LFC),以控制用於汽化及傳送至處理工作站700之液體之質流。例如,LFC可包括位於LFC下游之熱質流計(MFM)。接著,可調整LFC之柱塞閥,以因應於由比例-積分-微分(PID)控制器(與MFM係電性連通)所提供之反饋控制信號。然而,使用反饋控制可能花費1秒或更久來使液體流量穩定。這可能延長用於注入液體反應物之時間。因此,在一些實施例中,LFC可在反饋控制模式與直接控制模式之間進行動態地切換。在一些實施例中,此可藉由使LFC之感測管及PID控制器失效而實施。
噴淋頭706將處理氣體朝向基板712分配。在圖7所示之實施例中,基板712位於噴淋頭706下方,並且顯示為置於基座708上。噴淋頭706可具有任何適當的形狀,並且可具有任何適當數目及配置之埠口,用以分配處理氣體至基板712。
在一些實施例中,微容積707位於噴淋頭706下方。在微容積中(而不是在處理工作站之整個容積中)實施所揭示的實施例,可縮短反應物暴露及吹淨時間、可縮短用於改變處理參數 (例如壓力、溫度等)之時間、可限制處理工作站機械臂暴露至處理氣體等。示例性微容積尺寸包含,但不限於,在0.1公升與2公升之間之容積。此亦影響生產產能。在一些實施例中,所揭示的實施例並非在微容積中實施。
在一些實施例中,基座708可升高或降低,以使基板712暴露至微容積707、及∕或改變微容積707之容積。例如,在基板傳遞階段中,基座708可升高,以將基板712放置於微容積707內。在一些實施例中,微容積707可完全包圍基板712以及基座708之一部份,以建立高流動阻抗之區域。
可選地,在部分的沉積處理期間,基座708可降低及∕或升高,以調變在微容積707內之處理壓力、反應物濃度等。在處理腔室本體702於處理期間內維持在基礎壓力之一方案中,降低基座708可容許將微容積707排空。微容積與處理腔室容積之示例性比例包含,但不限於,在1:500與1:10之間之容積比。應當了解,在一些實施例中,可藉由適當的電腦控制器750以程式化地調整基座高度。
在另一情況中,在處理中所包括之電漿活化循環期間,調整基座708之高度可造成電漿密度之改變。在處理階段結束時,基座708可在另一基板轉移階段期間下降,以容許基板712從基座708移除。
雖然本文中所述之示例性微容積改變係與高度可調整的基座708有關,但應當了解,在一些實施例中,噴淋頭706之位置可相對於基座708而加以調整,以改變微容積707之容積。此外,應當了解,在本揭示內容之範疇內,基座708及∕或噴淋頭706之垂直位置可藉由任何適當的機構而加以改變。在一些實施例中,基座708可包括旋轉軸,用於旋轉基板712之位向。應當了解,在一些實施例中,這些示例性調整其中一或更多者可藉由一或更多適當的電腦控制器750而編程地加以實施。
在可使用上述電漿之一些實施例中,噴淋頭706及基座708與用來對電漿施加功率之射頻(RF)電源714及匹配網路716電性連通。在一些實施例中,藉由控制處理工作站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序其中一或更多者,可控制電漿之能量。例如,RF電源714及匹配網路716可在任何合適的功率下操作,以形成具有期望的自由基物種組成之電漿。合適功率之範例係說明在上文中。同樣地,RF電源714可提供任何適當頻率之RF功率。在一些實施例中, RF電源714可配置成彼此獨立地控制高頻及低頻RF電源。示例性低頻RF頻率可包括,但不限於,在0 kHz與500 kHz之間之頻率。示例性高頻RF頻率可包括,但不限於,在1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz之頻率。應當了解,任何適當的參數都可分離地或連續地加以調控,以提供用於表面反應之電漿能量。在一非限制性範例中,可間歇地以脈衝式提供電漿功率(相對於連續地對電漿施加功率),以減少基板表面之離子轟擊。
在一些實施例中,電漿可藉由一或更多電漿監控器而受到原位(in-situ)監控。在一情況中,電漿功率可藉由一或更多電壓、電流感測器(例如,VI探針)而加以監控。在另一情況中,電漿密度及∕或處理氣體濃度可藉由一或更多光學放射光譜感測器(OES)而加以量測。在一些實施例中,一或更多電漿參數可基於來自這樣的原位電漿監控器之測量結果而編程地加以調整。例如,OES感測器可使用在用於提供電漿功率之編程控制之反饋迴路中。應當了解,在一些實施例中,可使用其它監控器以監控電漿及其它處理特性。這樣的監控器可包括,但不限於,紅外線(IR)監控器、音訊監控器、及壓力轉換器。
在一些實施例中,用於控制器750之指令可透過輸入∕輸出控制(IOC)序列指令而提供。在一範例中,用於設定處理階段之參數之指令可包括在處理配方之相對應的配方階段中。在一些例子中,可依序地設置處理配方階段,俾使用於處理階段之所有指令與該處理階段係同時執行。在一些實施例中,用以設定一或更多反應器參數之指令可包括在配方階段中。例如,第一配方階段可包括:用於設定惰性氣體及∕或反應物氣體(例如第一前驅物,例如為二矽烷)之流率之指令、用於設定載氣(例如,Ar)之流率之指令、以及用於第一配方階段之時間延遲指令。隨後的第二配方階段可包括:用於調控或停止惰性氣體及∕或反應物氣體之流率之指令、及用於調控載氣或吹淨氣體之流率之指令、及用於第二配方階段之時間延遲指令。第三配方階段可包括:用於設定惰性氣體及∕或反應物氣體(其可相同於或不同於在第一配方階段中所使用之氣體,例如電漿反應物,例如為氮)之流率之指令、用於調控載氣之流率之指令、及用於第三配方階段之時間延遲指令。第四配方階段可包括:用於調控或停止惰性氣體及∕或反應物氣體之流率之指令、用於調控載氣或吹淨氣體之流率之指令、及用於第四配方階段之時間延遲指令。應當了解,這些配方階段可在本揭示內容之範疇內以任何適當方式進一步再分割及∕或重複。
在一些實施例中,基座708可藉由加熱器710而控制溫度。此外,在一些實施例中,處理工作站700之壓力控制可藉由蝶形閥718而提供。如圖7之實施例中所示,蝶形閥718節流由下游真空泵(未顯示在圖7中)所提供之真空。然而,在一些實施例中,處理工作站700之壓力控制亦可藉由改變一或更多氣體導入至處理工作站700之流率而加以調整。
如上所述,一或更多處理工作站可包括於多工作站式處理工具中。 圖8顯示多工作站式處理工具800之實施例之概要圖,具有入站裝載室802及出站裝載室804,入站裝載室802及出站裝載室804其中任一者或兩者可包括遠端電漿源(未顯示在圖8中)。在大氣壓力下之機械臂806係用以將晶圓自卡匣(透過盒808而裝載)經由大氣埠810移動至入站裝載室802中。晶圓係藉由機械臂806而放置在入站裝載室802中之基座812上,關閉大氣埠810,且抽空裝載室。在入站裝載室802包括遠端電漿源之情況中,可使晶圓在被導入處理腔室814之前、在裝載室中暴露至遠端電漿處理。此外,基板或晶圓亦可在入站裝載室802中進行加熱,例如,以移除濕氣及吸附的氣體。接著,打開往處理腔室814之腔室傳送埠816,另一機械臂(未顯示在圖8中)將晶圓放置在反應器中且在第一工作站(顯示在用於處理之反應器中)之基座上,以進行處理。雖然圖8中所繪示之實施例包括裝載室,但應當了解,在一些實施例中,晶圓可直接進入處理工作站中。
在圖8所示之實施例中,所描繪的處理腔室814包括四處理工作站,編號為1到4。每一工作站具有加熱的基座(顯示於工作站1之818)、及氣體管線入口。應當了解,在一些實施例中,每一處理工作站可具有不同或多個目的。例如,在一些實施例中,處理工作站可在原子層沉積(ALD)及電漿加強ALD處理模式之間進行切換。在一些實施例中,暴露至沉積前驅物與暴露至第二反應物及電漿是在相同的工作站中實施。額外或替代地,在一些實施例中,處理腔室814可包括一或更多匹配成對的ALD及電漿加強ALD處理工作站。儘管所描繪的處理腔室814包括四工作站,但應當理解,根據本揭示內容之處理腔室可具有任何適當數目之工作站。例如,在一些實施例中,處理腔室可具有五或更多工作站,然而在其它實施例中,處理腔室可具有三或更少工作站。
圖8描繪晶圓搬運系統890之實施例,用以在處理腔室814中轉移晶圓。在一些實施例中,晶圓搬運系統890可在各種處理工作站之間及∕或在處理工作站與裝載室之間轉移晶圓。應當了解,可採用任何適當的晶圓搬運系統。非限制性範例包括晶圓旋轉架及晶圓搬運機械臂。圖8亦描繪系統控制器850之實施例,用以控制處理工具800之處理參數及硬體狀態。系統控制器850可包括一或更多記憶體裝置856、一或更多大容量儲存裝置854、及一或更多處理器852。處理器852可包括CPU或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器850控制處理工具800之所有活動。系統控制器850執行系統控制軟體858,系統控制軟體858係儲存於大容量儲存裝置854中、載入至記憶體裝置856中、以及在處理器852上執行。或者,可將控制邏輯硬編碼於控制器850中。為了這些目的,可使用特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘陣列,或FPGA)及類似者。在以下討論中,在使用「軟體」或「編碼」之任何情況中,可適當地使用功能上可比較的硬編碼邏輯。系統控制軟體858可包括用以控制以下者之指令:時序、氣體之混合、氣體流率、腔室及∕或工作站壓力、腔室及∕或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、夾盤及∕或托座位置、及藉由處理工具800而執行之特定處理之其它參數。系統控制軟體858可以任何適當的方式加以配置。例如,可撰寫各種處理工具元件子程序或控制物件,以控制用於實行各種處理工具處理之處理工具元件之操作。系統控制軟體858可以任何適當的電腦可讀程式語言加以編碼。
在一些實施例中,系統控制軟體858可包括輸入∕輸出控制(IOC)序列指令,用以控制上述之各種參數。在一些實施例中,可採用儲存於與系統控制器850相聯繫之大容量儲存裝置854及∕或記憶體裝置856上之其它電腦軟體及∕或程式。用於此目的之程式或程式片段之範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包括用於處理工具元件之程式碼,處理工具元件係用以將基板裝載至基座818上,並且控制在基板與處理工具800之其它零件之間之間距。
處理氣體控制程式可包括用以控制氣體組成(例如,如本文所述之TMA、氨、及吹淨氣體)及流率之編碼,以及選擇性地,用以在沉積之前使氣體流動至一或更多處理工作站中以穩定處理工作站壓力之編碼。壓力控制程式可包括用以控制處理工作站內壓力之編碼,其係藉由調節,例如,在處理工作站之排氣系統中之節流閥、進入處理工作站之氣體流動、等。
加熱器控制程式可包括用以控制至加熱單元之電流之編碼,加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱轉移氣體(例如,氦)至基板之傳送。
根據本文中之實施例,電漿控制程式可包括用以對施加至一或更多處理工作站中之處理電極之RF功率位準進行設定之編碼。
根據本文中之實施例,壓力控制程式可包括用以在反應腔室中維持壓力之編碼。
在一些實施例中,可具有與系統控制器850相聯繫之使用者介面。使用者介面可包括顯示螢幕、設備及∕或處理條件之圖形軟體顯示、以及使用者輸入裝置,例如指示裝置、鍵盤、觸控螢幕、麥克風、等。
在一些實施例中,由系統控制器850所調整之參數可能與處理條件有關。非限制性範例包括處理氣體組成及流率、溫度、壓力、電漿參數(例如,RF偏壓功率位準)、壓力、溫度等。這些參數可以配方之形式而提供給使用者,配方可利用使用者介面而輸入。
藉由系統控制器850之類比及∕或數位輸入連接,可自各種處理工具感測器而提供用以監控處理之訊號。用以控制處理之訊號可在處理工具800之類比及數位輸出連接上進行輸出。可受監控之處理工具感測器之非限制性範例包括質流控制器、壓力感測器(例如,壓力計)、熱偶等。適當編程之反饋及控制演算法可與來自這些感測器之資料一起用來維持處理參數。
系統控制器850可提供用以實施上述沉積處理之程式指令。程式指令可控制各種處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度、等。根據本文中所述之各種實施例,指令可控制參數,以操作膜堆疊之原位沉積。
典型地,系統控制器850將包括一或更多記憶體裝置、以及一或更多用以執行指令之處理器,俾使設備將執行根據所揭示的實施例之方法。機器可讀媒體可耦接至系統控制器850,該機器可讀媒體包括用以根據所揭示的實施例而控制處理操作之指令。
在一些實行例中,系統控制器850為系統之一部分,其可為上述範例之一部分。這樣的系統可包括半導體處理設備,包括一處理工具或複數處理工具、一腔室或複數腔室、用以進行處理之一平台或複數平台、及∕或特定的處理元件(晶圓基座、氣體流動系統、等)。這些系統可與電子元件整合,電子元件係用以於半導體晶圓或基板之處理之前、期間內、及之後控制它們的操作。電子元件可稱為「控制器」,控制器可控制一系統或複數系統之各種元件或子部分。根據處理參數及∕或系統類型,系統控制器850可被程式化,以控制本文中所揭示的任何處理,包括處理氣體之傳送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體傳送設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或裝載室。
廣義而言,系統控制器850可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包括儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至系統控制器850之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實施特定處理之操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。
在一些實行例中,系統控制器850可為電腦之一部分或耦接至電腦,該電腦與該系統整合、耦接至該系統、以其它方式網路連接至該系統、或其組合。例如,系統控制器850可在「雲端」或晶圓廠主機電腦系統之全部或一部分中,使得晶圓處理之遠端控制得以進行。該電腦可使得對系統之遠端控制得以進行以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設定在當前處理之後之處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者界面,該使用者介面使得參數及∕或設定之輸入或程式化得以進行,該參數及∕或設定接著從遠端電腦被傳遞至該系統。在一些範例中,系統控制器850接收數據形式之指令,指令為待於一或更多操作期間內執行之該等處理步驟其中每一者指定了參數。應當了解,該等參數可針對待執行之處理類型、及系統控制器850與其接合或對其進行控制之工具類型。因此,如上所述,系統控制器850可為分散式的,例如藉由包括以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這樣的目標之分散式控制器之範例將是腔室中之一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路相連通,而結合以控制腔室中之處理。
非限制性地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
如上所述,取決於待由工具所執行之處理步驟,系統控制器850可與下列之一或多者通訊:其它工具電路或模組、其它工具元件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。
用於實施本文中所揭示的方法之合適設備係進一步討論及描述於2011年4月11日所申請且發明名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」之美國專利申請案第13/084,399號(現為美國專利第8,728,956號)、及2011年4月11日所申請且發明名稱為「SILICON NITRIDE FILMS AND METHODS」之美國專利申請案第13/084,305號,每一者之全部內容係併入本文中。
本文中所述之設備∕處理可與,例如,用於加工或製造半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或處理一起使用。一般而言,雖然並非必要,但此類工具∕處理會在一共同的製造廠房中一起使用或進行。膜之微影圖案化通常包括下述操作之一些或全部,每一操作以幾個可能的工具而提供:(1) 在工作件(亦即,基板)上光阻之塗佈,使用旋塗式或噴塗式工具;(2) 光阻之固化,使用加熱板或加熱爐或UV固化工具;(3) 以工具(例如,晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如,濕式清洗台)選擇性地移除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方膜或工作件中;及 (6) 使用工具(例如,RF或微波電漿光阻剝除器)移除光阻。
結論
儘管上述實施例已為了清楚理解之目的而詳細地加以描述,但顯然地,在所附申請專利範圍之範疇中,可實行某些變更及修改。應當注意,有許多替代的方式來實施本案實施例之處理、系統及設備。因此,本案實施例應被視為是用於說明的而不是限制性的,且本案實施例不應被限制於本文中所提出之細節。
1‧‧‧處理工作站
2‧‧‧處理工作站
3‧‧‧處理工作站
4‧‧‧處理工作站
100A,100B‧‧‧方法
102‧‧‧操作
104‧‧‧操作
106‧‧‧操作
108‧‧‧操作
110‧‧‧操作
112‧‧‧操作
114‧‧‧操作
116‧‧‧操作
118‧‧‧操作
120‧‧‧操作
122‧‧‧操作
126‧‧‧操作
128‧‧‧操作
130‧‧‧操作
132‧‧‧操作
134‧‧‧操作
136‧‧‧操作
200‧‧‧原子層沉積(ALD)處理
210A,210B‧‧‧沉積循環
220A,220B‧‧‧含矽前驅物暴露階段
240A,240B‧‧‧吹淨階段
260A,260B‧‧‧氨(NH3)電漿暴露階段
280A,280B‧‧‧吹淨階段
300‧‧‧方法
302‧‧‧操作
304‧‧‧操作
306‧‧‧操作
308‧‧‧操作
310‧‧‧操作
400‧‧‧原子層沉積(ALD)處理
410A,410B‧‧‧沉積循環
420A,420B‧‧‧含矽前驅物暴露階段
440A,440B‧‧‧吹淨階段
460A,460B‧‧‧N2電漿暴露階段
480A,480B‧‧‧吹淨階段
500,500D,500E‧‧‧基板
502,502D‧‧‧特徵部
504‧‧‧薄膜
504D‧‧‧保形膜
508A,508B,508D,508E‧‧‧頂部區域
510A,510B,510D,510E‧‧‧底部區域
512,512D,512E‧‧‧側壁
600‧‧‧基板
602‧‧‧特徵部
604‧‧‧保形膜
608A,608B,608C,608D,608E,608F,608G,608H,608I‧‧‧頂部區域
610A,610B,610C,610D,610E,610F,610G,610H,610I‧‧‧底部
700‧‧‧處理工作站
701a‧‧‧反應物傳送系統
702‧‧‧處理腔室本體
703‧‧‧汽化點
704‧‧‧混合容器
706‧‧‧噴淋頭
707‧‧‧微容積
708‧‧‧基座
710‧‧‧加熱器
712‧‧‧基板
714‧‧‧射頻(RF)電源
716‧‧‧匹配網路
718‧‧‧蝶形閥
720‧‧‧混合容器入口閥
750‧‧‧電腦控制器
800‧‧‧多工作站式處理工具
802‧‧‧入站裝載室
804‧‧‧出站裝載室
806‧‧‧機械臂
808‧‧‧盒
810‧‧‧大氣埠
812‧‧‧基座
814‧‧‧處理腔室
816‧‧‧腔室傳送埠
818‧‧‧基座
850‧‧‧系統控制器
852‧‧‧處理器
854‧‧‧大容量儲存裝置
856‧‧‧記憶體裝置
858‧‧‧系統控制軟體
890‧‧‧晶圓搬運系統
圖1A為處理流程圖,關於沉積在特徵部上之保形膜之改質。
圖1B為處理流程圖,關於在保形膜之沉積時同時進行保形膜之改質。
圖1C為處理流程圖,關於形成氮化矽之方法之範例。
圖2顯示在保形膜沉積(CFD)處理中之示例性階段之時間進展。
圖3為處理流程圖,關於沉積保形膜在基板上。
圖4為時序圖,顯示複數循環之範例。
圖5A-5C為概要圖,關於運用指向性電漿以進行保形膜之改質。
圖5D及5E為實驗結果之影像。
圖6A-6D為概要圖,關於運用電漿在保形膜上。
圖6E-6I為實驗結果之影像。
圖7為概要圖,關於用於實施某些所揭示的實施例之示例性處理工作站。
圖8描繪多工作站處理工具之概要圖之範例。

Claims (18)

  1. 一種介電膜之幾何性選擇沉積之方法,該方法包括: 提供一基板,該基板具有從該基板之一表面凹陷之一特徵部,該特徵部具有一底部及從該底部延伸之一側壁; 使用一原子層沉積(ALD)處理以沉積一保形膜在該特徵部上; 藉由使該基板暴露至一指向性電漿而使沉積在該底部上之該保形膜進行改質,俾使在該底部上之該保形膜比在該側壁上之該保形膜較不緻密;及 優先對於沉積在該特徵部之該底部上之該已改質的保形膜進行蝕刻。
  2. 如申請專利範圍第1項之介電膜之幾何性選擇沉積之方法,其中該ALD處理係一電漿加強ALD(PE-ALD)處理,該PE-ALD處理在該保形膜之該沉積期間使用該指向性電漿。
  3. 如申請專利範圍第2項之介電膜之幾何性選擇沉積之方法,其中該沉積及該改質係同時進行。
  4. 如申請專利範圍第2項之介電膜之幾何性選擇沉積之方法,其中該PE-ALD處理包括複數循環之: (a) 使該基板暴露至一含矽前驅物,以形成該含矽前驅物之一吸附層在該基板表面上,及 (b) 使該吸附層暴露至該指向性電漿。
  5. 如申請專利範圍第4項之介電膜之幾何性選擇沉積之方法,其中該指向性電漿係由氨(NH3 )所產生,該保形膜係氮化矽(SiN)膜。
  6. 如申請專利範圍第4項之介電膜之幾何性選擇沉積之方法,其中該指向性電漿係由一含氧氣體所產生,該保形膜係氧化矽膜。
  7. 如申請專利範圍第4項之介電膜之幾何性選擇沉積之方法,其中該指向性電漿係由一含胺氣體所產生,該保形膜係碳化矽膜。
  8. 如申請專利範圍第1項之介電膜之幾何性選擇沉積之方法,其中該等已改質的區域之暴露至該指向性電漿將降低在該等已改質的區域處之該保形膜之碳含量。
  9. 如申請專利範圍第1項之介電膜之幾何性選擇沉積之方法,其中該指向性電漿係一含氧電漿,使在該底部上之該保形膜進行改質之步驟包括去除碳。
  10. 如申請專利範圍第1項之介電膜之幾何性選擇沉積之方法,其中該沉積的保形膜係選自於由氧化鉿(HfO2 )、氧化鋯(ZrO2 )及氧化鈦(TiO2 )所組成之群組。
  11. 如申請專利範圍第1項之介電膜之幾何性選擇沉積之方法,其中該蝕刻包括一濕蝕刻。
  12. 如申請專利範圍第1項之介電膜之幾何性選擇沉積之方法,其中該蝕刻包括一電漿蝕刻。
  13. 一種介電膜之幾何性選擇沉積之方法,包括: 提供一基板,該基板具有從該基板之一表面凹陷之一特徵部,該特徵部具有一底部及從該底部延伸之一側壁;及 藉由使該基板暴露至一指向性電漿,使用一原子層沉積(ALD)處理以沉積一保形膜在該特徵部上,俾使沉積在該底部上之該保形膜之厚度大於沉積在該側壁上之該保形膜之厚度。
  14. 如申請專利範圍第13項之介電膜之幾何性選擇沉積之方法,更包括: 優先對於沉積在該特徵部之該側壁上之該保形膜進行蝕刻。
  15. 如申請專利範圍第13項之介電膜之幾何性選擇沉積之方法,其中該ALD處理係一電漿加強ALD(PE-ALD)處理,該PE-ALD處理在該保形膜之該沉積期間使用該指向性電漿。
  16. 如申請專利範圍第15項之介電膜之幾何性選擇沉積之方法,其中該指向性電漿係由氮(N2 )所產生,該保形膜係氮化矽膜。
  17. 如申請專利範圍第13項之介電膜之幾何性選擇沉積之方法,其中該指向性電漿係由一電容耦合反應器(CCP)所提供。
  18. 如申請專利範圍第13項之介電膜之幾何性選擇沉積之方法,其中在該ALD處理期間,該指向性電漿不施加至該側壁。
TW107128760A 2017-08-18 2018-08-17 介電膜之幾何性選擇沉積 TW201920738A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/681,268 2017-08-18
US15/681,268 US10763108B2 (en) 2017-08-18 2017-08-18 Geometrically selective deposition of a dielectric film

Publications (1)

Publication Number Publication Date
TW201920738A true TW201920738A (zh) 2019-06-01

Family

ID=65361158

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107128760A TW201920738A (zh) 2017-08-18 2018-08-17 介電膜之幾何性選擇沉積

Country Status (5)

Country Link
US (1) US10763108B2 (zh)
KR (1) KR20200033978A (zh)
CN (1) CN111247269B (zh)
TW (1) TW201920738A (zh)
WO (1) WO2019036041A2 (zh)

Families Citing this family (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
WO2017056187A1 (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR20200028490A (ko) 2017-08-04 2020-03-16 램 리써치 코포레이션 수평 표면들 상에 SiN의 선택적인 증착
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10811269B2 (en) * 2018-02-19 2020-10-20 Tokyo Electron Limited Method to achieve a sidewall etch
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11293098B2 (en) * 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210032844A (ko) 2019-09-17 2021-03-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP7274039B2 (ja) * 2020-02-27 2023-05-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230158472A (ko) * 2021-03-22 2023-11-20 가부시키가이샤 코쿠사이 엘렉트릭 처리 방법, 반도체 장치의 제조 방법, 처리 장치 및 프로그램
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6723611B2 (en) 2002-09-10 2004-04-20 International Business Machines Corporation Vertical hard mask
JP4376715B2 (ja) 2004-07-16 2009-12-02 三洋電機株式会社 半導体装置の製造方法
US8985379B1 (en) 2004-07-27 2015-03-24 Ronald G. Evans Food plate and beverage container holder
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
DE102007004884A1 (de) 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US20110207323A1 (en) 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US8895379B2 (en) 2012-01-06 2014-11-25 International Business Machines Corporation Integrated circuit having raised source drains devices with reduced silicide contact resistance and methods to fabricate same
US9368579B2 (en) 2012-02-07 2016-06-14 The Board Of Trustees Of The Leland Stanford Junior University Selective area growth of germanium and silicon-germanium in silicon waveguides for on-chip optical interconnect applications
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10115601B2 (en) 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10529554B2 (en) * 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) * 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10319583B2 (en) * 2016-03-13 2019-06-11 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications

Also Published As

Publication number Publication date
US10763108B2 (en) 2020-09-01
WO2019036041A3 (en) 2019-04-04
KR20200033978A (ko) 2020-03-30
CN111247269A (zh) 2020-06-05
WO2019036041A2 (en) 2019-02-21
US20190057858A1 (en) 2019-02-21
CN111247269B (zh) 2023-02-17

Similar Documents

Publication Publication Date Title
TW201920738A (zh) 介電膜之幾何性選擇沉積
JP6710032B2 (ja) Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
JP7022537B2 (ja) プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
CN107680903B (zh) 用于半导体图案化应用的掺杂ald膜
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
TWI706049B (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
US10832908B2 (en) Self-aligned multi-patterning process flow with ALD gapfill spacer mask
CN103225071A (zh) 用于沉积无氯保形SiN 膜的方法
US20230154754A1 (en) Loss prevention during atomic layer deposition
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
US20230002887A1 (en) In-situ pecvd cap layer
TW202409322A (zh) 橫向間隙填充
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
TW202033806A (zh) 含金屬硬遮罩薄膜的選擇性生長