JP2022527468A - エッチングストップ層 - Google Patents

エッチングストップ層 Download PDF

Info

Publication number
JP2022527468A
JP2022527468A JP2021557622A JP2021557622A JP2022527468A JP 2022527468 A JP2022527468 A JP 2022527468A JP 2021557622 A JP2021557622 A JP 2021557622A JP 2021557622 A JP2021557622 A JP 2021557622A JP 2022527468 A JP2022527468 A JP 2022527468A
Authority
JP
Japan
Prior art keywords
sin
layer
deposited
nitride
sublayer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021557622A
Other languages
English (en)
Inventor
スクラヴェンディク・バート・ジェイ. ヴァン
ハマ・ソウマナ
ウー・カイ-リン
リ・ミン
サマンタレイ・マレイ・ミラン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022527468A publication Critical patent/JP2022527468A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

【解決手段】3D NAND階段のような構造の水平面のみに窒化ケイ素(SiN)を形成する方法を開示する。これにより、後に形成されるビアのためにランディングパッドをより厚くすることができる。いくつかの実施形態では、前記方法は、階段の上にSiN層を堆積した後、側壁面に対して水平面上のSiN層を選択的に高密度化するために処理することを含む。その後、ウェットエッチングを行い、側壁面からSiNを除去する。この選択的な処理により、ウェットエッチングレート(WERs)は水平面と側壁とでは大きく変わる。【選択図】図12

Description

[参照による援用]
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書にて明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
半導体デバイス製造には、フラッシュメモリの製造が必要である。デバイスの微細化に伴い、効率的かつ複数のメモリセルを製造するための構造を用いて、メモリデバイス内のメモリセルの密度を最大化する。3D NAND技術は、メモリセルを垂直方向に層状に積み重ねることにより、2次元NAND技術に関連する課題に対処する。
本明細書に含まれる背景技術および背景の説明は、本開示の内容を概ね提示することのみを目的とする。本開示の多くは、本発明者らの研究を提示するものであり、そのような研究が背景技術のセクションで説明されるか、もしくは本明細書の他の場所で背景として提示されるというだけでは、それが先行技術であると認められることを意味しない。
本開示の一態様は、露出した水平方向の窒化物表面と露出した酸化物側壁面および窒化物側壁面とを含む階段状のパターンで配置された交互の酸化層および窒化層を有する基板を形成することと、交互の酸化層および窒化層の上に窒化ケイ素(SiN)層を堆積することと、露出した水平方向の窒化物表面上に堆積されたSiN層を選択的に高密度化するためにSiN層を処理することとを含む方法に関する。
いくつかの実施形態では、前記方法は、個別のSiNパッドを形成するために処理されたSiN層をウェットエッチングすることをさらに含む。いくつかの実施形態では、個別のSiNパッドは、側壁面付近から少なくとも10nmである。いくつかの実施形態では、個別のSiNパッドは、少なくとも10nmの厚さである。いくつかの実施形態では、前記方法は、SiNパッドをタングステンランディングパッドと置き換えることをさらに含む。いくつかの実施形態では、堆積および処理動作は、同じチャンバ内にて行われる。
いくつかの実施形態では、SiN層を堆積することは、プラズマ励起化学気相堆積(PECVD)プロセスを含む。
いくつかの実施形態では、SiN層を処理することは、容量結合プラズマに基板を曝露することを含む。容量結合プラズマは、不活性ガスから生成されてもよい。
いくつかの実施形態では、堆積および処理動作を行うことは、コンフォーマルなSiN層の一部を堆積した後、堆積された部分を処理する複数のサイクルを行うことを含む。
いくつかの実施形態では、コンフォーマルなSiN層は、複数の副層を含み、少なくとも2つの副層は、異なるウェットエッチングレート(WERs)を有する。いくつかのこのような実施形態では、複数の副層のうちの1つは、他の副層または複数の副層の副層よりも低いWERを有するエッチングストップ(ES)副層である。いくつかの実施形態では、ES層は、ウェットエッチング液において50Å/min以下のWERを有する。いくつかの実施形態では、複数の副層のうちの1つは、ウェットエッチング液において少なくとも100Å/minのWERを有する副層である。いくつかの実施形態では、ES副層は、各々がES副層よりも厚く、ES副層よりも大きいWERを有する2つの副層との間に配置される。いくつかの実施形態では、ES副層は、SiN層の最上層である。いくつかの実施形態では、SiN膜は、シラン(SiH)およびアンモニア(NH)から堆積される。いくつかの実施形態では、SiHおよびNHは、窒素(N)をさらに含むプロセスガス内にある。いくつかの実施形態では、前記方法は、窒化層をタングステンワード線と置き換えることをさらに含む。
本開示の別の態様は、水平面および側壁面を有する基板を形成することと、水平面および側壁面の上に窒化ケイ素(SiN)層を堆積することと、水平面上に堆積されたコンフォーマルなSiN層を選択的に高密度化するためにSiN層を処理することとを含む方法に関する。いくつかの実施形態では、前記方法は、個別のSiNパッドを形成するために処理された層をウェットエッチングすることをさらに含む。
本開示のさらに別の態様は、水平面および側壁面を有する基板を形成することと、1つまたは複数の第1のサイクルを行い、第1の副層を形成することであって、1つまたは複数の第1のサイクルの各々は、PECVDによって水平面および側壁面上に一定量のSiNを堆積し、その堆積された量のSiNを不活性ガスから生成された容量結合プラズマに曝露することと、1つまたは複数の第2のサイクルを行い、エッチングストップ副層を形成することであって、1つまたは複数の第2のサイクルの各々は、PECVDによって第1の副層上に一定量のSiNを堆積し、低周波高周波(LFRF)電力を用いて、堆積された量のSiNを不活性ガスから生成された容量結合プラズマに曝露することを含む方法に関する。いくつかの実施形態では、1つまたは複数の第2のサイクルにおけるLFRF電力は、もしあるならば、1つまたは複数の第1のサイクルにおけるLFRF電力よりも大きい。
本開示の別の態様は、LFRFプラズマ生成器およびHFRFプラズマ生成器を含むPECVD堆積チャンバと、本明細書に開示の前記方法のいずれかを行うための命令を含むコントローラとを含む装置に関する。
本開示のこれらの態様および他の態様は、図面を参照して以下の説明にて述べられる。
図1Aは、特定の実施形態による方法に関する動作を示すプロセスフロー図である。
図1Bは、3-D NAND構造を形成する方法のための動作を示すプロセスフロー図である。
図2は、パターニング形式における基板の模式図である。 図3は、パターニング形式における基板の模式図である。 図4Aは、パターニング形式における基板の模式図である。
図4Bは、図4Aに示す基板の部分図である。
図5Aは、パターニング形式における基板の模式図である。 図6Aは、パターニング形式における基板の模式図である。 図7Aは、パターニング形式における基板の模式図である。 図8は、パターニング形式における基板の模式図である。 図9は、パターニング形式における基板の模式図である。
図5Bは、図5Aにて示した基板の模式図の側面図である。 図6Bは、図6Aにて示した基板の模式図の側面図である。 図7Bは、図7Aにて示した基板の模式図の側面図である。
図10は、特定の実施形態に従った方法に関する動作を示したプロセスフロー図である。
図11は、パターニング形式における基板の模式図である。 図12は、パターニング形式における基板の模式図である。 図13は、パターニング形式における基板の模式図である。 図14は、パターニング形式における基板の模式図である。 図15は、パターニング形式における基板の模式図である。
図16は、異なるウェットエッチングレート(WERs)を有する副層を含む様々な窒化ケイ素(SiN)のスタックの例を示す。
図17は、特定の実施形態によるSiNパッドのターゲット分離(S)および厚さ(T)を示す。
図18は、特定の実施形態による方法に関する動作を示すプロセスフロー図である。
図19は、特定の実施形態に従って形成されたSiNパッドに関する分離および残りの厚さ対エッチング時間を示すグラフである。
図20は、特定の開示された実施形態を行うための例示的なプロセスチャンバの概略図である。
図21は、特定の開示された実施形態を行うための例示的なプロセスツールの模式図である。
以下の説明では、提示した実施形態の理解を提供するために、多数の具体的な詳細が示される。本開示の実施形態は、これらの具体的な詳細の一部または全部がなくても実施されてもよい。他の例では、本開示の実施形態を不明瞭にしないために、周知のプロセス動作は、詳細には説明されていない。さらに、本開示の実施形態は、特定の実施形態に関連して説明されるが、特定の実施形態は、本開示の実施形態を限定することを意図されたものではないことが理解されるであろう。
以下に開示した実施態様では、ウエハ、基板、または他のワークピースなどの基板上への材料の堆積を説明する。ワークピースは、様々な形状、サイズ、および材料であってもよい。 本願では、「ウエハ」および「基板」という用語は、互換的に使用される。
半導体製造には、しばしばメモリデバイスの製造が必要である。一例としては、3D NAND、「Vertical NAND」(VNAND)とも呼ばれる構造の製造が挙げられる。ただし、3D NAND構造を形成するための既存の技術は、メモリ層の数を増加させるという垂直方向のスケーリングに限定される。1ビットあたりの所望のスケーリングコストを得るためには、層数の増加に比例してプロセスステップ数が増加しないことが重要である。
3D NAND技術では、階段状のパターンで配置された交互の酸化層および窒化層の上に、酸化物フィラーが堆積される。その後、窒化層は一般に、タングステンを含む金属膜に置き換えられ、ワード線を形成する。その後、酸化物フィラーにビアが形成される。ビアは垂直方向に延び、タングステンワード線に接触し、そのタングステンワード線は次に、階段の段版を形成する。ビアにタングステンなどの金属が堆積され、タングステンワード線に向かって延びて接触する配線を形成する。3D NANDの規模に応じて、階段の段板が増え、深さが増す。また、メモリスタックの全体の高さを低くするためには、ワード線を細くすることが望ましい。階段の段板を形成するワード線は、ビアエッチングに対するエッチングストップの役割も果たす。コストの理由により、1回のマスキングおよびエッチングストップでビアを形成することが望ましい。ただし、階段がより深くなり、ワード線がより細くなると、スケーリングによる要求に応じて、上部のワード線が底部のワード線に到達するのに必要な長いオーバーエッチングに耐えることがますます困難になる。したがって、階段上のより高い位置にあるワード線上の接触面は、階段状のパターンの底部に位置するワード線に対してオーバーエッチングされる可能性がある。その結果、エッチングは、それらのワード線を突き抜けて、下にある別のワード線に到達する可能性がある。その後、ビアに金属が充填されると、これらのワード線は、ショートすることになり、歩留まり損失が生じる。
3D NAND階段の水平面のみに窒化ケイ素(SiN)を形成するために提供する方法および関連する装置を開示する。これにより、後に形成されるビアのためにランディングパッドをより厚くすることができる。いくつかの実施形態では、前記方法は、階段の上にSiN層を堆積した後、側壁面に対して水平面上のSiN層を選択的に高密度化するために処理することを含む。その後、ウェットエッチングを行い、側壁面からSiNを除去する。この選択的な処理により、ウェットエッチングレート(WERs)は水平面と側壁とでは大きく変わる。ウェットエッチング後、水平面上にSiN層が残り、側壁から除去される。その後の処理にて、SiN層をタングステン(W)で置き換えると、厚いWパッドが形成される。以下に前記方法およびツールが3D NAND構造において窒化層上にSiNパッドを形成するという文脈で説明されているが、水平面上に選択的にSiNを堆積することが求められる任意の用途に使用されてもよい。
SiNに加えて、以下に説明する方法をシリコン酸窒化(SiON)膜の形成に適用してもよい。さらに、パッドは、適用可能な任意の酸化膜、窒化膜、炭化膜、酸窒化膜、酸窒化炭化膜、または酸炭化膜から形成されてもよい。
図1Aは、本明細書に記載の方法に従って行われる動作のプロセスフロー図を示す。まず、動作103にて、水平面および垂直面(側壁面とも呼ばれる)を有する構造の上に、SiNの層が堆積される。様々な実施形態によれば、動作103は、プラズマ励起化学気相堆積(PECVD)、プラズマ励起原子層堆積(PEALD)、または熱原子層堆積(ALD)を含むことができる。コンフォーマルな堆積が可能な他の堆積技術を使用してもよい。階段構造上への堆積の場合、堆積された総厚さは、以下のターゲット1)(タングステンランディングパッドの厚さを決定する)ウェットエッチング後の水平面上の残りのSiNの厚さ、2)階段構造の側壁からSiNの最小距離、ならびに3)(1)および(2)を達成するためのオーバーエッチングの欠如のうち、1つまたは複数のターゲットに依拠する可能性がある。様々な実施形態によれば、厚さは、300Å~900Åの間であってもよい。
SiN膜は、構造の水平面および垂直面の両方に堆積する。堆積方法に応じて、側壁面上の厚さ対水平面上の厚さにいくつか差異がある場合があるが、概して、SiN膜は、構造に対してコンフォーマルである。なお、本明細書にて使用される「垂直」という用語は、完全な垂直面だけでなく、平面から約90度も含むことに留意されたい。例えば、垂直面は、90度から+/-10度または、+/-5度または、+/-1度または、+/-0.5度の範囲内である。同様に、水平面は、180度から+/-5度または、+/-1度または、+/-0.5度で変化してもよい。
PECVDを用いて、比較的高速な堆積を形成できる。いくつかの実施形態では、シラン(SiH)とアンモニア(NH)をPECVD堆積にて反応させて、SiNを形成してもよい。窒素(N)もしくはアルゴン(Ar)またはヘリウム(He)などの不活性ガスをキャリアガスとして用いてもよい。他のシリコン含有前駆体を用いて、SiNを堆積してもよい。ただし、有機シランに限定されない。同様に、Nなどの他の窒素含有ガスを共反応剤として適宜用いてもよい。
動作105では、窒化ケイ素膜は、水平面を選択的に高密度化するために処理される。この文脈において、選択的に高密度化するとは、水平面上のSiN膜を高密度化する一方で、垂直面上のSiN膜は高密度化されないか、もしくは著しく少ない程度に高密度化されることをいう。動作105では、堆積された膜を不活性ガスプラズマに曝露することを含むことができる。PECVD反応またはPEALD反応の場合、これは、堆積チャンバ内にて行われてもよい。いくつかの実施形態では、基板にバイアス電圧を印加して、プラズマの指向性を高めてもよい。ただし、この方法は、バイアスなしで行われてもよい。例えば、基板にバイアスを印加しない容量結合型in-situ Arプラズマは、水平面上の膜を選択的に高密度化することが実証されている。
動作103および105は、複数の散在した段階にて行われてもよいことに留意されたい。すなわち、第1の量の窒化ケイ素を堆積した後に処理し、第2の量の窒化ケイ素膜を堆積した後に処理するなど、SiN膜の全厚さが形成されるまで行ってもよい。これは、処理が制限された浸透深さを有するとき、膜の全厚さを確実に処理するのに有効である。
次に、動作107では、ウェットエッチングを行い、垂直面からSiN膜を選択的に除去する。希フッ化水素酸(DHF)を用いてもよいが、リン酸などの他のウェットエッチング液を用いてもよい。以下でさらに説明するように、いくつかの実施態様では、SiN層は、異なるWERsを有する複数の副層を有してもよい。
図1Aの方法は、3D NAND構造を形成する方法の一部として行われてもよい。図1Bは、3D NAND構造を形成する方法に従って行われる動作のプロセスフロー図を示す。動作182では、基板が形成される。様々な実施形態では、基板は、半導体基板である。基板は、シリコンウエハ、例えば、200mmウエハ、300mmウエハ、または450mmウエハであってもよく、上に堆積される誘電性材料、導電性材料、または半導電性材料などの材料の1つまたは複数の層を有するウエハを含む。図2では、例示的な基板100は、模式図として提供される。
以下の説明では、主にタングステンワード線およびビアを有する3D NAND構造に言及しているが、他の金属を用いてもよいことに留意されたい。例えば、モリブデン(Mo)を堆積し、ワード線およびビアを形成してもよい。
図1Bに戻ると、動作184では、交互の酸化膜および窒化膜の膜スタックが基板上に堆積される。様々な実施形態では、堆積された酸化層は、酸化ケイ素層である。様々な実施形態では、堆積された窒化層は、窒化ケイ素層である。
各酸化層および窒化層は、約10nm~約100nmの間、いくつかの実施形態では、例えば、約25nm~35nmの間など、ほぼ同じ厚さに堆積されてもよい。酸化層は、およそ室温~約700度の間の堆積温度で堆積されてもよい。本明細書にて使用される「堆積温度」(または「基板温度」)は、基板を保持する台座が堆積中に設定される温度を指すことが理解されるであろう。
交互の酸化膜および窒化膜スタックを形成するための酸化層および窒化層は、ALD、PEALD、化学気相堆積(CVD)、PECVD、またはスパッタリングなどの任意の適切な技術を用いて堆積されてもよい。様々な実施形態では、酸化層および窒化層は、PECVDによって堆積される。
膜スタックは、例えば、48層~512層の間の交互の酸化層および窒化層を含んでもよく、より多くの交互層を含むことも可能である。各酸化層または窒化層は、1つの層を構成する。交互の酸化層および窒化層を含む膜スタックは、酸化物-窒化物-酸化物-窒化物(ONON)スタックと呼ばれてもよい。
図3は、交互の酸化膜101および窒化膜102が基板100上に堆積された基板100の例示的な模式図を示す。なお、図3にて示す構造では、最初に酸化物が堆積され、続いて窒化物、酸化物、窒化物などが堆積されているが、最初に窒化物が堆積され、続いて酸化物、窒化物、酸化物などが堆積されてもよい。
ONONスタックの堆積に続いて、図1Bを参照すると、動作186では、階段状のパターンが基板上に形成される。本明細書にて言及される「階段状のパターン」とは、2つ以上の段を言い、各段は、酸化層および窒化層を含む。階段の段の形成に関して酸化層および窒化層の各セットの最上層は、酸化層または窒化層のいずれか一方であってもよいことが理解されるであろう。様々な実施形態では、階段状のパターンは、24~256の間の段を含む。階段状のパターンを様々なパターニング技術を用いて形成してもよい。1つの技術は、基板の上に犠牲層を堆積することと、基板の領域をマスキングして、酸化層および窒化層の各セットをエッチングし、階段を形成することとを含む。別の技術は、フォトレジストをパターニングすることと、エッチングすることと、レジストをトリミングすることと、次にレジストがかなり薄くなるまで何度もエッチングおよびトリミング動作を繰り返すこととを含む。
図4Aは、最上層の窒化層の上にハードマスク110を備える酸化層111および窒化層112の階段状のパターンを含む基板100の一例を提供する。図4Aは、階段状のパターンの4つの段を示すが、階段状のパターンは、24段~256段の間の段など、任意の数の段を有してもよいことが理解されるであろう。各段は、窒化層および酸化層を含む。上の段の端から延びる各段の領域は、段の「露出した」領域、段の最上層、または上に堆積するのに適した部分を指してもよい。図に示すように、露出した領域は、窒化物である。
階段状のパターンの階層構造を強調するために、図4Aにて示した階段状のパターンを、例えば、階段の幅広方向に2分した図199を図4Bに示す。酸化層111は、窒化層112と平行に、かつ窒化層112との間に配置される。1つの酸化層111の後に1つの窒化層112が続く各セットは、そのすぐ上のセットよりも長いため、露出した領域を有する階段状のパターンが形成される。
いくつかの実施形態では、図1Bの動作188において、酸化物は、基板の上に堆積される。酸化物は、ONONスタックの層に堆積された酸化物と同じまたは異なる組成であってもよい。様々な実施形態では、基板の上に堆積された酸化物は、ONONスタックの酸化層を堆積させるのに用いられる堆積温度と同じまたは異なる堆積温度で堆積される。堆積温度は、室温~約600度の間であってもよい。酸化物を堆積し、平坦化した後、続いて垂直スリットを基板にエッチングしてもよい。
図5Aは、ONON階段、ハードマスク110、および基板の上に堆積された酸化物122を含む例示的な基板100を示す。図5Bは、垂直スリット135がエッチングされ、ハードマスク110が除去された後の基板100の側面図を示す。
動作190では、基板上の酸化物に対して窒化物を選択的にエッチングする。エッチングは、選択的ドライエッチングプロセスを用いて、例えば、基板を以下のガスのいずれか1つまたは複数に曝露することによって行われてもよい。そのガスとは、塩素(Cl)、酸素(O)、亜酸化窒素(NO)、テトラフルオロメタン(CF)、四フッ化硫黄(SF)、二酸化炭素(CO)、フルオロメタン(CHF)、三フッ化窒素(NF)、窒素(N)、水素(H)、アンモニア(NH)、メタン(CH)、六フッ化硫黄(SF)、アルゴン(Ar)、硫化カルボニル(COS)、二硫化炭素(CS)、硫化水素(HS)、および一酸化窒素(NO)である。動作190では、階段状のパターンにて形成された垂直スリットにエッチング種を流入し、窒化物を選択的にエッチングして、ONONスタックから窒化層を除去する。選択的エッチングには、第1の材料を第2の材料のエッチングよりも速い速度でエッチングする必要があることが理解されるであろう。例えば、酸化物に対して窒化物を選択的にエッチングすることは、酸化物のエッチングよりも速い速度で窒化物をエッチングすることを意味する。リン酸(HPO)、希フッ化水素酸(「DHF」)、またはこれらの溶液の混合物に基板を曝露することなどによる、ウェットエッチングプロセスを用いて窒化物を選択的にエッチングすることもできる。ただし、窒化物を選択的に除去すると、各階段の端にある酸化物界面など、様々な界面において酸化物材料が劣化し、除去されるおそれがある。これは、図6Aに関して以下でさらに説明する。
図6Aは、窒化層112のエッチングから形成された水平方向の隙間132を有する基板100の例示的な模式図を示す。170において円で示された拡大図にて示すように、エッチング動作中にエッチング種が隙間132に流入して酸化物をエッチング除去するため、隙間134は、酸化物界面で形成される可能性がある。図6Bは、基板の断面の側面図であり、これによって隙間132が、窒化物を選択的にエッチングすることから形成されることを示す。
図1Bに戻ると、動作192では、タングステンは、基板の隙間に堆積され、タングステンワード線を形成する。タングステンは、ALD、CVD、PEALD、およびPECVDなど、任意の適切な技術によって堆積されてもよい。バルクタングステンを堆積する前に、1つまたは複数の追加層が堆積されてもよい。例えば、ブロッキング酸化物としてアルミナ(Al)層が堆積され、次に窒化チタン(TiN)バリア層、およびタングステン核形成層が堆積されてもよい。
図7Aは、堆積されたタングステンワード線140を含む基板100の一例を示す。ただし、170において拡大図で示すように酸化物界面での酸化物の劣化により、タングステンは、141で隙間を充填し、それによって2本のワード線140を接続し、ショートを引き起こす可能性がある。図7Bは、先に窒化物が位置していた隙間内にタングステン140が堆積された状態を側面から見た断面であり、図7Aの基板の模式図を示す。
図1Bに戻り、動作194では、酸化物は、垂直方向にエッチングされ、ビアを形成する。 酸化物は、以下のガス、O、Ar、C、C、SF、CHF、およびCFの1つまたは複数などのエッチング液への曝露を用いたドライエッチングによってエッチングされてもよい。図8は、階段状のパターンのONONスタック、それによってビア137が酸化物122にエッチングされることを含む例示的な基板100を示す。ただし、タングステンワード線層の相対的な薄さと、最も深いビア(例えば、137b)に対して十分に確実に垂直方向にエッチングするために使用される長時間のエッチングにより、エッチング種は、酸化物の浅い部分に対してエッチングされたビア(例えば、137a)に流入し、したがって、タングステン層136を通ってエッチングし、さらには別の酸化層138を通ってエッチングする。先に述べたように、このような現象は、一般には望ましくなく、意図されたタングステンワード線の接触点または層の下に配置された層に対する「パンチスルー」、または「ブレークスルー」と呼ばれる。
図1Bでは、動作196において、タングステンは、ビアに堆積され、タングステンワード線への配線を形成する。ただし、図9に示すように、深いビアをエッチングするために使用される持続時間により、浅いビアが下の層へのブレークスルーを引き起こしたので、タングステンはビアを充填し(充填されたタングステンビア142を参照)、図9の172にて丸で囲んだようにショートを引き起こす。ビアの深さは異なり、約1ミクロン~約14ミクロンの間、またはそれ以上の深さを有してもよい。浅いビアは最上部にあり、100nm未満の深さを有してもよい。深いビアは、3.0ミクロンを超える深さを有してもよい。酸化物に形成されたビアの臨界寸法は、約50nm~約500nmの間であってもよい。ビアは、酸化物をパターニングするためのマスキング動作を含むドライエッチングプロセスを用いてエッチングされてもよい。
3D NAND構造を形成する際の課題として、異なる深さのビアをエッチングする際の、タングステンワード線のパンチスルーが挙げられる。基板の領域をマスクし、異なる深さのビアをエッチングするために、様々な化学物質およびパターニングプロセスを用いる大規模なエッチング技術は、処理能力を低下させ、製造プロセスの効率を低下させる可能性がある。
本明細書では、これらの課題に対処する3D NANDを形成するための方法および装置を提供する。前記方法は、階段状のパターンの窒化層の露出した水平部分上にSiNを堆積し、SiNパッドを形成することを含む。露出した窒化物側壁面上および酸化物側壁面上に堆積された材料は、ウェットエッチングプロセスによってエッチングされる。階段状のパターンの窒化層ならびに各窒化層上に形成されるSiNパッドは、酸化層に対して選択的にエッチングされ、SiNパッドの位置に対応する水平方向の隙間および空所領域を形成する。タングステンは、水平方向の隙間および空所領域を充填し、タングステンワード線およびワード線上のランディングパッドを形成する。ランディングパッドの各々は、エッチングストップ層としてもしくは配線がタングステンワード線を突き抜けるのを防ぐ保護バリアとして機能するのに十分な厚さを有する。
図10は、いくつかの実施形態に従って行われる方法に関する動作のプロセスフロー図である。図10に示す方法は、動作1014においてランディングパッドの形成をもたらす。ランディングパッドの各々の厚さは、動作1014においてランディングパッドと共に形成されたワード線を介した配線のパンチスルーに対する継続的な保護を提供する。いくつかの実施形態では、動作1002および1004は、それぞれ、図1Bにて先に提示した動作182および184と同じであるか、または類似していてもよい。動作1006では、階段状のパターンは、基板上に形成される。動作1006は、上述した図1Bの動作186と同じであるか、または類似していてもよい。
動作1008では、動作1010にて階段状のパターンの上に酸化物を堆積させる前に、階段状のパターンの各窒化層で、窒化層の露出した水平面上にSiNを選択的に形成し、SiNパッドを形成する。図1Aにて説明したような方法を用いてもよい。SiNの選択的形成のさらなる例を以下に説明する。
動作1008の後、酸化物フィラーとも呼ばれる酸化物は、動作1010で窒化層上に形成されたSiNパッドを含む階段状のパターンの上に堆積される。動作1012では、各窒化層から延びるSiNパッドを備える窒化層は、酸化層および酸化物フィラーに対して選択的にエッチングされ、図6Aおよび6Bに示すものと同様に、階段状のパターンの酸化層との間に水平方向の隙間を生成する。水平方向の隙間は、後に動作1014でギャップフィル動作によってタングステンで充填され、タングステンワード線を形成し、SiNパッドをタングステンランディングパッドのタングステンと置き換える。ランディングパッドは、図14に示すように、ワード線上に形成され、例えば、ワード線140上にランディングパッド180が形成される。この動作は、図1Bの動作192に関して上述したように、任意の技術またはプロセス条件を用いて行ってもよい。SiNパッドをエッチングして生じた空所領域は、タングステンワード線の形成中の動作1018の間にタングステンで充填され、タングステンワード線上にランディングパッドを形成する。
次に、動作1016にて酸化物122をエッチングして、ビアを形成する。例えば、ビアは、酸化物を通って垂直方向にエッチングされ、例えば、図8に示すものと同様に、ワード線から延びるランディングパッドに接触して終了する。したがって、複数のビアは、階段状のパターン上に形成された各々のランディングパッドに延びている。階段状のパターンの底部付近のワード線に接触するためにより長いビアを形成することは、階段状のパターンの上部付近のワード線に接触するためにより短いビアを形成するのに必要な時間と比較して、比較的長いエッチング時間を要する可能性がある。したがって、階段状のパターンの底部付近のワード線に延びる長いビアを形成するためにエッチング時間を長くすると、階段状のパターンの上部にあるワード線に接触するように意図されたビアが、このようなワード線を突き抜ける可能性がある。図10に示すプロセスでは、各ワード線上にランディングパッドを形成することによって、このようなワード線を突き抜けるビアに対して保護する。各ランディングパッドは、ランディングパッドが形成されるワード線を突き抜ける前に、貫通を防ぐための追加材料を提供する。
動作1018で、タングステンは、ビアに堆積され、酸化物フィラーを通ってランディングパッドに接触するまで延びる配線を形成する。ワード線を通るビアのパンチスルーに対する保護の提供に加えて、ランディングパッドはまた、動作1020においても同様に、ワード線を通る配線のパンチスルーに対して保護する。
図10の動作1008は、図11~18に関してさらに図示され、説明される。いくつかの実施形態では、動作1008は、PECVDプロセスを含む。PECVDプロセスは、高密度プラズマ化学気相堆積(HDP CVD)プロセスとは異なる。PECVDプロセスは、容量性結合プラズマ(CCPs)を使用し、HDP CVDプロセスは、誘導結合プラズマを使用する。誘導結合型のHDP CVDプロセスの条件および得られる膜は、容量結合型のPECVDプロセスとは異なる。CCPプロセスでは、2つの電極間でプラズマを点火する。ICPプロセスでは、コイルの一端にRFを印加し、他方の端をアース端子に保持する。コイルに電流を流すことにより、プラズマを発生させる。am HDP反応器に対する周波数の例として、コイルに対するプラズマ周波数は400kHz、ウエハを搭載する台座に対する周波数は13.56MHzが挙げられる。PECVDプロセスでは、例示的な周波数は、最大100MHzであってもよく、例えば、シャワーヘッドまたは台座電極のいずれか一方に印加されるとき、13.56MHzまたは27MHzの周波数である。長波の高周波(例えば、400kHz)をシャワーヘッドまたは台座電極の他方に印加してもよい。いくつかの実施態様では、PECVDプロセスにおいて、RF電力をパルス化し、段差被膜を向上させる。
生成されたプラズマは異なり、イオン密度およびイオンのエネルギー分布が主な違いである。例えば、HDP反応器は、PECVD反応器よりも1011イオン/cmを超える高いプラズマ密度を有する。HDPは一般に、より狭いイオンのエネルギー分布を有する。
容量結合型プラズマ反応器では、13.56MHzのプラズマ周波数を利用して、印加されるプラズマを生成する。HDP反応器におけるイオンエネルギーは、PECVD反応器よりも大きくてもよい。その結果、HDP CVD反応器にて堆積された膜の組成および特性は、PECVD反応器にて堆積された膜とは異なる。
図10の動作1008に関して説明したように、露出した水平方向の窒化物表面上にSiNパッドを形成するために堆積されるSiNは、シリコン含有前駆体および窒素源をPECVDチャンバに流入することによって形成されてもよい。図11は、階段構造の上に堆積されたコンフォーマルなSiN層1120の一例を示す。図11の例では、階段構造は、複数の酸化層/窒化層の対を含む。前記方法は、単一の対の段を含む階段構造にも適用されてもよい。図12は、SiN水平面よりも高いWERを有する側壁SiN1122で処理した後のコンフォーマルなSiN層1120を示す。
SiN層は、構造の水平面および垂直面の両方に堆積するという点でコンフォーマルである。ステップカバレッジ(例えば、水平方向の厚さに対する側壁の厚さの比率)を高くすれば、後続のエッチングにおいて側壁からのパッドの良好な分離に寄与することができる。いくつかの実施形態では、側壁と水平方向の厚さの比率(構造全体の平均値または特定の階段のいずれか一方)は、少なくとも0.7(70%ステップカバレッジ)または0.8(80%ステップカバレッジ)である。ALDプロセスを用いる場合、ステップカバレッジは、100%に近いか100%になり得る。本明細書に記載のPECVDプロセスでは、少なくとも70%~90%のステップカバレッジが達成される可能性がある。
図13は、各窒化層112から延びているSiNパッド182を示す。各SiNパッド182は、各パッドSiNがその窒化層112の端上にノッチを形成するように、酸化物側壁面128から離間して配置される。
次に、図14を参照すると、窒化層112およびその上に堆積されたSiNパッド182は、それぞれ、基板上の酸化物に対してエッチングされる。動作190に関する説明のように、エッチングは実質的に行われ、図1Bに示すように、エッチング液種が垂直スリットに流入して窒化物を選択的にエッチングするように、ONONスタックのスリットを通ってエッチング液種を垂直に流すことによって、窒化層112を除去する。窒化物は、リン酸(HPO)、または希フッ化水素酸(「DHF」)、またはこれらの溶液の混合物に基板を曝露することなどによる、ウェットエッチングプロセスを用いて選択的にエッチングされてもよい。例えば、図6Aは、窒化物をエッチングして形成された水平方向の隙間132を備える基板100の例示的な模式図を示す。
窒化層112およびSiNパッド182に見られる窒化物の選択的エッチングに続いて、図14に示すように、タングステンは、基板の隙間に堆積され、タングステンワード線140およびランディングパッド180をそれぞれ形成する。図6Aに示した隙間132と同様に、水平方向の隙間は、タングステンで充填され、タングステンワード線を形成する。同様に、SiNパッド182のエッチングから生じるボイドは、タングステンで充填され、ランディングパッド180を形成する。図8および図9を参照して一般的に示し、述べたものと同様に、酸化物122は、垂直方向にエッチングされ、ビアを形成し、後にタングステンで充填され、図15に示すように、配線142を形成する。図1Bの動作194について説明したように、酸化物122は、O、Ar、C、C、SF、CHF、およびCFのうちの任意の1つまたは複数への曝露によって実施されるドライエッチングによってエッチングされてもよい。
上述し、図14に示すように、ランディングパッド182の形成は、図9に示したパンチスルーに対して保護する。各ワード線140上の各ランディングパッド182の深さは、図15に示すように、ワード線140の下まで突き抜ける前に、配線142が貫通しなければならない追加のタングステンを提供する。したがって、ランディングパッド182を使用することにより、パンチスルー状態の可能性は、非常に小さくなる。したがって、ONONスタックの全体的な構造的な完全さが維持される。
いくつかの実施形態では、SiN層は、2つ以上の副層を含んでもよい。副層のうちの1つは、非常に低いWER(例えば、30Å/minを超えない)を有し、エッチングストップ(ES)層と呼ばれてもよい。別の副層は、側壁の除去と分離を高速で行うために、より高いエッチング比(例えば、70Å/minより高い、100Å/minより高い、または120Å/minより高い)を有してもよい。図16は、副層の異なるスタックの例を含む。1610では、副層1601、1602、1603、および1604を含むスタックが示される。副層1602は、ES層であり、副層1601、1603、および1604より低いWERを有する。1620において、スタックは、層1601、1602、および1603を含み、副層1602がES層である。いくつかの実施形態では、1630および1640で示すように、ES層は最上層であり、副層1601がES層である。ES層が最下層である可能性はあるが、非ES層がより速く形成されるため、非ES層が残りのSiN厚さの大部分を構成することは、好都合となり得る。
ES層は、堆積SiN層の全体的な厚さの少量を占めてもよい。例えば、約600Åの堆積に対して、わずか40Åであってもよい。このような副層を用いることにより、スタック内の他の層により高いWERを使用できる可能性があり、高速の側壁除去および側壁からの分離が可能となる。
図16では、副層1601、1602、1603、および1604のうちの任意の2つは、同じまたは異なるWERを有してもよい。各副層はまた、633nmにおけるその屈折率(RI)によって特徴付けられてもよい。処理動作を適切に変化させることにより、異なるWERsおよび/またはRIsを達成してもよい。1つまたは複数のより長い処理時間および、CCP処理の場合は、処理プラズマを生成するために低周波(LF)電力を追加することにより、より低いWERsを達成できる。また、処理中にチャンバ圧力を下げて、WERを低くしてもよい。また、堆積条件を用いて、特定のWERを達成してもよい。例えば、SiHおよびNHからのSiNのPECVD堆積中に、温度を下げ、Nキャリアガス流を下げることにより、WERを高めることができる。したがって、一例では、1610で示したスタックは、
副層1604―145Å/minよりも大きいWERと、
副層1603および1601―120Å/minより大きいWERと、
副層1602(ES)―40Å/min未満のWERとであってもよい。
いくつかの実施形態では、1つまたは複数の副層は、高いWERの場合後処理を行わずに堆積されてもよい。
また、ガス組成、RF周波数、シャワーヘッドと台座間の隙間、および温度を変化させることによって、差異のあるエッチング速度を達成できる。(エッチング前の)全体の厚さと同様に、SiN層のWER、または該当する場合の各副層のWERは、以下のターゲット1)(タングステンランディングパッドの厚さを決定する)ウェットエッチング後の水平面上に残るSiNの厚さ、2)階段構造の側壁からSiNの最小距離、3)(1)および(2)を達成するためのオーバーエッチングの欠如に依拠する可能性がある。図17は、堆積および処理後のSiNパッドの例を示す。分離(S)および厚さ(T)は、ラベル付けされている。いくつかの実施形態では、Sは10nm~60nmの範囲、およびTは10~40nmの範囲であってもよい。オーバーエッチングせずに、すなわち、構造の上部からSiNをエッチングせずにこれらを達成してもよい。
図18は、1620で示すように、2つのより高いWER層との間に挟まれたES層を含む3層構造を有するSiN層の形成の一例を示す。当業者であれば、図18の例を変更して他のSiN層を形成する方法を理解するであろう。前記方法は、1802において、窒化ケイ素膜の第1の部分を堆積することによって始まる。第1の部分は通常、後続の処理の侵入深さを超えないように十分に薄い。例示的な厚さは、10Å~50Åの範囲とすることができるが、厚さは特定の処理に依存することが理解されるであろう。上述のように、堆積条件を変えて、特定のWERsを達成できる。堆積条件には、基板温度、チャンバ圧力、反応ガスおよびキャリアガスの組成および流量、HF/LF電力が挙げられる。PECVDの場合、例示的な温度は、100℃~600℃の範囲とすることができ、例示的な圧力は、5Torr~20Torrの範囲(PECVDの場合比較的高い)とすることができ、例示的な堆積化学物質は、SiHおよびNHであり、キャリアガスがAr、N、および/またはHeである。
次に、堆積膜は、1804において第1の処理条件で処理される。CCPプラズマ処理の場合、処理条件には、処理時間、チャンバ圧力、HF/LF電力、および処理ガスの組成および流量を挙げることができる。例示的な処理時間は、1~30秒、例えば、5~20秒の範囲とすることができ、例示的な圧力は、1~20Torr、例えば、3~10Torrの範囲とすることができ、例示的なHF電力は、500W~1500Wの範囲とすることができ、例示的なLF電力は、いくつかの事例では、0または500Wまでとすることができ、例示的な処理ガスは、ArおよびHeが挙げられ、流量がそれぞれ1000~10000sccmの範囲である。堆積条件および処理条件は、上述の範囲外になり得ることが理解されるであろう。いくつかの実施形態では、この層の場合堆積後の処理時間がなくてもよい。
特定の実施形態では、動作1804をLF電力なしに行い、比較的高いWERを達成してもよい。これにより、SiNパッドの最後のエッチングを促進させる可能性がある。例示的な処理時間は、範囲の終点を含めて5~10秒であってもよい。動作1802および1804を複数回繰り返して、最下層の副層を形成する。一例では、それらを繰り返して、100Å~400Åを有する副層を堆積してもよい。動作1802にて堆積された量が20Åである場合、5~20回となり得る。
次に、動作1808では、窒化ケイ素膜の一部が堆積される。堆積条件は、動作1802に関して上述したようにしてもよい。次に、この部分は、1810において第2の処理条件で処理される。第2の処理条件は、1つまたは複数の条件の値を変化させて、WERを変更する点で、第1の処理条件とは異なる。この例では、動作1808および1810は、ES層の一部を形成しており、WERを減少させるためのものである。いくつかの実施形態では、これは、LF電力の追加(または上昇)および処理時間の増加の一方または両方を含む。処理ガスの流量を下げてもよく、および/または圧力を同様に下げてもよい。例示的な処理時間は、15~25秒であってもよい。動作1812にて動作1808および1810を1回または複数回繰り返し、ES副層を形成してもよい。一例では、それらを繰り返し、20Å~60Åの間を有する副層を堆積してもよい。この例では、ES層は、最下層の副層よりもかなり薄いことに留意されたい。動作1808にて全層が堆積される際に、動作1812は、省略される。
次に、1814において、窒化ケイ素膜の一部が堆積される。堆積条件は、動作1802に関して上述したようにしてもよい。次に、この部分は、1816において第3の処理条件で処理される。第3の処理条件は、1つまたは複数の条件の値を変化させて、WERを変更する点で第2の処理条件とは異なるが、第1の処理条件と同じであるか、もしくは異なっていてもよい。いくつかの実施形態では、WERが比較的高い場合、側壁から速く除去され、分離する。例示的な処理時間は、1~30秒、例えば、5~20秒の範囲とすることができ、例示的な圧力は、1~20Torr、例えば、3~10Torrの範囲とすることができ、例示的なHF電力は、500W~1500Wの範囲とすることができ、例示的なLF電力は、いくつかの事例では、0または500Wまでとすることができ、例示的な処理ガスは、ArおよびHeが挙げられ、流量がそれぞれ1000~10000sccmの範囲である。動作1816および1818を複数回繰り返して、最上層の副層を形成する。一例では、それらを繰り返して、100Å~400Åの間を有する副層を堆積してもよい。
いくつかの実施形態では、ES層ではない1つまたは複数の副層は、堆積後の処理を行わずに堆積されてもよい。このような場合、副層の堆積は、堆積と処理との間で繰り返すことなく、1つのステップで行われてもよい。
いくつかの実施形態では、ES層の下の1つまたは複数の副層の厚さは、ターゲット厚であるか、もしくはターゲット厚に近い。例えば、ターゲット厚が20nmの場合、(1620および1630における)層1603または(1610における)層1603および1604は、合計で20nmの厚さまたは20nmに近い厚さであってもよい。

PECVD堆積条件を変化させ、100:1のDHFにてWERを変化させた。堆積後の処理は一定にした(10秒、5.5Torr、HF/LF1000/0watt、10000sccmAr/4000sccmHe)。堆積プロセスAとBの両方において、チャンバ圧力は、9Torr、HF/LF575/0watt、プロセスガスがSiHおよびNHであった。
プロセスAでは、550℃の基板温度および10000sccmAr/6000sccmNのキャリアガスを用いた。プロセスBでは、510℃の基板温度および10000sccmAr/3000sccmN/3000sccmHeのキャリアガスを用いた。(3000sccmHeは、プロセスAと同じ総流量にするために追加された)。プロセスAのWERは、90Å/minであった。プロセスBのWERは、141Å/minであった。
プロセスBのPECVD堆積を用いて、ES層を形成した。処理時間を20秒(10秒を2回)に増やし、圧力を4Torrに下げた。HF/LF電力は、800/300watt(LF電力を追加)であった。ガス流量は、3000sccmAr/2000sccmHeに下げた。WERは、25Å/minであった。
図19は、プロセスBに関して説明したように形成された層との間に挟まれた上述のような20~40ÅのES層を含むSiNスタックに関する分離および残りの厚さ対エッチング時間を示す。その結果は、ES層が残りの厚みを保持し、高速分離が生じることを示す。
装置
開示した方法を行うための適切な装置は一般に、プロセス動作を達成するためのハードウェアと上述の方法に従ってプロセス動作を制御するための命令を有するシステムコントローラとを含む。
図20は、本開示の実施形態を実行するために使用してもよい例示的な装置のブロック図を提供する。図示するように、反応器2000は、プロセスチャンバ2024を含む。プロセスチャンバ2024は、反応器の他の構成要素を囲み、例えば、接地されたヒータブロック2020と連動するシャワーヘッド2014を含むキャパシタ型システムによって生成されたプラズマを閉じ込める役割を果たす。シャワーヘッド2014には、整合ネットワーク2006に接続された高周波RF発生器2002と、低周波RF発生器2004とが接続される。整合ネットワーク2006によって供給される電力および周波数は、プロセスガスからプラズマを生成するのに十分であり、例えば、400~700Wの総エネルギーである。一実施形態では、HFRF発生器およびLFRF発生器の両方を用いて、ES層を処理し、HFRF発生器はPECVD堆積と他の層の処理にのみ用いられる。一般的なプロセスでは、高周波RF構成要素は通常、約2~60MHzの間であり、特定の実施形態では、HF構成要素は、約13.56MHzまたは27MHzである。低周波LF構成要素は通常、約250~400kHzであり、特定の実施形態では、LF構成要素は、約350kHzである。
反応器内では、台座2018は、基板2016を支持する。台座は一般に、チャック、フォーク、またはリフトピンを含み、堆積中および/またはプラズマ処理反応中ならびに堆積および/またはプラズマ処理反応との間に基板を保持して搬送する。チャックは、静電チャック、メカニカルチャックまたは産業および/または研究に使用可能な他の様々なタイプのチャックであってもよい。
プロセスガスは、入口2012を介して導入される。複数のガス線源2010は、マニホールド2008に接続される。ガスは、予混合されていてもされていなくてもよい。適切にバルブおよび質量流量制御機構を使用すると、プロセスの堆積中およびプラズマ処理段階中に正しいガスが供給される。化学前駆体が液体状で供給される場合は、液体流量制御機構を使用する。次に、液体は、堆積チャンバに到達する前に、その気化点以上に加熱されたマニホールド内に搬送される間に気化し、他のプロセスガスと混合される。
プロセスガスは、出口2022を介してチャンバ2000を出て行く。真空ポンプ2026(例えば、1段または2段の機械式ドライポンプおよび/またはターボ分子ポンプ)は、プロセスガスを引き抜き、スロットルバルブまたは振子式バルブなどの閉ループ制御された流量制限装置によって、反応器内に適切な低圧状態を維持する。
前記方法は、マルチステーションまたはシングルステーションのツールで実施されてもよい。カリフォルニア州フリーモントのLam Research社から入手可能なVector(登録商標)ツールは、複数のステーションを有する。すべての堆積および処理が完了するまで、すべての堆積および/または堆積後のプラズマ処理の後にウエハをインデックスすることが可能であり、もしくはウエハをインデックスする前にシングルステーションで複数の堆積および処理を実施することが可能である。
いくつかの実施形態では、図20に示す反応器は、1つまたは複数のウエハを処理するためのツールの一部である。図21に1つまたは複数の反応器を含むツールの一例を提供する。図21は、本開示の実施形態に従った堆積プロセスを実施するのに適した処理システムのブロック図である。システム2100は、カリフォルニア州フリーモントのLam Research社から入手可能なVector(登録商標)プラットフォームで用いられるウエハ搬送システム(WTS)などの搬送モジュール2103を含む。搬送モジュール2103は、清潔で加圧された環境を提供し、様々な処理ステージ間を移動するときに処理されるウエハなどのワークピースの汚染リスクを最小限に抑える。
搬送モジュール2103にPECVDプロセスを行うことができるチャンバ2109が取り付けられる。チャンバ2109は、堆積または処理動作を連続的に行うことができる複数のステーション2111、2113、2115、および2117を含んでもよい。システム2100はまた、1つまたは複数(この例では2つ)のウエハモジュール源2101を含み、ここで、処理の前後にウエハが保管される。ロードロック2119は、搬送モジュール2103とウエハモジュール源2101との間に位置する。搬送モジュール2103内の装置(通常ロボットアームユニット)は、搬送モジュール2103に搭載されたモジュールの中でウエハを移動させる。搬送モジュールには、他のモジュール2105および2107が搭載されてもよい。これらは、例えば、堆積モジュール、エッチングモジュール、または処理モジュールであってもよい。図21はまた、プロセスツール2100のプロセス条件およびハードウェア状態を制御するために採用されるシステムコントローラ2150の一実施形態を示す。システムコントローラ2150は、上述したプロセスを実施するためのプログラム命令を備えてもよい。プログラム命令は、RF電力レベル、圧力、温度、流量など、様々なプロセスパラメータを制御してもよい。
いくつかの実施態様では、コントローラ2150は、システムの一部であり、上述の実施例の一部であってもよい。このようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理のためのプラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む、半導体処理装置を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と統合されてもよい。電子機器は、「コントローラ」と呼ばれる場合があり、1つまたは複数のシステムの様々な構成要素またはサブパーツを制御してもよい。コントローラ2150は、処理要件および/またはシステムのタイプに応じて、本明細書に開示のプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとして、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールへのウエハの搬送およびツールからのウエハの搬送、ならびに特定のシステムに接続または連動した他の搬送ツールおよび/またはロードロックが挙げられる。
広義には、コントローラ2150は、命令を受け取り、命令を発し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSPs)、特定用途向け集積回路(ASICs)として定義されるチップ、および/またはプログラム命令(例えば、ソフトウェア)を実行する1つまたは複数のマイクロプロセッサ、またはマイクロコントローラを含んでもよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形式でコントローラに伝達される命令であって、半導体ウエハ上またはシステムに対する特定のプロセスを実行するための動作パラメータを定義してもよい。いくつかの実施形態では、動作パラメータは、プロセスエンジニアによって定義されたるレシピの一部であって、ウエハの1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはダイの製造中に1つまたは複数の処理ステップを達成してもよい。
いくつかの実施形態では、システムコントローラ2150は、プロセスツール2100の動きのすべてを制御する。システムコントローラ2150は、1つまたは複数のメモリデバイス2156、1つまたは複数の大容量記憶装置2154、および1つまたは複数のプロセッサ2152を含んでもよい。プロセッサ2152は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含んでもよい。システムコントローラ2150は、大容量記憶装置2154に格納され、メモリデバイス2156にロードされ、プロセッサ2152で実行されるシステム制御ソフトウェア2158を実行する。あるいは、制御論理は、コントローラ2150にハードコード化されてもよい。これらの目的に、特定用途向け集積回路、プログラマブル論理装置(例えば、フィールドプログラマブルゲートアレイ、またはFPGAs)などを用いてもよい。以下の説明では、「ソフトウェア」または「コード」が用いられる場合、機能的に同等のハードコード化された論理が代わりに用いられてもよい。システム制御ソフトウェア2158は、プロセスチャンバへのウエハの搬送および搬出、ガスのタイミング、ガスの混合、ガス流の量、チャンバおよび/またはステーションの圧力、裏側ガス流の圧力、チャンバおよび/または反応器の温度、ウエハの温度、バイアス電力、ターゲット電力レベル、RF電力レベル、台座、チャックおよび/またはサセプタの位置、ならびにプロセスツール2100によって行われる特定のプロセスの他のパラメータを制御するための命令を含んでもよい。システム制御ソフトウェア2158は、任意の適切な方法で構成されてもよい。例えば、様々なプロセスツールプロセスを実行するために必要なプロセスツール構成要素の動作を制御するために、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトを記述してもよい。システム制御ソフトウェア2158は、任意の適切なコンピュータ可読プログラミング言語でコード化されてもよい。
いくつかの実施態様では、コントローラ2150は、システムと一体化しているか、結合しているか、そうでない場合はシステムにネットワーク接続されているか、またはそれらの組み合わせであるコンピュータの一部であっても結合していてもよい。例えば、コントローラ2150は、「クラウド」内にあってもよく、ファブホストコンピュータシステムのすべてまたは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にし、製造動作の現在の進捗状況を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向または性能基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定する、もしくは新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供できる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェイスを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラ2150は、命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に行われる処理ステップの各々に対するパラメータを特定する。パラメータは、行われるプロセスのタイプおよびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラ2150は、互いにネットワーク接続され、本明細書に記載のプロセスおよび制御などの共通の目的に向けて協働する1つまたは複数の個別のコントローラを含むことなどによって分散されてもよい。このような目的のための分散型コントローラの一例としては、(プラットフォームレベルでまたはリモートコンピュータの一部としてなど)遠隔配置され、チャンバ上のプロセスを制御するように結合する1つまたは複数の集積回路と通信する、チャンバ上の1つまたは複数の集積回路が挙げられるであろう。
いくつかの実施形態では、システム制御ソフトウェア2158は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を含んでもよい。いくつかの実施形態では、システムコントローラ2150に関連する大容量記憶装置2154および/またはメモリデバイス2156に記憶された他のコンピュータソフトウェアおよび/またはプログラムを使用してもよい。この目的のためのプログラムまたはプログラムのセクションの例として、ウエハ位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが挙げられる。
ウエハ基板位置決めプログラムは、基板を台座上に搬入し、ウエハと基板とプロセスツール2100の他の部分との間隔を制御するために用いられるプロセスツール構成要素のためのプログラムコードを含んでもよい。プロセスガス制御プログラムは、プロセスステーション内の圧力を安定化するために、ガス組成(例えば、本明細書に記載の堆積ガス、処理ガス、キャリアガスなど)と流量を制御するためのコード、および任意で堆積前にガスを1つまたは複数のプロセスステーション内に流すためのコードを含んでもよい。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガスの流れを調節することによってプロセスステーション内の圧力を制御するためのコードを含んでもよい。
ヒータ制御プログラムは、ワークピースを加熱するために用いられる加熱ユニットへの電流を制御するためのコードを含んでもよい。あるいは、ヒータ制御プログラムは、ウエハへの熱搬送ガス(ヘリウムなど)の供給を制御してもよい。プラズマ制御プログラムは、本明細書の実施形態に従ってプロセス電極に印加されるRF電力レベル、および必要な場合には、1つまたは複数のプロセスステーションのバイアスを設定するためのコードを含んでもよい。圧力制御プログラムは、本明細書の実施形態に従って反応チャンバ内の圧力を維持するためのコードを含んでもよい。
いくつかの実施形態では、システムコントローラ2150に関連するユーザインターフェイスが存在してもよい。ユーザインターフェイスは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含んでもよい。
いくつかの実施形態では、システムコントローラ2150によって調整されるパラメータは、プロセス条件に関するものであってもよい。非限定的な例としては、プロセスガス組成および流量、温度、圧力、プラズマ条件(RF電力レベルなど)、圧力、温度などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインターフェイスを利用して入力されてもよい。
プロセスを監視するための信号は、様々なプロセスツールセンサからシステムコントローラ2150のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、プロセスツール2100のアナログおよびデジタル出力接続部で出力されてもよい。監視可能なプロセスツールセンサの非限定的な例には、マスフローコントローラ、圧力センサ(マノメータなど)、熱電対などが挙げられる。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサから得たデータと共に用いて、プロセス条件を維持してもよい。
限定されるものではないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するまたは用いられてもよい任意の他の半導体処理システムを含んでもよい。
上述のように、ツールによって行われる1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/またはロードポートに対してウエハの容器を搬入および搬出する材料搬送に用いられるツールと通信してもよい。
結論
前述の実施形態は、明確な理解のために多少詳細に説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実施されてもよいことは明らかであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに留意されたい。したがって、本実施形態は、制限ではなく例示と見なされるべきであり、本実施形態は、本明細書に述べられる詳細に限定されるべきではない。
動作1008の後、酸化物フィラーとも呼ばれる酸化物は、動作1010で窒化層上に形成されたSiNパッドを含む階段状のパターンの上に堆積される。動作1012では、各窒化層から延びるSiNパッドを備える窒化層は、酸化層および酸化物フィラーに対して選択的にエッチングされ、図6Aおよび6Bに示すものと同様に、階段状のパターンの酸化層との間に水平方向の隙間を生成する。水平方向の隙間は、後に動作1014でギャップフィル動作によってタングステンで充填され、タングステンワード線を形成し、SiNパッドをタングステンランディングパッドと置き換える。ランディングパッドは、図14に示すように、ワード線上に形成され、例えば、ワード線140上にランディングパッド180が形成される。この動作は、図1Bの動作192に関して上述したように、任意の技術またはプロセス条件を用いて行ってもよい。SiNパッドをエッチングして生じた空所領域は、タングステンワード線の形成中の動作1018の間にタングステンで充填され、タングステンワード線上にランディングパッドを形成する。
次に、図14を参照すると、窒化層140およびその上に堆積されたSiNパッド180は、それぞれ、基板上の酸化物に対してエッチングされる。動作190に関する説明のように、エッチングは実質的に行われ、図1Bに示すように、エッチング液種が垂直スリットに流入して窒化物を選択的にエッチングするように、ONONスタックのスリットを通ってエッチング液種を垂直に流すことによって、窒化層112を除去する。窒化物は、リン酸(H3PO4)、または希フッ化水素酸(「DHF」)、またはこれらの溶液の混合物に基板を曝露することなどによる、ウェットエッチングプロセスを用いて選択的にエッチングされてもよい。例えば、図6Aは、窒化物をエッチングして形成された水平方向の隙間132を備える基板100の例示的な模式図を示す。
いくつかの実施形態では、SiN層は、2つ以上の副層を含んでもよい。副層のうちの1つは、非常に低いWER(例えば、30Å/minを超えない)を有し、エッチングストップ(ES)層と呼ばれてもよい。別の副層は、側壁の除去と分離を高速で行うために、より高いエッチング比(例えば、70Å/minより高い、100Å/minより高い、または120Å/minより高い)を有してもよい。図16は、副層の異なるスタックの例を含む。1610では、副層1601、1602、1603、および1604を含むスタックが示される。副層1602は、ES層であり、副層1601、1603、および1604より低いWERを有する。1620において、スタックは、層1601、1602、および1603を含み、副層1602がES層である。いくつかの実施形態では、1630および1640で示すように、ES層は最上層であり、副層1602がES層である。ES層が最下層である可能性はあるが、非ES層がより速く形成されるため、非ES層が残りのSiN厚さの大部分を構成することは、好都合となり得る。
いくつかの実施形態では、システムコントローラ2150によって調整されるパラメータは、プロセス条件に関するものであってもよい。非限定的な例としては、プロセスガス組成および流量、温度、圧力、プラズマ条件(RF電力レベルなど)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインターフェイスを利用して入力されてもよい。
結論
前述の実施形態は、明確な理解のために多少詳細に説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実施されてもよいことは明らかであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに留意されたい。したがって、本実施形態は、制限ではなく例示と見なされるべきであり、本実施形態は、本明細書に述べられる詳細に限定されるべきではない。本開示は、以下の形態により実現されてもよい。
[形態1]
方法であって、
露出した水平方向の窒化物表面と露出した酸化物側壁面および窒化物側壁面とを含む階段状のパターンで配置された交互の酸化層および窒化層を有する基板を形成することと、
前記交互の酸化層および窒化層の上に窒化ケイ素(SiN)層を堆積することと、
前記露出した水平方向の窒化物表面上に堆積された前記SiN層を選択的に高密度化するために前記SiN層を処理することと
を含む、方法。
[形態2]
形態1に記載の方法であって、
個別のSiNパッドを形成するために前記処理されたSiN層をウェットエッチングすることをさらに含む、方法。
[形態3]
形態1に記載の方法であって、
前記堆積および処理動作は、同じチャンバ内にて行われる、方法。
[形態4]
形態1に記載の方法であって、
前記SiN層を堆積することは、プラズマ励起化学気相堆積(PECVD)プロセスを含む、方法。
[形態5]
形態1または4に記載の方法であって、
前記SiN層を処理することは、生成された容量結合プラズマに前記基板を曝露することを含む、方法。
[形態6]
形態1に記載の方法であって、
前記堆積および処理動作を行うことは、前記コンフォーマルなSiN層の一部を堆積した後、前記堆積された部分を処理する複数のサイクルを行うことを含む、方法。
[形態7]
形態1に記載の方法であって、
前記コンフォーマルなSiN層は、複数の副層を含み、少なくとも2つの副層は、異なるウェットエッチングレート(WERs)を有する、方法。
[形態8]
形態7に記載の方法であって、
前記複数の副層のうちの1つは、他の副層または前記複数の副層の副層よりも低いWERを有するエッチングストップ(ES)副層である、方法。
[形態9]
形態8に記載の方法であって、
前記ES層は、ウェットエッチング液において50Å/min以下のWERを有する、方法。
[形態10]
形態8または9に記載の方法であって、
前記複数の副層のうちの1つは、ウェットエッチング液において少なくとも100Å/minのWERを有する副層である、方法。
[形態11]
形態9に記載の方法であって、
前記ES副層は、各々が前記ES副層よりも厚く、前記ES副層よりも大きいWERを有する2つの副層との間に配置される、方法。
[形態12]
形態8に記載の方法であって、
前記ES副層は、前記SiN層の最上層である、方法。
[形態13]
形態1に記載の方法であって、
前記SiN膜は、シラン(SiH )およびアンモニア(NH )から堆積される、方法。
[形態14]
形態11に記載の方法であって、
前記SiH およびNH は、窒素(N )をさらに含むプロセスガス内にある、方法。
[形態15]
形態2に記載の方法であって、
個別のSiNパッドは、前記側壁面付近から少なくとも10nmである、方法。
[形態16]
形態2に記載の方法であって、
個別のSiNパッドは、少なくとも10nmの厚さである、方法。
[形態17]
形態1に記載の方法であって、
前記窒化層をタングステンワード線と置き換えることをさらに含む、方法。
[形態18]
形態2に記載の方法であって、
前記SiNパッドをタングステンランディングパッドと置き換えることをさらに含む、方法。
[形態19]
方法であって、
水平面および側壁面を有する基板を形成することと、
前記水平面および側壁面の上に窒化ケイ素(SiN)層を堆積することと、
前記水平面上に堆積された前記コンフォーマルなSiN層を選択的に高密度化するために前記SiN層を処理することと
を含む、方法。
[形態20]
形態19に記載の方法であって、
個別のSiNパッドを形成するために前記処理された層をウェットエッチングすることをさらに含む、方法。
[形態21]
方法であって、
水平面および側壁面を有する基板を形成することと、
1つまたは複数の第1のサイクルを行い、第1の副層を形成することであって、前記1つまたは複数の第1のサイクルの各々は、
PECVDによって前記水平面および側壁面上に一定量のSiNを堆積し、前記堆積された量のSiNを不活性ガスから生成された容量結合プラズマに曝露することと、
1つまたは複数の第2のサイクルを行い、エッチングストップ副層を形成することであって、前記1つまたは複数の第2のサイクルの各々は、
PECVDによって前記第1の副層上に一定量のSiNを堆積し、低周波高周波(LFRF)電力を用いて、前記堆積された量のSiNを不活性ガスから生成された容量結合プラズマに曝露することと
を含む、方法。
[形態22]
形態21に記載の方法であって、
前記1つまたは複数の第2のサイクルにおける前記LFRF電力は、もしあるならば、前記1つまたは複数の第1のサイクルにおける前記LFRF電力よりも大きい、方法。
[形態23]
装置であって、
LFRFプラズマ生成器およびHFRFプラズマ生成器を含むPECVD堆積チャンバと、形態1~22のいずれかの前記方法を行うための命令を含むコントローラと
を備える、装置。

Claims (23)

  1. 方法であって、
    露出した水平方向の窒化物表面と露出した酸化物側壁面および窒化物側壁面とを含む階段状のパターンで配置された交互の酸化層および窒化層を有する基板を形成することと、
    前記交互の酸化層および窒化層の上に窒化ケイ素(SiN)層を堆積することと、
    前記露出した水平方向の窒化物表面上に堆積された前記SiN層を選択的に高密度化するために前記SiN層を処理することと
    を含む、方法。
  2. 請求項1に記載の方法であって、
    個別のSiNパッドを形成するために前記処理されたSiN層をウェットエッチングすることをさらに含む、方法。
  3. 請求項1に記載の方法であって、
    前記堆積および処理動作は、同じチャンバ内にて行われる、方法。
  4. 請求項1に記載の方法であって、
    前記SiN層を堆積することは、プラズマ励起化学気相堆積(PECVD)プロセスを含む、方法。
  5. 請求項1または4に記載の方法であって、
    前記SiN層を処理することは、生成された容量結合プラズマに前記基板を曝露することを含む、方法。
  6. 請求項1に記載の方法であって、
    前記堆積および処理動作を行うことは、前記コンフォーマルなSiN層の一部を堆積した後、前記堆積された部分を処理する複数のサイクルを行うことを含む、方法。
  7. 請求項1に記載の方法であって、
    前記コンフォーマルなSiN層は、複数の副層を含み、少なくとも2つの副層は、異なるウェットエッチングレート(WERs)を有する、方法。
  8. 請求項7に記載の方法であって、
    前記複数の副層のうちの1つは、他の副層または前記複数の副層の副層よりも低いWERを有するエッチングストップ(ES)副層である、方法。
  9. 請求項8に記載の方法であって、
    前記ES層は、ウェットエッチング液において50Å/min以下のWERを有する、方法。
  10. 請求項8または9に記載の方法であって、
    前記複数の副層のうちの1つは、ウェットエッチング液において少なくとも100Å/minのWERを有する副層である、方法。
  11. 請求項9に記載の方法であって、
    前記ES副層は、各々が前記ES副層よりも厚く、前記ES副層よりも大きいWERを有する2つの副層との間に配置される、方法。
  12. 請求項8に記載の方法であって、
    前記ES副層は、前記SiN層の最上層である、方法。
  13. 請求項1に記載の方法であって、
    前記SiN膜は、シラン(SiH)およびアンモニア(NH)から堆積される、方法。
  14. 請求項11に記載の方法であって、
    前記SiHおよびNHは、窒素(N)をさらに含むプロセスガス内にある、方法。
  15. 請求項2に記載の方法であって、
    個別のSiNパッドは、前記側壁面付近から少なくとも10nmである、方法。
  16. 請求項2に記載の方法であって、
    個別のSiNパッドは、少なくとも10nmの厚さである、方法。
  17. 請求項1に記載の方法であって、
    前記窒化層をタングステンワード線と置き換えることをさらに含む、方法。
  18. 請求項2に記載の方法であって、
    前記SiNパッドをタングステンランディングパッドと置き換えることをさらに含む、方法。
  19. 方法であって、
    水平面および側壁面を有する基板を形成することと、
    前記水平面および側壁面の上に窒化ケイ素(SiN)層を堆積することと、
    前記水平面上に堆積された前記コンフォーマルなSiN層を選択的に高密度化するために前記SiN層を処理することと
    を含む、方法。
  20. 請求項19に記載の方法であって、
    個別のSiNパッドを形成するために前記処理された層をウェットエッチングすることをさらに含む、方法。
  21. 方法であって、
    水平面および側壁面を有する基板を形成することと、
    1つまたは複数の第1のサイクルを行い、第1の副層を形成することであって、前記1つまたは複数の第1のサイクルの各々は、
    PECVDによって前記水平面および側壁面上に一定量のSiNを堆積し、前記堆積された量のSiNを不活性ガスから生成された容量結合プラズマに曝露することと、
    1つまたは複数の第2のサイクルを行い、エッチングストップ副層を形成することであって、前記1つまたは複数の第2のサイクルの各々は、
    PECVDによって前記第1の副層上に一定量のSiNを堆積し、低周波高周波(LFRF)電力を用いて、前記堆積された量のSiNを不活性ガスから生成された容量結合プラズマに曝露することと
    を含む、方法。
  22. 請求項21に記載の方法であって、
    前記1つまたは複数の第2のサイクルにおける前記LFRF電力は、もしあるならば、前記1つまたは複数の第1のサイクルにおける前記LFRF電力よりも大きい、方法。
  23. 装置であって、
    LFRFプラズマ生成器およびHFRFプラズマ生成器を含むPECVD堆積チャンバと、請求項1~22のいずれかの前記方法を行うための命令を含むコントローラと
    を備える、装置。
JP2021557622A 2019-03-28 2020-03-26 エッチングストップ層 Pending JP2022527468A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962825632P 2019-03-28 2019-03-28
US62/825,632 2019-03-28
PCT/US2020/025004 WO2020198502A1 (en) 2019-03-28 2020-03-26 Etch stop layer

Publications (1)

Publication Number Publication Date
JP2022527468A true JP2022527468A (ja) 2022-06-02

Family

ID=72608483

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021557622A Pending JP2022527468A (ja) 2019-03-28 2020-03-26 エッチングストップ層

Country Status (7)

Country Link
US (1) US20220181141A1 (ja)
JP (1) JP2022527468A (ja)
KR (1) KR20210134431A (ja)
CN (1) CN113892168A (ja)
SG (1) SG11202110691VA (ja)
TW (1) TW202105490A (ja)
WO (1) WO2020198502A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7302023B2 (ja) * 2020-02-25 2023-07-03 長江存儲科技有限責任公司 3d型nandメモリデバイス、および3d型nandメモリデバイスを形成するための方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9275909B2 (en) * 2013-08-12 2016-03-01 Micron Technology, Inc. Methods of fabricating semiconductor structures
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
FR3020718B1 (fr) * 2014-05-02 2016-06-03 Ecole Polytech Procede et systeme pour controler des flux d'ions dans un plasma rf.
US10199388B2 (en) * 2015-08-27 2019-02-05 Applied Mateerials, Inc. VNAND tensile thick TEOS oxide
US10062563B2 (en) * 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102443047B1 (ko) * 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR102190532B1 (ko) * 2017-11-22 2020-12-15 (주)디엔에프 실리콘 함유 박막 증착용 조성물 및 이를 이용한 실리콘 함유 박막의 제조방법

Also Published As

Publication number Publication date
CN113892168A (zh) 2022-01-04
SG11202110691VA (en) 2021-10-28
KR20210134431A (ko) 2021-11-09
TW202105490A (zh) 2021-02-01
WO2020198502A1 (en) 2020-10-01
US20220181141A1 (en) 2022-06-09

Similar Documents

Publication Publication Date Title
JP7344867B2 (ja) 水平表面上におけるSiNの選択的堆積
KR102580008B1 (ko) 반도체 디바이스 제작에서의 주석 옥사이드 막들
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
KR102627546B1 (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
JP7334166B2 (ja) パターニングにおける酸化スズマンドレル
US20200328087A1 (en) Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US9418889B2 (en) Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US11670516B2 (en) Metal-containing passivation for high aspect ratio etch
WO2020096817A1 (en) Directional deposition in etch chamber
US20210017643A1 (en) Chamfer-less via integration scheme
KR20220025045A (ko) 교번하는 에칭 및 패시베이션 프로세스
KR20230149711A (ko) 고 종횡비 플라즈마 에칭을 위한 금속 기반 라이너 보호
JP2022527468A (ja) エッチングストップ層
CN112335016A (zh) 高深宽比结构的有效率的清洁和蚀刻
US20210320004A1 (en) Nitride films with improved etch selectivity for 3d nand integration
KR20160130709A (ko) 금속 확산 배리어층으로서 비정질 탄소의 매우 선택적인 증착
US20220235464A1 (en) Selective carbon deposition
KR20240011600A (ko) 3d-nand를 위한 고 종횡비 에칭을 위한 화학 물질
TW202335032A (zh) 高深寬比電漿蝕刻中的含金屬表面之改質

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220111

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230315

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240305